KR20140103080A - 온도 제어를 구비한 다중 플레넘 샤워헤드 - Google Patents

온도 제어를 구비한 다중 플레넘 샤워헤드 Download PDF

Info

Publication number
KR20140103080A
KR20140103080A KR20140017451A KR20140017451A KR20140103080A KR 20140103080 A KR20140103080 A KR 20140103080A KR 20140017451 A KR20140017451 A KR 20140017451A KR 20140017451 A KR20140017451 A KR 20140017451A KR 20140103080 A KR20140103080 A KR 20140103080A
Authority
KR
South Korea
Prior art keywords
plate
radical
precursor
shower head
passage
Prior art date
Application number
KR20140017451A
Other languages
English (en)
Inventor
패트릭 지. 브라이링
브하드리 엔. 바라다라잔
제니퍼 엘. 페트라글리아
슈라벤디크 바트 제이. 반
칼 에프. 리저
만디암 암만지 스리람
레이첼 이. 뱃저
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20140103080A publication Critical patent/KR20140103080A/ko

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F28HEAT EXCHANGE IN GENERAL
    • F28FDETAILS OF HEAT-EXCHANGE AND HEAT-TRANSFER APPARATUS, OF GENERAL APPLICATION
    • F28F3/00Plate-like or laminated elements; Assemblies of plate-like or laminated elements
    • F28F3/02Elements or assemblies thereof with means for increasing heat-transfer area, e.g. with fins, with recesses, with corrugations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Thermal Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Particle Accelerators (AREA)
  • Electron Sources, Ion Sources (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

반도체 프로세싱 동작 동안 라디칼을 공급하는 라디칼 소스와 함께 사용되는 장치가 제공된다. 이 장치는 대면 플레이트 어셈블리를 형성하는 플레이트 또는 구성 요소 스택 (stack) 을 포함할 수 있다. 이 대면 플레이트 어셈블리는 라디칼 확산 플레이트, 프리커서 전달 플레이트, 이들 간에 개재된 열적 격리부를 포함할 수 있다. 대면 플레이트 어셈블리는 라디칼 확산 플레이트에 대해 실질적으로 수직인 중심 선들을 갖는 복수의 라디칼 통과 구멍들의 패턴을 가질 수 있다. 열적 격리부는 라디칼 확산 플레이트와 프리커서 전달 플레이트 간의 열 흐름을 제어하도록 구성될수 있다.

Description

온도 제어를 구비한 다중 플레넘 샤워헤드{MULTI-PLENUM SHOWERHEAD WITH TEMPERATURE CONTROL}
관련 출원에 대한 교차 참조
본원은 모두가 그 명칭이 "MULTI-PLENUM SHOWERHEAD WITH TEMPERATURE CONTROL"을 가지면서 2013년 2월 15일 및 2013년 2월 27에 각기 출원된 미국 가 출원 제61/765,432호 및 제61/770,251호에 대한 우선권을 35 USC §119 (e) 하에서 주장하며, 이 두 가 출원들은 그 전체 내용이 본 명세서에서 참조로서 인용된다.
본 개시는 반도체 프로세싱 동작을 위한 샤워헤드, 이러한 샤워헤드를 포함하는 반응기 및 이러한 샤워헤드를 이용하는 방법 등에 관한 것이다.
반도체 프로세싱 툴들은 종종 라디칼 소스를 사용하여서, 예를 들자면 화학 기상 증착 (CVD) 프로세싱 또는 원자적 층 증착 (ALD) 프로세싱과 같은 프로세싱 동안에, 라디칼화된 프로세스 가스를 반도체 웨이퍼에 걸쳐서 분배한다. 이러한 라디칼 소스는 프로세싱 동안에 웨이퍼를 대면하는 대면 플레이트 (faceplate) 를 포함할 수 있으며, 복수의 가스 분배 구멍들이 이 대면 플레이트에 걸쳐서 분포되어서 라디칼화된 가스가 라디칼 소스로부터 웨이퍼로 전달되게 할 수 있다.
예를 들자면, PECVD (plasma enhancd CVD) 와 같은 몇몇 반도체 제조 프로세스 동안에, 반도체 가공 프로세스 가스가 플라즈마로 변환되어서 다양한 프로세스 단계에서 사용되는 라디칼을 생성한다. 이러한 플라즈마 여기 프로세스는 예를 들어서 열적 CVD에 비해서 여러 장점을 갖는데 그 이유는 이러한 여기 프로세스는 낮은 프로세스 온도에서 프로세스 화학 성분에 대한 높은 유연성으로 해서 수행될 수 있기 때문이다. 그러나, 플라즈마 변환은 예를 들어서 웨이퍼의 기본 실리콘 또는 프로세스에서 사용되는 초 로우 K(ultra-low K) 유전체를 산화시킴으로써 웨이퍼에 손상을 가할 수 있다. 이러한 손상 위험을 줄이기 위해서, 플라즈마는 웨이퍼로부터 멀리 떨어져서 (원격으로) 위치할 수 있는데, 이러한 프로세스는 통상 원격 플라즈마 증착 (RPD) 으로 지칭된다. 예를 들어서, 몇몇 라디칼 소스들은 플라즈마가 그 내에서 생성될 수 있는 내부 볼륨을 가질 수 있다. 이러한 내부 볼륨은 라디칼 소스 대면 플레이트 (이 플레이트가 플라스마를 웨이퍼로부터 "원격" 상태로 되게 함) 에 의해서 웨이퍼로부터 분리될 수 있으며, 이로써 웨이퍼가 플라즈마 변환으로부터 발생하는 가능한 손상으로부터 다소 보호될 수 있다. 이 대면 플레이트 내의 가스 분배 구멍들은 원격 생성된 플라즈마에 의해서 생성된 라디칼이 라디칼 소스에서 나와서 웨이퍼 상으로 가게 할 수 있다.
본 명세서에서 기술된 대상의 하나 이상의 구현예들에 대한 세부 사항들이 첨부된 도면 및 이하의 상세한 설명 부분에서 주어질 것이다. 다른 특징, 측면 또는 이점은 이하의 설명 부분, 도면 및 청구 범위로부터 명백해질 것이다. 다음의 도면의 상대적 수치는 도면에서의 축척대로 된다고 달리 언급되지 않으면 축척대로 도시되지 않을 수 있다.
몇몇 구현예들에서, 반도체 프로세싱 동작을 위한 샤워헤드가 제공될 수 있다. 이 샤워헤드는 제 1 측과 이에 대향하는 제 2 측을 갖는 프리커서 전달 플레이트와, 제 1 측과 이에 대향하는 제 2 측을 갖는 라디칼 통과 플레이트를 포함할 수 있다. 상기 라디칼 통과 플레이트의 제 2 측은 상기 프리커서 전달 플레이트의 제 1 측을 바라볼 수 있다. 상기 샤워헤드는 상기 프리커서 전달 플레이트와 상기 라디칼 통과 플레이트 간에 개재된 열적 격리부를 포함할 수 있다. 상기 샤워헤드는 라디칼 통과 구멍들의 패턴을 포함할 수 있다. 상기 라디칼 통과 구멍 각각은 상기 프리커서 전달 플레이트, 상기 라디칼 통과 플레이트 및 상기 열적 격리부를 관통할 수 있다. 상기 라디칼 통과 구멍 각각은 상기 프리커서 전달 플레이트, 상기 라디칼 통과 플레이트 및 상기 열적 격리부에 대해 실질적으로 수직인 구멍 중심 축을 구비하며 상기 라디칼 통과 구멍의 구멍 중심 축에 대해서 수직인 실질적으로 균일한 단면적을 상기 프리커서 전달 플레이트, 상기 라디칼 통과 플레이트 및 상기 열적 격리부에 걸쳐서 유지할 수 있다.
몇몇 다른 구현예들에서, 상기 열적 격리부는, 상기 라디칼 통과 플레이트와 상기 프리커서 전달 플레이트 간의 열 플로우 (heat flow) 가, 상기 라디칼 통과 플레이트와 상기 프리커서 전달 플레이트가 서로 직접적 열적 접촉을 하면서 상기 제 1 라디칼 통과 플레이트의 제 1 측과 상기 프리커서 전달 플레이트의 제 2 측이 동일한 거리만큼 떨어져 있는 경우에서의, 상기 라디칼 통과 플레이트와 상기 프리커서 전달 플레이트 간의 열 플로우보다, 작게 되도록 구성될 수 있다.
상기 샤워헤드의 몇몇 다른 구현예들에서, 상기 프리커서 전달 플레이트는 가스 전달 구멍들의 패턴 및 하나 이상의 내부 가스 분배 통로들을 포함할 수 있다. 상기 가스 전달 구멍 각각은 상기 프리커서 전달 플레이트, 상기 라디칼 통과 플레이트 및 상기 열적 격리부에 실질적으로 수직인 구멍 중심 축을 가질 수 있다. 상기 가스 전달 구멍 각각은 상기 하나 이상의 내부 가스 분배 통로들 중 적어도 하나와 유체 연통하며, 상기 가스 전달 구멍 각각은 상기 프리커서 전달 플레이트의 제 2 측 상에서 상기 프리커서 전달 플레이트를 나갈 수 있다.
상기 샤워헤드의 몇몇 다른 구현예들에서, 상기 라디칼 통과 구멍 각각의 길이 대 직경 비는 7:1 내지 10:1 간에 존재할 수 있다. 샤워헤드의 몇몇 다른 구현예들에서, 상기 라디칼 통과 구멍 각각의 길이 대 직경 비는 6:1 내지 11:1 간에 존재할 수 있다. 샤워헤드의 몇몇 다른 구현예들에서, 상기 라디칼 통과 구멍 각각은 적어도 0.25"의 길이를 가질 수 있다.
상기 샤워헤드의 몇몇 다른 구현예들에서, 상기 라디칼 통과 플레이트는 상기 라디칼 통과 플레이트에 걸쳐서 (across) 연장된 하나 이상의 제 1 내부 냉각 통로들을 포함할 수 있다. 상기 하나 이상의 제 1 내부 냉각 통로들은 상기 라디칼 통과 플레이트 내의 라디칼 통과 구멍들과는 유체 분리될 수 있다.
상기 샤워헤드의 몇몇 다른 구현예들에서, 상기 하나 이상의 제 1 내부 냉각 통로들은 통로 어레이를 포함할 수 있다. 각 내부 냉각 통로는 상기 라디칼 통과 플레이트의 제 1 측의 면에 대해서 실질적으로 수직인 기준 면에 대해 평균상 실질적으로 평행한 경로를 따르며, 각 내부 냉각 통로는 유입구와 유체 연통된 제 1 단부 및 유출구와 유체 연통된 제 2 단부를 가질 수 있다. 상기 하나 이상의 제 1 내부 냉각 통로들은 통로 어레이를 포함하며, 각 내부 냉각 통로는 상기 라디칼 통과 플레이트의 제 1 측의 면에 대해서 실질적으로 수직인 기준 면에 대해 평균상 실질적으로 평행한 경로를 따르며, 상기 기준 면의 제 1 측에 위치한 각 내부 냉각 통로는 제 1 유입구와 유체 연통된 제 1 단부 및 제 1 유출구와 유체 연통하는 제 2 단부를 구비하며, 상기 기준 면의 제 1 측에 대향하는 상기 기준 면의 제 2 측에 위치한 각 내부 냉각 통로는 제 2 유입구와 유체 연통된 제 1 단부 및 제 2 유출구와 유체 연통하는 제 2 단부를 구비할 수 있다. 상기 샤워헤드의 몇몇 다른 구현예들에서, 상기 제 1 유입구, 상기 제 2 유입구, 상기 제 1 유출구 및 상기 제 2 유출구 각각은 각각의 냉각 통로에 개별 냉각제 플레넘들을 통해서 연통되며, 상기 개별 냉각제 플레넘들은 각기 실질적으로 원호 형상을 가지며 상기 라디칼 통과 플레이트의 중심 축을 중심으로 해서 방사상으로 (radially) 배열될 수 있다.
상기 샤워헤드의 몇몇 다른 구현예들에서, 상기 하나 이상의 제 1 내부 냉각 통로들은 제 1 냉각 통로들의 어레이를 포함할 수 있다. 상기 제 1 냉각 통로들 각각은 상기 라디칼 통과 플레이트의 제 1 측의 면에 대해서 실질적으로 수직인 기준 면에 대해 평균상 실질적으로 평행한 제 1 경로를 따를 수 있다. 상기 하나 이상의 제 1 내부 냉각 통로들은 제 2 냉각 통로들의 어레이를 포함하며, 상기 제 2 냉각 통로들 각각은 상기 기준 면에 대해 평균상 실질적으로 평행한 제 2 경로를 따를 수 있다. 상기 제 1 냉각 통로와 상기 제 2 냉각 통로는 상기 라디칼 통과 플레이트 내에서 서로 유체 분리될 수 있다. 상기 제 1 냉각 통로들 각각은 제 1 유입구와 유체 연통된 제 1 단부 및 제 1 유출구와 유체 연통하는 제 2 단부를 구비하며, 상기 제 1 냉각 통로들 각각의 제 1 단부와 상기 제 2 단부는 서로 대향하여 있을 수 있다. 상기 제 2 냉각 통로들 각각은 제 2 유입구와 유체 연통된 제 1 단부 및 제 2 유출구와 유체 연통하는 제 2 단부를 구비하며, 상기 제 2 냉각 통로들 각각의 제 1 단부와 상기 제 2 단부는 서로 대향하여 있을 수 있다. 상기 제 1 유입구, 상기 제 2 유입구, 상기 제 1 유출구 및 상기 제 2 유출구는 상기 제 1 유입구 내로 흐른 냉각 유체가 제 1 평균 방향으로 해서 상기 제 1 냉각 통로들을 통과하여서 상기 제 1 유출구로 나가며, 상기 제 2 유입구 내로 흐른 냉각 유체가 제 2 평균 방향으로 해서 상기 제 2 냉각 통로들을 통과하여서 상기 제 2 유출구로 나갈 수 있다. 상기 제 1 평균 방향 및 상기 제 2 평균 방향은 실질적으로 서로 반대 방향일 수 있다.
상기 샤워헤드의 몇몇 다른 구현예들에서, 상기 샤워헤드는 원주형 플레넘을 더 포함할 수 있다. 상기 원주형 플레넘은, 내부 둘레 (inner perimeter) 를 구비하며, 상기 라디칼 통과 플레이트의 제 1 측에 근접하며, 가스를 상기 내부 둘레에 걸쳐서 실질적으로 균일하게 분포되면서 실질적으로 상기 라디칼 통과 플레이트의 중심 축을 향해서 플로우시키도록 구성될 수 있다.
상기 샤워헤드의 몇몇 다른 구현예들에서, 상기 샤워헤드는 플라즈마 돔을 더 포함할 수 있다. 상기 플라즈마 돔은 상기 라디칼 통과 플레이트의 중심 축을 중심으로 축 방향 상 실질적으로 대칭적인 내부 표면과, 상기 라디칼 통과 플레이트의 중심 축 근방의 상기 플라즈마 돔의 일단에 위치한 하나 이상의 라디칼 가스 유입구와, 상기 플라즈마 돔의 대향 단부에 위치한 실장 인터페이스를 더 포함하며, 상기 실장 인터페이스는, 상기 플라즈마 돔의 내부 표면과 상기 라디칼 통과 플레이트의 제 1 측이 라디칼 소스 볼륨 (volume) 을 규정하고 상기 원주형 플레넘으로부터의 가스 흐름이 상기 라디칼 소스 볼륨 내로 되도록, 상기 플라즈마 돔을 상기 샤워웨드에 연결시키도록 구성될 수 있다.
상기 샤워헤드의 몇몇 다른 구현예들에서, 상기 원주형 플레넘은 상기 플라즈마 돔과 상기 라디칼 통과 플레이트 간에 개재된 어댑터 (adapter) 내에 위치할 수 있다. 상기 샤워헤드의 몇몇 다른 구현예들에서, 상기 원주형 플레넘은 상기 실장 인터페이스 근방에서 상기 플라즈마 돔 내에 위치할 수 있다.
상기 샤워헤드의 몇몇 다른 구현예들에서, 상기 열적 격리부는 상기 프리커서 전달 플레이트의 열 전도도 및 상기 라디칼 통과 플레이트의 열 전도도보다 실질적으로 낮은 열 전도도를 갖는 플레이트일 수 있다. 상기 샤워헤드의 몇몇 다른 구현예들에서, 상기 열적 격리부는 상기 프리커서 전달 플레이트와 상기 라디칼 통과 플레이트 간의 갭 (gap) 을 가질 수 있다. 상기 갭은 상기 프리커서 전달 플레이트와 상기 라디칼 통과 플레이트 간의 자유 볼륨을 규정할 수 있다. 상기 열적 격리부는 상기 라디칼 통과 구멍 패턴 내의 라디칼 통과 구멍의 수에 대응하는 수의 관형 구조물들을 더 포함할 수 있다. 상기 관형 구조물들 각각은 상기 라디칼 통과 구멍들 중 서로 다른 하나에 대응하며, 대응하는 라디칼 관통 구멍의 공칭 직경 (nominal diameter) 과 실질적으로 동일한 내경을 가지며, 상기 갭을 따라서 연장되며, 상기 라디칼 관통 구멍을 상기 자유 볼륨으로부터 실질적으로 유체 분리시킬 수 있다.
상기 샤워헤드의 몇몇 다른 구현예들에서, 상기 관형 구조물들 중 적어도 하나는 개별 관 세그먼트 (segment) 일 수 있다. 상기 샤워헤드의 몇몇 다른 구현예들에서, 상기 관형 구조물들 중 적어도 하나는 석영 또는 사파이어로 구성된 그룹으로부터 선택된 재료로 구성될 수 있다.
상기 샤워헤드의 몇몇 다른 구현예들에서, 상기 열적 격리부는 적어도 2 개의 적층된 층들을 포함하며, 상기 적층된 층들 각각은 상기 라디칼 통과 구멍들을 포함할 수 있다. 상기 샤워헤드의 몇몇 다른 이러한 구현예들에서, 상기 열적 격리부는 상기 적층된 층들 중 하나의 층의 제 1 결합 (mating) 표면과 상기 하나의 층에 인접하는 층의 제 2 결합 표면 간의 제 1 계면을 더 포함할 수 있으며, 상기 제 1 결합 표면과 상기 제 2 결합 표면 중 적어도 하나는 약 8 내지 16 마이크로인치 이상의 표면 거칠기 값 Ra를 가질 수 있다. 상기 샤워헤드의 몇몇 다른 구현예들에서, 상기 층들 각각은 대략 0.002"의 절대 평탄도를 가질 수 있다.
상기 샤워헤드의 몇몇 다른 구현예들에서, 상기 라디칼 통과 구멍들은 제 1 면 상의 개구들을 통해서 상기 프리커서 전달 플레이트의 제 2 측을 나가며, 상기 가스 전달 구멍들은 제 2 면 상의 개구들을 통해서 상기 프리커서 전달 플레이트의 제 2 측을 나가며, 상기 제 2 면은 상기 프리커서 전달 플레이트의 제 1 측으로부터 멀어지는 방향으로 제 1 비 제로 (non-zero) 거리만큼 상기 제 1 면으로부터 오프셋될 수 있다. 상기 샤워헤드의 몇몇 다른 구현예들에서, 상기 제 1 비 제로 거리는 0.25"보다 클 수 있다. 상기 샤워헤드의 몇몇 다른 구현예들에서, 상기 제 1 비 제로 거리는 0.25" 내지 3" 간에 존재할 수 있다. 상기 샤워헤드의 몇몇 다른 구현예들에서, 상기 제 1 비 제로 거리는 3" 내지 12" 간에 존재할 수 있다.
상기 샤워헤드의 몇몇 다른 구현예들에서, 상기 라디칼 통과 구멍들은 제 1 면 상의 개구들을 통해서 상기 프리커서 전달 플레이트의 제 2 측을 나가며, 상기 가스 전달 구멍들은 제 2 면 상의 개구들을 통해서 상기 프리커서 전달 플레이트의 제 2 측을 나가며, 상기 제 2 면은, 상기 라디칼 통과 플레이트부터 상기 제 1 면 상의 개구들을 통하여 유입된 라디칼화된 개구가 상기 제 2 면을 만나기 이전에 실질적으로 완벽하게 발현된 (developed) 흐름을 보이도록 충분하게 멀리, 상기 제 2 면으로부터 상기 프리커서 전달 플레이트의 제 1 측으로부터 멀어지는 방향으로 오프셋될 수 있다.
상기 샤워헤드의 몇몇 다른 구현예들에서, 상기 라디칼 확산 플레이트는 라디칼이 상기 라디칼 확산 플레이트와의 재결합을 억제하는 재료로 적어도 부분적으로 코팅될 수 있다. 상기 샤워헤드의 몇몇 다른 구현예들에서, 상기 재료는 알루미늄 질화물, 석영 및 사파이어로 구성된 그룹으로부터 선택될 수 있다.
상기 샤워헤드의 몇몇 다른 구현예들에서, 상기 샤워헤드는 프로세스 챔버를 더 포함할 수 있다. 이러한 구현예들에서, 상기 라디칼 확산 플레이트, 상기 열적 격리부 및 상기 프리커서 전달 플레이트는 프로세스 가스를 상기 프로세스 챔버 내로 전달하도록 구성될 수 있다.
상기 샤워헤드의 몇몇 다른 구현예들에서, 상기 샤워헤드는 하나 이상의 다른 프로세스 챔버를 더 포함하며, 상기 프로세스 챔버와 상기 다른 프로세스 챔버는 다중 챔버 반도체 프로세싱 툴을 형성할 수 있다.
상기 샤워헤드의 몇몇 다른 그러한 구현예들에서, 샤워헤드는 제 2 라디칼 확산 플레이트, 제 2 열적 격리부 및 제 2 프리커서 전달 플레이트를 더 포함할 수 있다. 상기 제 2 라디칼 확산 플레이트, 상기 제 2 열적 격리부 및 상기 제 2 프리커서 전달 플레이트는 상기 라디칼 확산 플레이트, 상기 열적 격리부 및 상기 프리커서 전달 플레이트와 유사한 방식으로 배열될 수 있다. 상기 프로세스 챔버는 적어도 제 1 프로세싱 스테이션 및 제 2 프로세싱 스테이션을 포함할 수 있다. 상기 라디칼 확산 플레이트, 상기 열적 격리부 및 상기 프리커서 전달 플레이트는 프로세스 가스를 상기 제 1 프로세싱 스테이션으로 전달하도록 구성되며, 상기 제 2 라디칼 확산 플레이트, 상기 제 2 열적 격리부 및 상기 제 2 프리커서 전달 플레이트는 프로세스 가스를 상기 제 2 프로세싱 스테이션으로 전달하도록 구성될 수 있다.
몇몇 구현예들에서, 반도체 프로세싱 동작을 위한 샤워헤드를 사용하는 방법이 제공된다. 이 샤워헤드는 제 1 측과 이에 대향하는 제 2 측을 갖는 프리커서 전달 플레이트와, 제 1 측과 이에 대향하는 제 2 측을 갖는 라디칼 통과 플레이트를 포함할 수 있다. 상기 라디칼 통과 플레이트의 제 2 측은 상기 프리커서 전달 플레이트의 제 1 측을 바라볼 수 있다. 상기 샤워헤드는 상기 프리커서 전달 플레이트와 상기 라디칼 통과 플레이트 간에 개재된 열적 격리부를 포함할 수 있다. 상기 샤워헤드는 라디칼 통과 구멍들의 패턴을 포함할 수 있다. 상기 라디칼 통과 구멍 각각은 상기 프리커서 전달 플레이트, 상기 라디칼 통과 플레이트 및 상기 열적 격리부를 관통할 수 있다. 상기 라디칼 통과 구멍 각각은 상기 프리커서 전달 플레이트, 상기 라디칼 통과 플레이트 및 상기 열적 격리부에 대해 실질적으로 수직인 구멍 중심 축을 구비하며 상기 라디칼 통과 구멍의 구멍 중심 축에 대해서 수직인 실질적으로 균일한 단면적을 상기 프리커서 전달 플레이트, 상기 라디칼 통과 플레이트 및 상기 열적 격리부에 걸쳐서 유지할 수 있다. 상기 방법은 상기 프리커서 전달 플레이트를 제 1 온도로 유지하는 단계와, 상기 라디칼 통과 플레이트를 제 2 온도로 유지하는 단계와, 상기 라디칼 통과 플레이트가 상기 제 1 온도로 있을 동안에 제 1 프로세스 가스를 상기 가스 전달 구멍들을 통해서 제공하는 단계와, 상기 라디칼 통과 플레이트가 상기 제 2 온도로 있을 동안에 제 2 프로세스 가스를 상기 라디칼 관통 구멍들을 통해서 제공하는 단계를 포함할 수 있다.
몇몇 구현예들에서, 반도체 프로세싱 동작을 위한 반응기가 제공된다. 이 반응기는 반응 챔버와, 상기 반응 챔버 내에 위치한 웨이퍼 지지부와, 샤워헤드를 포함할 수 있다. 이 샤워헤드는 제 1 측과 이에 대향하는 제 2 측을 갖는 프리커서 전달 플레이트와, 제 1 측과 이에 대향하는 제 2 측을 갖는 라디칼 통과 플레이트를 포함할 수 있다. 상기 라디칼 통과 플레이트의 제 2 측은 상기 프리커서 전달 플레이트의 제 1 측을 바라볼 수 있다. 상기 샤워헤드는 상기 프리커서 전달 플레이트와 상기 라디칼 통과 플레이트 간에 개재된 열적 격리부를 포함할 수 있다. 상기 샤워헤드는 라디칼 통과 구멍들의 패턴을 포함할 수 있다. 상기 라디칼 통과 구멍 각각은 상기 프리커서 전달 플레이트, 상기 라디칼 통과 플레이트 및 상기 열적 격리부를 관통할 수 있다. 상기 라디칼 통과 구멍 각각은 상기 프리커서 전달 플레이트, 상기 라디칼 통과 플레이트 및 상기 열적 격리부에 대해 실질적으로 수직인 구멍 중심 축을 구비하며 상기 라디칼 통과 구멍의 구멍 중심 축에 대해서 수직인 실질적으로 균일한 단면적을 상기 프리커서 전달 플레이트, 상기 라디칼 통과 플레이트 및 상기 열적 격리부에 걸쳐서 유지할 수 있다. 상기 샤워헤드 및 상기 웨이퍼 지지부는 상기 웨이퍼 지지부가 상기 반응기 챔버 내에서 상기 샤워헤드 바로 아래에 (beneath) 있도록 구성될 수 있다.
몇몇 구현예들에서, 열적 격리부가 제공될 수 있다. 이 격리부는 제 1 측과,상기 제 1 측과 실질적으로 평행하며 상기 제 1 측과 오프셋된 제 2 측과, 상기 제 1 측과 상기 제 2 측 간에 걸쳐 있는 복수의 관형 구조물을 포함하며, 상기 관형 구조물들은 상기 제 1 측과 상기 제 2 측에 걸쳐서 분포된 패턴으로 배열될 수 있다. 상기 관형 구조물은 상기 제 1 측과 상기 제 2 측 간에 실질적으로 구획된 볼륨과 상기 관형 구조물 내의 볼륨 간의 유체 흐름을 실질적으로 방지할 수 있다.
몇몇 다른 상기 구현예들에서, 열적 격리부는 상기 제 1 측과 상기 제 2 측 간에 걸쳐 있고 상기 복수의 관형 구조물을 둘러싸는 외연 벽 (perimeter wall) 을 더 포함할 수 있다. 상기 외연 벽, 상기 제 1 측, 상기 제 2 측 및 상기 관형 구조물들의 최외각 표면들은 상기 열적 격리부의 중공형 내부 볼륨을 구획할 수 있다.
상기 열적 격리부의 또 다른 몇몇 구현예들에서, 상기 열적 격리부의 중공형 내부 볼륨과 유체 연통하는 하나 이상의 포트를 더 포함할 수 있다.
본 개시의 이러한 측면 및 다른 측면은 이하에서 보다 상세하게 기술될 것이다.
도 1a는 라디칼화된 가스 흐름 동작 동안에 삼중 파티션된 대면 플레이트 어셈블리를 갖는 원격 플라즈마 소스의 실례의 높은 수준의 개략도이다.
도 1b는 대면 플레이트를 통한 라디칼화된 가스 흐름이 억제되는 동작 동안의 도 1a의 원격 플라즈마 소스의 높은 수준의 개략도이다.
도 2a는 열적 격리부 역할을 하는 천공된 플레이트를 특징으로 하는 삼중 파티션된 대면 플레이트 어셈블리의 일 실례의 높은 수준의 개략도이다.
도 2b는 열적 격리부 역할을 하는 중공형 플레이트를 특징으로 하는 삼중 파티션된 대면 플레이트 어셈블리의 일 실례의 높은 수준의 개략도이다.
도 2c는 열적 격리부 역할을 하는 다른 설계의 중공형 플레이트를 특징으로 하는 삼중 파티션된 대면 플레이트 어셈블리의 일 실례의 높은 수준의 개략도이다.
도 2d는 복수의 개별 관 (tube) 을 특징으로 하는 열적 격리부를 특징으로 하는 삼중 파티션된 대면 플레이트 어셈블리의 일 실례의 높은 수준의 개략도이다.
도 2e는 서로 오프셋된 가스 분배 구멍 출구 및 라디칼 통과 구멍 출구를 특징으로 하는 삼중 파티션된 대면 플레이트 어셈블리의 일 실례의 높은 수준의 개략도이다.
도 3a는 실질적으로 직선형의 냉각 채널 경로를 나타내는 개략도이다.
도 3b는 또한 실질적으로 직선형의 냉각 채널 경로를 나타내는 개략도이다.
도 4a는 삼중 파티션된 대면 플레이트 어셈블리의 일 실례의 입체도이다.
도 4B는 내부 특징을 보여주기 위해서 복수의 부분이 절개된 도 4a의 삼중 파티션된 대면 플레이트 어셈블리의 입체도이다.
도 4c는 내부 특징을 보여주기 위해서 몇몇 구성 요소가 절개된 부분을 갖는 도 4a의 삼중 파티션된 대면 플레이트 어셈블리의 입체 분해도이다.
도 5a는 서로 반대 방향의 흐름을 갖는 냉각 채널들을 갖는 라디칼 확산 플레이트의 일 실례의 입체 분해도이다.
도 5b는 도 5a의 라디칼 확산 플레이트의 중간 플레이트의 제 1 측을 나타내고 있다.
도 5c는 도 5a의 라디칼 확산 플레이트의 중간 플레이트의 제 2 측을 나타내고 있다.
도 6a는 프리커서 전달 플레이트의 일 실례의 입체 단면도이다.
도 6b는 도 6a의 프리커서 전달 플레이트의 바닥의 도면이다.
도 6c는 도 6a의 프리커서 전달 플레이트의 측 단면도이다.
도 6d는 도 6a의 프리커서 전달 플레이트의 뒤집어진 입체 분해도이다.
도 7a는 대면 플레이트 어셈블리의 일 실례의 입체 분해도이다.
도 7b는 내부 특징을 보여주기 위해서 몇몇 부분이 절개된 도 7a의 대면 플레이트 어셈블리의 입체도이다.
도 8a는 삼중 파티션된 대면 플레이트 어셈블리의 일 실례의 이등분 단면도이다.
도 8b는 도 8a의 예시적인 삼중 파티션된 대면 플레이트 어셈블리의 부분의 세부 도면이다.
도 8c는 도 8a의 예시적인 삼중 파티션된 대면 플레이트 어셈블리의 이등분 단면 분해도이다.
도 8d는 라디칼 확산 플레이트의 상부 부분 및 프리커서 전달 플레이트의 상부 부분이 내부 특징을 보여주기 위해서 제거된, 도 8a의 예시적인 삼중 파티션된 대면 플레이트 어셈블리의 다른 이등분 단면 분해도이다.
도 8e 내지 도 8h는 2 개의 결합 표면들 간의 실제 접촉 구역 상에서의 표면 거칠기 효과를 나타내는 개념도를 나타내고 있다.
도 9는 본 명세서에서 개시된 구성 요소들과 함께 사용될 수 있는 다중 스테이션 프로세싱 툴의 개략도이다.
도 4a 내지 도 8d는 각 도면에서 축척대로 도시된다.
다양한 구현예들의 실례들이 첨부 도면에서 예시되고 이하에서 보다 상세하게 설명될 것이다. 본 명세서의 설명은 청구 범위를 기술된 특정 구현예들로만 한정하기 위한 것이 아니다. 이와 반대로, 첨부된 청구 범위에서 규정된 바와 같은 본 발명의 사상 및 범위 내에 포함될 수 있는 변경, 수정 및 균등 사항들을 포함한다. 다음의 설명에서, 복수의 구현 상의 특정 세부 사항들이 제공되어서 본 발명의 철저한 이해를 돕고 있다. 본 발명은 이러한 구현 상의 특정 세부 사항들 일부 또는 전부가 없이도 실시될 수 있다. 다른 실례로서, 잘 알려진 프로세스 동작들은 본 발명을 불필요하게 모호하게 하지 않도록 하기 위해서 세부적으로 기술되지 았는다.
원격 플라즈마 소스와 함께 사용되는 샤워헤드 및 이 원격 플라즈마 소스와 함께 사용될 수 있는 다른 특징부들을 위한 삼중 파티션된 대면 플레이트 (tri-partitioned faceplate) 에 대한 다양한 구현예들이 본 명세서에서 설명된다. 용어 "삼중 파티션된"은 3 개의 주요 구성 요소들을 갖는 대면 플레이트 어셈블리 (faceplate assembly)를 지칭하는데 사용될 수 있는 한편, 이 용어 "삼중 파티션된"은 또한 3 개의 개별 구역들을 규정하는 보다 많거나 보다 적은 주요 구성 요소들을 갖는 대면 플레이트 어셈블리를 지칭하는데 사용될 수도 있으며, 상기 3 개의 개별 구역들 각각은 이하에서 기술되는 대면 플레이트 어셈블리의 주요 구성 요소들에 대해서 이하에서 설명될 바와 유사한 기능을 제공한다.
도 1a는 라디칼화된 (radicalized) 가스 흐름 동작 동안의, 삼중 파티션된 대면 플레이트 어셈블리를 갖는 원격 플라즈마 소스의 실례의 높은 수준의 개략도이다. 도시된 원격 플라즈마 소스 (170) 는 라디칼 확산 플레이트 (101), 프리커서 전달 플레이트 (103) 및 상기 라디컬 확산 플레이트 (101) 와 프리커서 전달 플레이트 (103) 간에 개재된 열적 격리부 (thermal isolator) (102) 를 포함하는 대면 플레이트 어셈블리 (100) 를 포함한다. 플라즈마 돔 (plasma dome) (133) 은 예를 들어서 실장 플랜지, 쇼율더 (shoulder) 또는 다른 특징부와 같은 실장 인터페이스 (136) 를 통해서 대면 플레이트 어셈블리와 연결되어서 라디칼 소스 볼륨 (volume) (137) 을 형성하며, 예를 들어서 이 볼륨은 플라스마 돔 (133) 의 내부 표면 (134) 과 대면 플레이트 어셈블리에 의해서 그 경계가 정해질 수 있다. 몇몇 구현예들에서, 플라즈마 돔은 사용되지 않을 수 있으며, 대신에 예를 들자면 실질적으로 원통형의 라디칼 소스 볼륨 (137) 을 형성하는 평편한 백 플레이트 또는 다른 형상의 구조물과 같은 보다 통상적인 커버가 반도체 프로세싱 장치 동작에서 사용되는 통상적인 샤워헤드 상에 있는 것을 볼 수 있다. 플레넘 어댑터 (plenum adapter) (138) 가 플라즈마 돔 (133) (또는 예를 들자면 상술한 바와 같은 평편한 백 플레이트 또는 다른 형상의 구조물과 같은 다른 구조물) 과 대면 플레이트 어셈블리 (100) 간에 개재될 수 있다. 이 플레넘 어댑터 (138) 는 프로세스 가스를 하나 이상의 라디칼 유입구 (154) 를 통해서 라디칼 소스 볼륨 (137) 내로 흐르게 하도록 구성되는 원주형 플레넘 (132) 을 포함할 수 있다. 도 1a에서는, 하나 이상의 라디칼 유입구 (154) 를 통해서는 가스 흐름이 존재하지 않는다. 하나 이상의 라디칼 유입구 (154) 는 원형 어레이로 배열된 개별 유입구들이거나 원주형 플레넘 (154) 내의 얇은 원호형의 슬롯들을 특징으로 하는 (featuring) 하나 이상의 원호 형상의 "에어 나이프 (air knife)" 유입구들일 수 있다.
도 1a 및 도 1b는 ICP (유도 결합형 플라즈마) 시스템에서 볼 수 있는 바들과 유사한 원격 플라즈마 소스를 도시하고 있지만, 대면 플레이트 어셈블리 (100) 및 플레넘 어댑터 (138) 와 같은 다른 구성 요소들은 라디칼 확산 플레이트 (101) 위의 볼륨으로 라디칼을 전달하는데 사용될 수 있는 다양한 기술들에서 사용될 수 있다. 예를 들자면, 대면 플레이트 어셈블리 (100) 은 자외선 광을 사용하여서 라디칼을 생성하는 라디칼 생성 기술, 용량 결합형 플라즈마 기술, 마이크로웨이브 플라즈마 생성기 및 다른 타입의 라디칼 생성 기술들에서 사용될 수 있다. 라디칼은 라디칼 소스 볼륨 (137) 내에서 직접 생성되거나 MKS 인스트루먼트 사에 의해서 제공된 ASTRONiTM과 같은 외부 소스로부터 공급될 수 있으며, 이러한 외부 소스는 라디칼 소스 볼륨 (137) 외부에서 라디칼을 생성하여서 라디칼화된 가스를 하나 이상의 전달 포트를 통해서 라디칼 소스 볼륨 (137) 내로 전달하게 된다.
제 1 프로세스 가스는 하나 이상의 라디칼 가스 유입구 (135) 를 통해서 본 장치 내로 유입될 수 있다. 플라즈마는 무선 주파수 코일 (152) 에 의해서 제 1 프로세스 가스를 사용하여서 생성될 수 있으며, 무선 주파수 코일 (152) 는 정합 네트워크 (153) 를 통해서 RF 소스에 접속될 수 있다. 라디칼화된 제 1 프로세스 가스는 라디칼 확산 플레이트 (101) 를 향해서 흘러서 하나 이상의 라디칼 통과 구멍 (108) 을 통과하여서 웨이퍼 반응 구역에 도달하게 되며, 이 웨이퍼 반응 구역은 대면 플레이트 어셈블리 (100) 와 원격 플라즈마 소스 (170) 를 사용하여서 처리되는 웨이퍼 (101) 간에 (또는 대면 플레이트 어셈블리 (100) 와 웨이퍼 지지부 (103) 간에) 위치한다. 이와 동시에, 프리커서 가스가 하나 이상의 프리커서 가스 유입구 (148) 내로 흘러서 내부 가스 분배 통로들 (112) 을 통과하여서 가스 전달 구멍 (110) 으로 유입될수 있다. 이로써, 프리커서 가스 및 라디칼화된 제 1 프로세스 가스가 웨이퍼 반응 구역에 동시에 전달될 수 있다.
도 1b는 대면 플레이트를 통한 라디칼화된 가스 흐름이 억제되는 동작 동안의, 도 1a의 원격 플라즈마 소스의 높은 수준의 개략도이다. 도 1b에서, 제 2 프로세스 가스가 하나 이상의 라디칼 유입구 (154) 를 통해서 라디칼 소스 볼륨 (137) 내로 유입될 수 있다. 하나 이상의 라디칼 유입구 (154) 는 제 2 프로세스 가스를 라디칼 확산 플레이트 (101) 를 걸쳐서 흐르도록 인도하여서 제 1 프로세스 가스와 라디칼 확산 플레이트 (101) 간에 제 2 프로세스 가스 층이 형성되게 하도록 구성될 수 있다. 제 2 프로세스 가스의 흐름은 라디칼 확산 플레이트 (101) 를 향하는 제 1 프로세스 가스의 흐름과 적어도 부분적으로 반대 방향으로 되어서, 제 2 프로세스 가스가 하나 이상의 라디칼 유입구 (154) 를 통해서 흘러 지게 되는 동안에 상기 라디칼화된 제 1 프로세스 가스의 라디칼 통과 구멍 (108) 으로의 흐름이 적어도 부분적으로 억제되게 될 수 있다. 일부 동작 동안에 라디칼 가스가 프로세스 반응 구역에 도달하는 것을 방지하는 것이 바람직하게 되는 상황에서는, 이러한 제 2 프로세스 가스 흐름으로 인해서, 이러한 일부 동작 동안에 라디칼 가스로 채워진 라디칼 소스 볼륨을 퍼징(purge)해야 하는 바(이는 또한 플라즈마를 소멸시키는 바를 포함할 수 있음)가 없게 될 수 있다. 이러한 제 2 프로세스 가스는 예를 들면 불활성 캐리어 가스이거나 제 1 프로세스 가스와 동일한 가스일 수 있다. 몇몇 구현예들에서, 제 2 프로세스 가스는 제 1 프로세스 가스와는 상이한 비 캐리어 가스일 수 있다.
도 2a 내지 도 2e는 다양한 대면 플레이트 어셈블리의 높은 수준의 개략도들이다. 본 개시의 맥락에서 다양한 측면의 대면 플레이트 어셈블리가 기술된다. 전반적으로 말하자면, 대향 프레이트 어셈블리의 라디칼 확산 플레이트와 프리커서 전달 플레이트는 서로 상이한 온도로 유지되어서 프로세싱 환경을 개선시킬 수 있다. 예를 들어서, 라디칼 확산 플레이트는 프리커서 전달 플레이트보다 실질적으로 낮은 온도로 유지되어서 라디칼 전달 효율을 개선시킬 수 있다. 이는 플레이트 표면이 저온일 때보다 플레이트 표면이 고온일 때에 라디칼이 충돌하여서 이 표면과 재결합하는 경향이 더 커지기 때문이다. 라디칼 환산 플레이트의 온도를 낮춤으로써, 라디칼 확산 플레이트와 라디칼이 충돌하여서 이 플레이트와 재결합하는 경향이 감소하고 이로써 보다 많은 양의 라디칼이 라디칼 통과 구멍들을 통과할 수 있게 될 수 있다. 이와 동시에, 프리커서 전달 플레이트를 냉각시키면 이 프리커서 전달 플레이트에 의해서 전달된 프로세스 가스가 바람직하지 않게 응축될 수 있다. 이러한 응축 현상을 방지하기 위해서, 프리커서 전달 플레이트가 프리커서의 기화 온도보다 높은 온도로 유지되도록 프리커서 전달 플레이트는 예를 들자면 가열 요소 또는 유체 열 교환기를 통해서 직접적으로 가열되거나 고온 구성 요소와 열적으로 접촉하여서 간접적으로 가열될 수 있다.
몇몇 구현예들에서, 라디칼 확산 플레이트 및 다른 구성 요소들은 라디칼 재결합을 억제 또는 방지하는 재료로 전체적으로 또는 부분적으로 코팅될 수 있다. 예를 들어서, 라디칼 소스 볼륨을 바라보고 있는 라디칼 확산 플레이트 측에 알루미늄 질화물, 석영 또는 사파이어 코팅이 제공될 수 있다.
열적 격리부가 라디칼 확산 플레이트 및 프리커서 전달 플레이트 간에 개재되어서 이 2 개의 구성 요소들 간의 열 흐름을 제어할 수 있다. 따라서, 열적 격리부는 전반적으로 대면 플레이트 어셈블리를 통한 라디칼 전달 효율에 실질적으로 영향을 주지 않으면서 프리커서 전달 플레이트와 라디칼 확산 플레이트 간의 열 흐름을 억제하도록 구성된 구성 요소 또는 구성 요소들로서 기술될 수 있다.
도 2a 내지 도 2e에 도시된 구현예들에서, 대면 플레이트 어셈블리 (200) 는 모두가 라디칼 확산 플레이트 (201), 열적 격리부 (202) 및 프리커서 전달 플레이트 (203) 를 포함하는 주요 구성 요소들을 포함한다. 이 대면 플레이트 어셈블리 (200) 는 또한 라디칼 통과 구멍 (208) 패턴 및 가스 전달 구멍 (210) 패턴을 포함한다. 라디칼 통과 구멍 (208) 은 대면 플레이트 어셈블리 (200) 전체를 관통하는데 반해서, 가스 전달 구멍 (210) 은 프리커서 전달 플레이트 (203) 의 일부를 관통하고 라디칼 통과 구멍 (208) 이 빠져 나가는 프리커서 전달 플레이트 (203) 측과 동일한 프리커서 전달 플레이트 (203) 측으로 빠져 나간다. 가스 전달 구멍 (210) 은 하나 이상의 내부 가스 분배 통로 (212) 와 유체 연통하고 있다. 이 내부 가스 분배 통로 (212) 는 하나 이상의 프리커서 가스 유입구 (248) 와 유체 연통하여서 예를 들어서 프리커서 가스와 같은 프로세스 가스를 프리커서 전달 플레이트 (203) 내로 유입시키고 가스 전달 구멍 (210) 을 통해서 유출시킬 수 있다. 라디칼 확산 플레이트 (201) 는 복수의 통로 (214) 를 포함하고, 이 통로 (214) 각각은 제 1 단부 (216) 에서 하나 이상의 유입구 (219) 와 유체 연통하고 제 2 단부 (217) 에서 하나 이상의 유출구 (220) 와 유체 연통될 수 있다. 냉각제가 통로 (214) 를 통해서 라디칼 확산 플레이트 (201) 를 순환하여서 라디칼 확산 플레이트 (201) 로부터 열을 전달 받아서 이 라디칼 확산 플레이트의 온도를 낮출 수 있다. 이 냉각제는 냉각제 공급부 또는 열 교환기 시스템과 같은 외부 소스로부터 제공될 수 있다.
도 2a는 열적 격리부 역할을 하는 천공된 플레이트를 특징으로 하는 삼중 파티션된 대면 플레이트 어셈블리의 일 실례의 높은 수준의 개략도이다. 본 구현예에서, 열적 격리부 (202) 는 라디칼 확산 플레이트 (201) 와 프리커서 전달 플레이트 (203) 간에 샌드위치된 재료 층 형태를 취한다. 이 열적 격리부 (202) 에서 사용되는 재료는 프로세스 화학 성분과의 양립성(compatibility) 및 라디칼과의 저 반응성을 유지하면서 (라디칼 확산 플레이트 (201) 및 프리커서 전달 플레이트 (203) 의 열 전도도에 비해서) 상대적으로 낮은 열 전도도를 갖는 재료일 수 있다. 예를 들자면, 열적 격리부 (202) 는 로우 K 세라믹, 석영과 같은 재료, 예를 들자면 폴리 아미드와 같은 다양한 저 열 전도도 플라스틱 또는 300 시리즈 스테인레스와 같은 스테인레스 스틸 (반도체 프로세싱 챔버의 화학적 분위기로부터 그들을 보호하기 위해서 코팅됨) 로부터 구성될 수 있는 한편, 라디칼 확산 플레이트 (201) 및 프리커서 전달 플레이트 (203) 는 가령 알루미늄 합금과 같은 크기 또는 정도의 열 전도도 또는 그 보다 큰 열 전도도를 갖는 재료로 구성될 수 있다. 라디칼 통과 구멍 (208) 은 열적 격리부 (202) 를 통과할 수 있다. 볼 수 있는 바와 같이, 라디칼 통과 구멍 (208) 은 라디칼 확산 플레이트 (201), 열적 격리부 (202) 및 프리커서 전달 플레이트 (203) 를 통과할 때에 실질적으로 균일한 단면을 유지한다. 이는 이 라디칼 통과 구멍 (208) 을 통해서 이동하는 라디칼이 각 라디칼 통과 구멍 (208) 과 만나게 되는 표면적을 줄이는데 도움이 되며 이로써 라디칼 통과 구멍의 측벽과 라디칼이 재결합할 가능성이 줄어 들 수 있다.
도 2b는 열적 격리부 역할을 하는 중공형 플레이트를 특징으로 하는 삼중 파티션된 대면 플레이트 어셈블리의 일 실례의 높은 수준의 개략도이다. 대면 플레이트 어셈블리 (200)에 대한 본 구현예에서는, 열적 격리부 (202) 는 진공 상태로 펌핑 다운 (pump down) 될 수 있는 내부 자유 볼륨 (241) 을 형성하도록 크게 중공화될 수 있다. 이 자유 볼륨 (241) 은 실질적으로 열적 격리부 (202) 내의 갭 (230) 을 규정할 수 있으며, 몇몇 구현예에서는, 볼 수 있을 바와 같이, 갭 (240) 은 라디칼 확산 플레이트 (201) 와 프리커서 전달 플레이트 (203) 간에 존재하거나 라디칼 확산 플레이트 (201) 및 프리커서 전달 플레이트 (203) 중 어느 하나와 열적 격리부 (202) 의 표면 간에 존재할 수 있다. 관형 구조물 (tubular structure) (242) 이 라디칼 통과 구멍 (208) 을 내부 자유 볼륨 (241) 으로부터 실질적으로 유체 분리시킬 수 있다. 내부 자유 볼륨 (241) 내가 진공 상태로 존재하기 때문에, 열적 격리부를 통한 열 흐름은 자유 볼륨 (241) 을 통한 복사 열 전달 메카니즘 및 관형 구조물 (242) 재료를 통한 한정된 열 전도로만 효과적으로 한정될 수 있다. 본 구현예에서 전도성 열 흐름의 양을 줄이기 위해서, 도 2a의 열적 격리부 (202) 에서와 같이 열적 격리부 (202) 는 로우 K 재료로 구성될 수 있다.
자유 볼륨 (241) 은 진공 펌프에 연결된 진공 포트 (259) 를 통해서 진공으로 펌핑 다운되거나, 자유 볼륨 (241) 은 예를 들자면 이 대면 플레이트 어셈블리 (200) 를 통과하여서 웨이퍼 반응 구역에 이르는 진공 포트 (도 2b에서는 도시되지 않았지만 도 3a 및 도 3b을 참조) 를 통해서 대면 플레이트 어셈블리 (200) 를 하우징하는 프로세스 챔버의 대형 볼륨과 유체 연통할 수 있다. 이 웨이퍼 반응 구역이 (대면 플레이트 어셈블리 (200) 를 통해서 제공되는 소량의 프로세스 가스의 부가를 제외하고) 진공 상태로 유지될 수 있기 때문에, 이는 또한 자유 볼륨 (241) 도 역시 유사한 분위기 상태로 유지시키는 역할을 할 수 있다.
도 2c는 다른 중공형 플레이트의 열적 격리부 설계를 특징으로 하는 삼중 파티션된 대면 플레이트 어셈블리의 일 실례의 높은 수준의 개략도이다. 이 구현예는 열적 격리부 (202) 가 자유 볼륨 (242) 을 완벽하게 규정하지 않는 다는 점을 제외하면 도 2b을 참조하여서 기술된 바와 유사하다. 대신에, 이 열적 격리부 (202) 는 각 라디칼 통과 구멍 (208) 위치에서 그로부터 돌출된 관형 구조물 (242) 을 갖는 플레이트를 포함한다. 본 구현예에서, 갭 (240) 은 라디칼 확산 플레이트 (201) 와 이 열적 격리부 (202) 의 플레이트 간의 거리만큼 규정된다. 관형 구조물 (242) 은 라디칼 확산 플레이트 (201) 내의 리세스 내로 연장될 수 있다. 몇몇 구현예들에서, 관형 구조물 (242) 은 라디칼 확산 플레이트 (201) 에 대하여 단지 가압 접촉되어 있을 뿐 이와 같이 리세스 내로 연장되지 않을 수 있다. 몇몇 다른 구현예들에서, 관형 구조물 (242) 은 소형 갭 만큼 라디칼 확산 플레이트 (201) 로부터 분리되어 있을 수 있는데, 즉 관형 구조물 (242) 과 라디칼 확산 플레이트 (201) 간의 밀봉 실 (hermertic seal) 이 필요 하지 않을 수 있다. 이러한 소형 갭은 관형 구조물 (242) 과 라디칼 확산 플레이트 (201) 간의 플로우 컨덕턴스 (flow conductance) 가 라디칼 관통 홀을 통한 플로우 컨덕턴스보다 실질적으로 작도록 충분하게 작을 수 있다. 진공 포트 (259) 가 사용되어서 열적 격리부 (202) 내의 자유 볼륨 (241) 을 펌핑 다운하여서 열적 격리부 (202) 의 열 전도도를 더욱 낮출 수 있다.
도 2d는 복수의 개별 관 (tube) 을 특징으로 하는 열적 격리부를 특징으로 하는 삼중 파티션된 대면 플레이트 어셈블리의 일 실례의 높은 수준의 개략도이다. 본 구현예에서, 열적 격리부 (202) 는 단일의 구획 가능한 부분으로서 존재하지 않는다. 대신에, 열적 격리부 (202) 는 라디칼 확산 플레이트 (201) 와 프리커서 전달 플레이트 (203) 간에 개재된 보다 작은 부분들의 집합체로서 형성될 수 있다. 예를 들어서, 라디칼 확산 플레이트 (201) 및 프리커서 전달 플레이트 (203) 중 하나 또는 양자가 개별 관형 구조물 (242) 이 라디칼 확산 플레이트 (201) 및/또는 프리커서 전달 플레이트 (203) 내로 삽입되게 할 수 있는 리세스들을 포함할 수 있다. 원주형 외부 벽이 라디칼 확산 플레이트 (201) 와 프리커서 전달 플레이트 (203) 간에 구조적 지지부를 제공하고 자유 볼륨 (241) 의 외부 경계를 규정할 수 있다. 이 원주형 외부 벽 및 관형 구조물들은 본 구현예에서 서로 동일한 또는 상이한 재료로 구성될 수 있다. 예를 들어서, 원주형 외부 벽은 알루미늄 산화물로 구성되는 반면에, 관형 구조물들은 석영으로 구성될 수 있다.
도 2e는 서로 오프셋된 가스 분배 구멍 출구 및 라디칼 통과 구멍 출구를 특징으로 하는 삼중 파티션된 대면 플레이트 어셈블리의 일 실례의 높은 수준의 개략도이다. 도 2a 내지 도 2d에 대하여서 상술한 바와 같은 구현예들은 다양한 열적 격리부 구현에 초점을 두었다. 도 2e에 예시된 구현예는 도 2b에 예시된 바와 유사한 열적 격리부 (202) 를 채용하지만, 상술한 바와 같은 다른 타입의 열적 격리부 (202) 가 역시 채용될 수 있다. 그러나, 도 2e에서, 가스 전달 플레이트 (203) 는 도 2a 내지 도 2d에 대하여서 상술한 바와 같은 가스 전달 플레이트 (203) 와는 상이한 기하 구조를 갖는 것을 특징으로 한다. 도 2e의 가스 전달 플레이트 (203) 는 제 1 면 (243) 에서 라디칼 통과 구멍 (208) 이 가스 전달 플레이트 (203) 를 빠져 나가는 반면에 가스 분배 구멍 (210) 은 상기 제 1 면 (243) 과 제 1 비 제로 거리 (245) 만큼 오프셋된 제 2 면 (244) 에서 가스 전달 플레이트 (203) 를 빠져 나간다.
예를 들어서, 가스 전달 플레이트 (203) 는 실질적으로 평편한 플레이트이면서 각 가스 전달 구멍 (210) 위치에서 상기 평면판 플레이트부터 돌출된 소형 관을 가질 수 있다. 가스 전달 구멍 (210) 의 출구 면 및 라디칼 통과 구멍 (208) 의 출구 면 간의 오프셋으로 인해서, 라디칼 통과 구멍으로부터의 라디칼 가스 흐름이 프리커서 가스가 이 라디칼 가스 내로 도입되기 이전에 실질적으로 완전하게 발현된 (developed) 흐름 상태에 이르게 될 수 있다. 각각의 가스의 출구 면들을 물리적으로 오프셋시킴으로써, 라디칼 가스가 완전하게 발현된 흐름 상태에 도달하는데 충분한 시간이 라디칼 가스에 주어질 수 있다. 몇몇 구현예들에서, 이러한 오프셋은 0.25" 보다 클 수 있다. 몇몇 다른 구현예들에서, 이러한 오프셋은 0.25" 내지 3" 간에 존재할 수 있다. 몇몇 또 다른 추가 구현예들에서, 이러한 오프셋은 3" 내지 12" 간에 존재할 수 있다.
라디칼 확산 플레이트 (201), 열적 격리부 (202) 및 가스 전달 플레이트 (203) 에 대해서 위에서 개략된 다양한 개념들은 도시된 바와 상이한 다양한 배열로 해서 어셈블리될 수 있다. 예를 들어서, 도 2e에서 볼 수 있는 바와 같은 비 공통 면 (non-coplanar) 을 갖는 출구 면들을 갖는 가스 전달 플레이트 (203) 가 도 1a와 같은 라디칼 확산 플레이트 (201) 및 열적 격리부 (202) 와 함께 사용될 수 있다. 적절한 수정의 경우에 있어서, 다른 도 2b 내지 도 2d에 대해서도 역시 이와 유사한 구성이 이루어질 수 있다.
도면들에서 도시된 프리커서 전달 플레이트 (203) 가 오직 단일의 가스 전달 구멍 세트를 특징으로 하고 있지만, 몇몇 구현예들은 복수의 가스 전달 통로 세트 및 이에 대응하는 복수의 가스 전달 구멍 세트를 갖는 프리커서 전달 플레이트 (203) 를 특징으로 할 수 있다. 예를 들어서, 프리커서 전달 플레이트 (203) 는 이 가스 전달 플레이트 (203) 내에서 서로 유체 분리된 2 개의 가스 전달 통로 세트 및 이에 대응하는 2 개의 가스 전달 구멍 세트를 갖도록 구성될 수 있다.
라디칼 확산 플레이트 (201) 및 열적 격리부 (202) 의 몇몇 구현예들과 같은, 내부 냉각 통로를 갖는 다양한 플레이트에 있어서, 냉각 통로는 자신이 위치하는 플레이트에서 서펜타인 경로 (serpentine route) 또는 서킷형 경로 (circuitous route) 로 연장될 수 있다. 몇몇 다른 구현예들에서, 냉각 경로는 실질적으로 직선 경로를 따를 수 있다. 도 3a는 실질적으로 직선 냉각 채널 경로를 나타내는 개략도이다. 도 3b는 실질적으로 직선 냉각 채널 경로를 나타내는 개략도이다. 도 3a에서 볼 수 있는 바와 같이, 냉각 통로 (314) 는 직선 경로 (315) 를 따르며, 이러한 경로는 예를 들어서 건 드릴 (gun drill) 을 사용하여서 플레이트를 크로스 드릴링 (cross-drilling) 함으로써 생성된 바일 수 있다. 도 3b에 도시된 바와 같이, 냉각 경로 (314) 는 전반적으로는 직선 경로 (315) 를 따라서 연장되면서 직선 경로 (315) 를 가로질러서 앞뒤로 위빙 (weaving) 함으로써 직선 경로 (315) 를 이탈할 수 있다. 따라서, 예를 들어서 기준 면에 평행한 경로를 따르는 통로들을 언급하면 이는 이 기준 면에 평행한, 도 3a 및 도 3b에 도시된 바와 같은, 직선 경로를 실질적으로 따르는 통로를 포함하는 것으로 이해될 수 있다.
이제, 본 개시에서 개략된 개념들에 따라서 대면 플레이트 어셈블리 및 그 내의 구성 요소들의 다른 실례들을 나타내는 다양한 보다 상세한 도면들이 참조될 것이다.
도 4a는 삼중 파티션된 대면 플레이트 어셈블리의 일 실례의 입체도이다. 도시된 대면 플레이트 어셈블리 (400) 는 라디칼 확산 플레이트 (401), 프리커서 전달 플레이트 (403) 및 이 라디칼 확산 플레이트 (401) 와 프리커서 전달 플레이트 (403) 간에 개재된 열적 격리부 (402) 를 특징으로 한다. 열적 격리부 (402) 는 이 도면에서 보기가 다소 어렵지만 이후의 도면들에서는 보다 잘 보인다.
이 대면 플레이트 어셈블리는 중심 축 (431) 을 중심으로 하는 실질적으로 원형인 영역을 걸쳐서 분포된 라디칼 통과 구멍 (408) 패턴을 특징으로 할 수 있다. 이 라디칼 통과 구멍 (408) 은 라디칼 확산 플레이트 (401) 에 대해서 실질적으로 수직인 구멍 중심 축 (409) 을 가질 수 있다. 이 라디칼 통과 구멍들은 이 라디칼 통과 구멍들 (408) 모두의 측벽 면적의 합을 나타내는 총 측면 면적 (이 총 면적은 열적 격리부 (402) 및 프리커서 전달 플레이트 (403) 내에 위치한 라디칼 통과 구멍들 (408) 의 부분들의 측벽의 면적도 포함함) 을 가지며 라디칼 소스 볼륨 (437) 의 경계의 일부를 형성하는 라디칼 확산 플레이트 (401) 의 부분은 라디칼 통과 구멍들 (408) 의 단면적을 포함하지 않는 단면적을 가질 수 있다. 몇몇 구현예들에서, 라디칼 통과 구멍들 (408) 의 총 측벽 면적의 상술한 단면적에 대한 비는 1 : 1.5 보다 작을 수 있다.
몇몇 구현예들에서, 라디칼 통과 구멍 (408) 의 길이 대 직경 비는 2:1 보다 클 수 있으며, 그 길이는 대면 플레이트 어셈블리 (400) 에 의해서 전달된 가스가 가스 전달 구멍 (410) 또는 라디칼 통과 구멍 (408) 을 통해서 다시 대면 플레이트 어셈블리 (400) 내로 역 확산되는 것을 방지하도록 0.25"보다 클 수 있다. 몇몇 구현예들에서, 라디칼 통과 구멍 (408) 의 길이 대 직경 비는 7:1 내지 10: 1 간에 존재할 수 있다. 라디칼 통과 구멍 (408) 은 대면 플레이트 어셈블리 (400) 통한 구멍 중심 축에 수직인 설계 상 (nominally) 균일한 단면적을 구비할 수 있다. 몇몇 구현예들에서, 예를 들어서 대면 플레이트 어셈블리 (400) 를 들락 날락하는 라디칼 통과 구멍 (408) 의 플레어링 (flaring) 과 같은 단면적 상의 어느 정도의 편차가 존재할 수 있다.
도 4a에서 도시된 라디칼 확산 플레이트 (401) 및 프리커서 전달 플레이트 (403) 는 (다중부 (multi-part) 측면이 라디칼 확산 플레이트에 대해서만 도시되었지만) 다중부 설계를 갖는다. 예를 들어서, 라디칼 확산 플레이트는 실질적으로 원형의 내부 부분 및 용접 구역 (447) 에서 이 내부 부분의 외경에 용접되는 4 개의 원호형 외부 부분으로 형성될 수 있다. 이로써, 크로스 통로가 이 내부 부분의 폭을 통과하도록 드릴링되며 이어서 크로스 통로가 상기 외부 부분에 의해서 캡핑 (capping) 되어서 유체 또는 가스 흐름에 대해서 밀폐된 내부 공간을 형성할 수 있다. 라디칼 확산 플레이트 (401) 는 이 라디칼 확산 플레이트 (401) 를 예를 들어서 백 커버, 어댑터, 플라즈마 돔 또는 다른 이와 짝을 이루는 (mating) 표면에 대해서 실링하도록 구성될 수 있는 하나 이상의 실링 인터페이스 (446) 를 포함할 수 있다. 다른 실링 인터페이스 (446) 가 예를 들어서 열적 격리부 (402) 또는 프리커서 전달 플레이트 (403) 와 같은 다른 구성 요소 상에도 역시 위치하여서 라디칼 확산 플레이트 (401), 열적 격리부 (402) 및 프리커서 전달 플레이트 (403) 간의 인터페이스(계면)을 실링할 수 있다.
제 1 유입구 (423) 및 제 2 유입구 (427) 는 라디칼 확산 플레이트 (401) 내부에 있는 냉각 통로들을 통해서 각기 제 1 유출구 (424) 및 제 2 유출구 (428) 와 유체 연통할 수 있다. 마찬가지로, 하나 이상의 프리커서 가스 유입구 (448) 는 프리커서 전달 플레이트 (403) 내의 하나 이상의 내부 가스 분배 통로들과 유체 연통할 수 있다.
도 4B는 내부의 특징부들을 보여주기 위해서 복수의 부분들이 절취된 도 4a의 대면 플레이트 어셈블리의 입체도이다. 볼 수 있는 바와 같이, 라디칼 통과 구멍 (408) 은 라디칼 확산 플레이트 (401), 열적 격리부 (402) 및 프리커서 전달 플레이트 (403) 를 모두 통과하며 이렇게 함으로써 중심 축 (409) 에 수직인 실질적으로 균일한 단면적을 유지할 수 있다. 또한, 도 4B에서는 제 1 내부 냉각 통로들 (413) 의 네트워크 내에 있는 복수의 그러한 통로 (414) 중 하나인 통로 (414) 가 보여지고 있다. 볼 수 있는 바와 같이, 이 통로 (414) 의 제 1 단부 (416) 는 도 4c에서 볼 수 있을 바와 같이 제 1 유입구 (423) 와 유체 연통하고 있는 냉각제 플레넘 (450) 과 유체 연통할 수 있다. 제 2 유입구 (427) 는 다른 냉각제 플레넘 (450) 과 유체 연통하고 이로써 (이 도면에서는 도시되지 않은) 다른 통로 (414) 의 제 1 단부 (416) 에 유체 연통할 수 있다. 몇몇 구현예에서, 제 1 유입구 (423) 및 제 2 유입구 (424) 또는 단일의 공통 유입구는 통로 (414) 의 제 1 단부 (416) 에 연통하는 공통 냉각제 플레넘 (450) 과 연통할 수 있다. 통로 (414) 의 (도 4B에서는 도시되지 않았지만 이하에서 기술될 바와 같이 도 4c에서는 볼 수 있는) 제 2 단부 (417) 가 냉각제 플레넘 또는 냉각제 플레넘들 (450) 과 연통하고 이어서 제 1 유출구 (424) 및 제 2 유출구 (428) 에 연통되거나, 몇몇 실시예에서는, 단일의 공통 냉각제 플레넘 (450) 및/또는 단일 공통 유출구에 연통될 수 있다. 라디칼 확산 플레이트 (401) 에 걸쳐서 실질적으로 균일한 냉각을, 예를 들어서 통상적인 프로세서 조건 동안에 라디칼화된 가스에 접촉할 수 있는 라디칼 확산 플레이트의 부분에 걸쳐서 약 1 내지 2 ℃의 온도 차이를 제공하기에 충분한 다른 냉각 방식들이 역시 사용될 수 있으며 본 개시의 범위 내에서 있는 것으로 고려될 수 있다.
몇몇 측면에서, 프리커서 전달 플레이트 (403) 는 라디칼 확산 플레이트 (401)에서의 특징과 유사한 몇몇 특징을 가질 수 있다. 예를 들어서, 프리커서 전달 플레이트 (403) 는 몇몇 측면에서 냉각 플레넘 (450) 및 통로 (414) 와 실질적으로 유사할 수 있는 프리커서 플레넘 (451) 및 내부 가스 분배 통로 (412) 를 가질 수 있다. 프리커서 전달 플레이트 (403) 는 또한 프리커서 가스를 프리커서 플레넘 (451) 내로 도입하도록 구성된 하나 이상의 프리커서 가스 유입구 (448) 를 구비할 수 있다. 그러나, 라디칼 확산 플레이트 (401) 와는 대조적으로, 프리커서 플레넘 (451) 및 내부 가스 분배 통로 (412) 내로 유입되는 프리커서 가스와 같은 유체는 제 1 유출구 (424) 및 제 2 유출구 (428) 와 유사한 유출구를 통해서 프리커서 전달 플레이트 (403) 를 나가지 않고 대신에 내부 가스 분배 통로 (412) 와 유체 연통하는 가스 전달 구멍 (410) 패턴 외부로 나갈 수 있다. 이 유체 전달 구멍 (410) 은 라디칼 통과 구멍 (408) 의 중심 축 (409) 에 평행한 구멍 중심 축 (411) 을 가질 수 있다. 이 가스 전달 구멍 (410) 은 라디칼 통과 구멍 (408) 보다 그 단면적이 실질적으로 더 작을 수 있다. 그러나, 다른 구현예들에서, 라디칼 확산 플레이트 및 프리커서 전달 플레이트 (403) 의 구조는 도 4B에 도시된 바와 매우 상이할 수 있다.
도 4c는 내부 특징들을 보여주기 위해서 몇몇 구성 요소들이 절취된 부분들을 갖는 도 4a의 대면 플레이트 어셈블리의 분해 입체도이다. 도 4c에서, 라디칼 확산 플레이트 (401) 의 상부 절반이 제거되어서 통로 (414) 가 보이게 된다. 이와 유사한 이유로 해서, 프리커서 전달 플레이트 (403) 의 상부 절반도 제거되었다.
라디칼 확산 플레이트 (401) 는 제 1 측 (406) (이는 도 4c에서는 바로 보이지 않는데 그 이유는 이 측이 절취된 라디칼 확산 플레이트 (401) 부분 상에 있기 때문이며 이 제 1 측 (406) 은 도 4B에서는 표시되어 있음) 및 이 제 1 측에 대향하는 제 2 측 (407) 을 가질 수 있다.
또한, 본 구현예에서는, 각각이 라디칼 확산 플레이트 (401) 의 상이한 사분면을 점유하는 원호형 내부 공간인 냉각 플레넘들 (450) 을 볼 수 있다. 기준 면 (418) 의 일 측 상에 위치한 냉각제 플레넘 (450) 및 통로 (414) 는 라디칼 확산 플레이트 (401) 내에서 이 기준 면 (418) 의 다른 측 상에 위치한 냉각제 플레넘 (450) 및 통로 (414) 와는 유체 분리될 수 있다. 이러한 플레넘/통로 구성으로 인해서 상대적으로 높은 냉각제 플로우 레이트가 가능하며 라디칼 확산 플레이트의 폭을 걸쳐서 실질적으로 균일한 온도 편차, 예를 들어서 약 1 ℃ 보다 작은 온도 편차를 제공할 수 있다. 각 통로 (414) 는 제 1 단부 (416) 에서 냉각제 플레넘 (450) 과 연통하고 제 2 단부 (417) 에서 다른 냉각제 플레넘 (450) 과 연통할 수 있다. 예를 들어서 써모커플 (thermocouple) 또는 다른 온도 측정 프로브 (미도시) 와 같은 온도 센서가 라디칼 확산 플레이트 (401) 의 중심 근처에 위치하여서 라디칼 확산 플레이트 (401) 에서의 온도를 모니터링하도록 온도 센서 구멍 (449) 이 제공될 수 있다.
프리커서 전달 플레이트 (403) 에 있어서, 본 구현예에서, 프리커서 전달 플레이트 (403) 의 외연부를 실질적으로 둘러서 연장된 단일 프리커서 플레넘 (451) 이 제공된다. 프리커서 가스를 이 프리커서 플레넘 (451) 에 공급하도록 구성된 프리커서 가스 유입구 (448) 이 제공될 수 있다. 프리커서 플레넘 (451) 으로부터의 프리커서 가스는 내부 가스 분배 통로 (412) 내로 흐르고 이 내부 가스 분배 통로 (412) 로부터 가스 전달 구멍 (410) 을 통해서 프리커서 전달 플레이트를 빠져 나간다. 가스 전달 구멍 (410) 은 라디칼 통과 구멍 (408) 들이 분포된 방식과 상대적으로 유사한 방식으로 프리커서 전달 플레이트 (403) 내에서 이에 걸쳐서 분포될 수 있다. 라디칼 통과 구멍들 (408) 및 가스 전달 구멍들 (410) 양자에 대해서 상이한 구멍 패턴들이 다른 구현예들에서 사용될 수 있다. 라디칼 통과 구멍 (408) 은 대면 플레이트 어셈블리 (400) 내에서 내부 가스 분배 통로 (412), 가스 전달 구멍 (410), 냉각제 플레넘 (450), 프리커서 플레넘 (451) 및 통로 (414) 와는 유체 분리되도록 위치할 수 있다.
프리커서 전달 플레이트 (403) 는 라디칼 확산 플레이트 (401) 와 유사하게 제 1 측 (404) (다시 말하자면, 이 측은 도 4c에서는 절취로 인해서 직접적으로 보이지 않음) 및 제 2 측 (405) 을 가질 수 있다. 전반적으로 말해서, 프리커서 전달 플레이트 (403) 의 제 1 측 (404) 은 라디칼 확산 플레이트 (401) 의 제 2 측 (407) 을 바라보며, 따라서 열적 격리부는 이 제 1 측 (404) 과 제 2 측 (407) 간에 개재된다.
본 개시에서 서두에 언급한 바와 같이, 상이한 타입의 라디칼 확산 플레이트 (401), 상이한 타입의 열적 격리부 (402) 및 상이한 타입의 프리커서 전달 플레이트 (403) 가 다양한 적층형 배열로 사용되어서 대면 플레이트 어셈블리 (400) 를 제공할 수 있다. 도 4a 내지 도 4c는 통로 (412) 를 통한 일방향 냉각제 흐름을 갖는 라디칼 확산 플레이트 (401) 를 갖는 대면 플레이트 어셈블리 (400) 를 도시하고 있다.
도 5a는 서로 반대되는 흐름을 갖는 냉각 채널들을 갖는 라디칼 확산 플레이트의 입체 분해도이다. 도 5a에서, 라디칼 확산 플레이트 (501) 는 3 개의 주요 구성 요소들, 즉 탑 플레이트 (555), 중간 플레이트 (556) 및 바닥 플레이트 (557) 의 스택 (stack) 에 의해서 형성될 수 있다. 이러한 플레이트들은 브레이징 (brazing) 과 같은 보다 영구적인 방법에 의해서 함께 클램핑되거나 서로 유지될 수 있다. 탑 플레이트 (555) 는 제 1 유입구 (523) 및 제 2 유입구 (527) 를 가질 수 있다. 제 1 유입구 (523) 는 탑 플레이트 (555) 를 관통하고 탑 플레이트 (555) 의 바닥 표면에 형성된 슬롯 (도시되지 않았지만 바닥 플레이트 (557) 의 상부 표면 상에 도시된 슬롯들과 유사함) 을 통해서 냉각제 플레넘 (550) (도 5a에서는 우측에 도시됨) 과 유체 연통할 수 있다. 제 1 유입구 (523) 를 통해서 냉각제 플레넘 (550) 내로 흐른 냉각제는 이어서 중간 플레이트 (556) 의 제 1 통로 (525) 를 통과하고 다른 냉각제 플레넘 (550) 내로 흘러서 다른 슬롯을 통해서 제 1 유출구 (524) 로부터 유출된다.
또한, 도 5a에서는 제 2 유입구 (527) 및 제 2 유출구 (528) 를 볼 수 있으며, 이들 모두는 바닥 플레이트 (557) 내의 볼 수 있는 슬롯들을 통해서 중간 플레이트 (556) 의 하부 측 상의 제 2 통로들 (526) (본 도면에서는 보이지 않지만 도 5c에서는 볼 수 있음) 과 유체 연통할 수 있다. 도 5a에서는 각 유출구/유입구 및 플레넘 내로/외부로의 유체 흐름 방향을 나타내는 화살표가 존재한다.
도 5b의 도 5a의 라디칼 확산 플레이트의 중간 플레이트의 제 1 측을 나타내고 있다. 도 5c의 도 5a의 라디칼 확산 플레이트의 중간 플레이트의 제 2 측을 나타내고 있다. 도 5b에 도시된 제 1 측은 도 5a의 중간 플레이트 (556) 의 보이는 측에 대응한다. 흐름 화살표는 제 1 통로 (525) 에서의 유체 흐름이 우측에서 좌측으로 되는 것을 나타내고 있다. 도 5c에 도시된 제 2 측은 도 5a의 중간 플레이트 (556) 의 반대 측에 대응한다. 흐름 화살표는 제 2 통로 (526) 에서의 유체 흐름이 좌측에서 우측으로 되는 것을 나타내고 있다. 이로써, 냉각제는 제 1 통로들에서는 제 1 방향으로 흐르고 제 2 통로들에서는 상기 제 1 방향과는 반대되는 제 2 방향으로 흐를 수 있다. 이로써, 라디칼 통과 구멍 (508) 을 포함하는 라디칼 확산 플레이트 (501) 부분에 걸쳐서 보다 균일한 온도 분포가 달성될 수 있다.
도 6a는 프리커서 전달 플레이트의 일 실례의 입체 단면도이다. 도 6a의 프리커서 전달 플레이트 (603) 는 도 2c에 도시된 개념과 유사하며 주 플레이트 (660) 및 이 주 플레이트로부터 캡 플레이트 (658) 내로 돌출된 복수의 관형 구조물 (642) 을 포함한다. 각 관형 구조물 (642) 은 이 구조물을 관통하는 라디칼 통과 구멍 (608) 을 포함할 수 있다. 주 플레이트 (660) 및 캡 플레이트 (658) 는 갭 만큼 서로 오프셋되어서 프리커서 플레넘 (651) 을 형성할 수 있다. 본 구현예에서, 프리커서 플레넘 (651) 은 라디칼 통과 구멍들 (608) 주변의 주변부 볼륨을 포함할 뿐만 아니라 관형 구조물들 (642) 간의 인터스티스 (interstice) 도 포함하여서 별도의 가스 분배 채널을 필요로 하지 않게 할 수 있다. 가스 전달 구멍 (610) 패턴은 가스 전달 플레이트 (603) 의 제 2 측 (605) 상에 존재하며 프리커서 플레넘 (651) 과 유체 연통할 수 있다 (프리커서 전달 플레이트 (603) 는 본 도면에서 "거꾸로" 보이는데 그 이유는 정상적으로는 가스 전달 구멍들 (601) 은 사용 동안에 웨이퍼 반응 구역을 향해서 아래를 향하도록 되기 때문이다).
도 6b는 도 6a의 프리커서 전달 플레이트의 바닥을 나타내고 있다. 이 도면에서 볼 수 있는 바와 같이, 가스 전달 구멍들 (610) 및 라디칼 통과 구멍들은 가스 전달 플레이트 (603) 의 내부 부분에 걸쳐서 실질적으로 균일하게 분포되도록 배열되어 있다. 도 6c는 도 6a의 프리커서 전달 플레이트의 측 단면을 나타내고 있다. 이 도면은 도 6a의 세부 요소들 중 몇몇을 보다 명료하게 나타내고 있다. 도 6d는 도 6a의 프리커서 전달 플레이트의 뒤집어진 분해 입체도이다. 이 도면은 관형 구조물들 (642) 이 자신들의 외부 표면을 따라서 쇼율더 또는 테이퍼형 에지 (tapered edge) 를 가질 수 있음을 나타내고 있지만, 도시된 내부 표면들은 라디칼 통과 구멍 단면과 일치하는 일정한 단면을 반영하고 있음이 주목될 필요가 있다. 실제로, 관형 구조물 (642) 은 예를 들어서 라운딩된 또는 챔퍼형 (chamfered) 외부 에지와 같은, 제조 및 어셈블리를 용이하게 하는 복수의 특징부를 포함할 수 있다.
도 7a는 열적 격리부의 일 실례의 분해 입체도이다. 도 7a에서, 라디칼 확산 플레이트 (701) 는 라디칼 확산 플레이트 (401) 와 유사하게 나타나 있다. 그러나, 열적 격리부 (702) 및 프리커서 전달 플레이트 (703) 는 열적 격리부 (402) 및 프리커서 전달 플레이트 (403) 와는 상이할 수 있다. 본 구현예에서, 관형 구조물 (742) 은 프리커서 전달 플레이트 (703) 의 제 1 측으로부터 열적 격리부 (702) 의 원통형 볼륨 내로 돌출되어 있다. 도 7a에서, 관형 구조물 플레이스홀더 (742') 는 대면 플레이트 어셈블리 (700) 가 완전하게 어셈블리된 때에 열적 격리부 (702) 내의 관형 구조물 (742) 의 위치를 나타내고 있다. 따라서, 라디칼 확산 플레이트 (701)의 일부, 열적 격리부 (702) 의 일부 및/또는 프리커서 전달 플레이트 (703) 의 일부를 형성하는 다양한 구성 요소들이 대면 플레이트 어셈블리 (700) 의 다른 층들에 의해서 지지되거나 이에 부착되어 어셈블리 동안에 적합하게 배치될 수 있다. 또한, 도 7a에서는 본 구현예에서는 간단하게 구멍인 진공 포트 (759) 를 볼 수 있는데, 이 진공 포트 구멍은 열적 격리부 (702) 내의 원통형 공간이 프리커서 전달 플레이트 (703) 를 통해서 웨이퍼 반응 구역 또는 이를 둘러싸는 프로세스 챔버 공간으로 통기 (vent) 되게 할 수 있다 (이로써, 이 원통형 공간 내의 압력이 반도체 프로세스 챔버 내의 압력 상태와 평형 상태를 유지하도록, 예를 들어서 진공 환경을 유지하도록 할 수 있다).
도 7b는 내부 특징을 보여주기 위해서 몇몇 부분들이 절취된 도 7a의 열적 격리부의 입체도이다. 도 7b에서, 라디칼 확산 플레이트는 냉각제 플레넘들 (750) 간에 걸쳐 있으면서 제 1 내부 냉각 통로들 (713) 의 네트워크의 일부인 몇몇 개의 냉각 통로 (714) 중의 하나 통로를 이등분하는 현부에서 절개되도록 도시되어 있다. 라디칼 통과 구멍들 (708) 은 라디칼 확산 플레이트 (701)를 관통하며, 실링 인터페이스 (746) 가 제공되어서 대면 플레이트 어셈블리 (700) 가 예를 들어서 이 대면 플레이트 어셈블리 (700) 와 함께 원격 플라즈마 볼륨을 실질적으로 구획할 수 있는 플라즈마 돔 구조물 또는 다른 구조물에 대해서 실링되게 할 수 있다. 제 1 유출구 (724) 및 제 2 유출구 (728) 는 냉각제가 라디칼 확산 플레이트 (701) 의 내부를 나가게 할 수 있다.
열적 격리부 (702) 는 상이한 현부에서 절개되도록 도시되어 있다. 볼 수 있는 바와 같이, 프리커서 전달 플레이트 (703) 로부터 돌출된 관형 구조물 (742) 은 라디칼 확산 플레이트 (701) 와 프리커서 전달 플레이트 (703) 간의 갭 (740) 만큼 규정된 공간 내로 돌출될 수 있다.
프리커서 전달 플레이트 (703) 는 현부에서 단차형으로 절개되도록 나타나 있는데, 즉 프리커서 전달 플레이트 (703) 는 일 현부에서 이 플레이트의 두께의 대략 반절까지의 깊이로 절개되고 상기 현부보다 작은 현부에서는 나머지 두께만큼 절개되도록 도시되어 있다. 2 개의 내부 가스 분배 통로들 (712) 및 2 개의 가스 분배 구멍들 (710) 이 보여지는 것과 같이, 프리커서 플레넘 (751) 도 보여진다. 프리커서 가스 유입구 (748) 는 내부 가스 분배 통로들 (712) 과 유체 연통할 수 있다.
도 8a는 삼중 파티션된 대면 플레이트 어셈블리의 일 실례의 이등분 단면도이다. 도 8b는 도 8a의 예시적인 절개된 삼중 파티션된 대면 플레이트 어셈블리의 일부분의 세부 도면이다. 도 8c는 도 8a의 예시적인 삼중 파티션된 대면 플레이트 어셈블리의 이등분 부분의 분해 입체도이다. 도 8c는 도 8a의 예시적인 삼중 파티션된 대면 플레이트 어셈블리의 다른 이등분 부분 분해 입체도이며, 여기서 라디칼 확산 플레이트의 상부 부분 및 프리커서 전달 플레이트의 상부 부분이 그 내부의 특징을 보여주기 위해서 제거된 것이다.
전반적으로 말하자면, 도 8a 내지 도 8d에서 도시된 복수의 특징들은 도 4a 내지 도 4c에서 도시된 특징들과 유사하다. 도 4a 내지 도 4c에서와 유사한 구조물과 동일한 마지막 2 자리수를 가지면서 기호 ' 또는 " 또는 ''' 등으로 넘버링된 도 8a 내지 도 8d에서의 요소들은 본 명세서에서 달리 언급되지 않는다면 도 4a 내지 도 4c에서의 대응하는 구조물과 실질적으로 유사하다고 이해하면 된다. 반복을 피하기 위해서, 본 개시의 독자는 도 8a 내지 도 8d에 있어서 이러한 구성 요소들에 대해서는 도 4a 내지 도 4c을 참조하여서 설명한 이전 부분을 참조하면 된다.
도 8a에서 볼 수 있는 바와 같이, 열적 격리부 (802) 는 라디칼 확산 플레이트 (801) 와 프리커서 전달 플레이트 (803) 간에 위치한다. 그러나, 도 4a 내지 도 4c의 열적 격리부 (402) 와는 대조적으로, 열적 격리부 (802) 는 제 1 층 (802a) 및 제 2 층 (802b) 의 2 개의 개별 부분들을 갖는 층형 어셈블리이며, 각 층은 서로 적층되어서 열적 격리부 (802) 를 형성한다. 도시된 바와 같이, 각 층은 대면 플레이트 어셈블리 (800) 내에 존재하는, 최소한 실질적으로 모든 라디칼 관통 구멍들 (808) (각 라디칼 통과 구멍 (808) 은 열적 격리부 (802) 의 층들을 통과할 뿐만 아니라 라디칼 확산 플레이트 (801) 및 프리커서 전달 플레이트 (803) 도 관통하고, 각 구성 요소를 통과하는 개별 라디칼 통과 구멍들은 808', 808", 등으로 표시됨)을 포함할만큼 그 크기가 충분히 크다. 도시된 바와 같이, 제 2 층 (802b) 은 제 1 층 (802a) 내의 리세스의 내측에 안겨져 있다. 이 리세스는 제 1 층 (802a) 의 공칭 두께의 절반과 실질적으로 동일한 깊이를 가지며, 제 2 층 (802b) 은 이 리세스 깊이에 실질적으로 대응하는 두께를 가질 수 있다. 따라서, 열적 격리부 (802) 는 열적 격리부 (402) 에서와 실질적으로 동일한 인벨로프 (envelope) 내에 맞게 들어갈 수 있지만, 이는 요구 사항이 아니며 다른 구현예들은 전반적 상이한 인벨로프를 특징으로 할 수 있다.
또한, 제 1 층 (802a) 은 그 내에 제 2 층 (802b) 이 맞게 들어가는 리세스를 갖는 것으로 도시되었지만, 다른 구현예들은 예를 들어서 한 플레이트가 다른 플레이트에 리세스되어서 들어가지 않고 단지 한 플레이트가 다른 플레이트 바로 위에 적층되는 바와 같은, 실질적으로 동일한 층들을 특징으로 할 수 있다. 다른 다양한 구현예들이 역시 고려될 수 있지만, 이러한 다른 다양한 구현예들의 공통 특징은 모두 적어도 라디칼 통과 경로 (808) 를 포함하는 대면 플레이트 어셈블리 (800) 구역 내에서 그들 간의 개별 경계를 갖는 복수의 층들을 특징으로 하는 것이다.
또한, 도시된 실례는 2 개의 층을 특징으로 하지만, 다른 구현예들은 가령, 3 개 이상의 층들을 갖는 바와 같이, 2 개보다 많은 층들을 갖는 열적 격리부 (802) 를 특징으로 할 수 있다. 또한, 이러한 추가적인 다른 구현예들은 본 개시의 범위 내에 속한다.
이러한 층들은 예를 들어서 방사상 대칭 방식으로 제 2 층 (802b) 의 원주를 둘러서 배열된 3 개의 방사상 슬롯 (863) (오직 2 개만이 도시되어 있으며, 나머지 하나는 대면 플레이트 어셈블리 (800) 의 절개된 부분 내에 있음) 및 이에 대응하면서 유사한 방식으로 제 1 층 (802a) 의 리세스의 내부를 둘러서 배열된 3 개의 보스 (boss) 와 같은 인덱싱 특징부들 (indexing features) 을 그상에서 구비할 수 있으며, 이로써 열적 격리부 (802) 의 2 개 (그보다 많은) 의 층들 및 이러한 각 층 상의 라디칼 통과 구멍들의 부분들이 서로 정렬되는 것이 보장될 수 있다. 이러한 인덱싱 특징부들은 이러한 층들을 서로에 대해서 실질적으로 중심이 맞도록 동시에 유지하면서 이러한 층들 간의 열 팽창 차로 인해서 발생하는 허용 불가능한 열적 응력 없이 이러한 층들이 상이한 레이트로 해서 팽창 및 수축을 할 수 있게 하도록 도시된 바와 같이 구성될 수 있다.
이러한 층들 (802a, 802b) 은 모두 통상적으로 동일한 또는 유사한 재료로부터 구성될 수 있지만, 몇몇 다른 구현예에서는, 상이한 재료들이 소망한다면 사용될 수 있는데, 예를 들자면, 이러한 층들 중 하나가 바람직한 재료를 사용하여서 생성하기에는 너무 어렵거나 비용이 많이 든다면, 다른 재료가 그 층에 대해서 사용될 수 있다.
전술한 바와 같이, 제 1 층 (802a) 및 제 2 층 (802b) 은 라디칼 통과 구멍들 (808) 이 위치하는 구역 내에서는 실질적으로 동일한 두께를 갖는다. (도시된 층들 이외의 추가 층들을 포함하여) 이러한 층들은 상기 구역 내에서 서로 상이한 두께를 가질 수도 있지만, 복수의 구현예들에서, 이 층들은 모두 이 구역 내에서 실질적으로 동일한 두께를 가질 수 있다. 이는 열적 격리부 (802) 의 불량에 대한 전반적 내성을 개선하기 위한 것이다. 예를 들어서, 일 층이 다른 층들보다 실질적으로 얇으면 (그리고 모든 층들이 동일한 재료로 구성되면), 이로써 보다 얇은 층에서 균열이 발생하거나 손상될 위험이 증가하게 된다. 그러나, 라디칼 통과 구멍들 (808) 이 존재하는 구역 내에서 각 층을 실질적으로 서로 동일한 두께로 하게 되면, 상기한 위험이 임의의 하나의 층에 집중되지 않으며 대신에 모든 층들에 대해서 실질적으로 동일하게 분산될 수 있다.
제 1 층 (802a) 및 제 2 층 (802b) 에서 사용되는 재료는 로우 K 세라믹, 석영과 같은 재료, 예를 들자면 폴리 아미드와 같은 다양한 저 열 전도도 플라스틱 또는 300 시리즈 스테인레스와 같은 스테인레스 스틸 (반도체 프로세싱 챔버의 화학적 분위기로부터 그들을 보호하기 위해서 코팅됨) 로부터 선택될 수 있다. 예를 들자면, 제 1 층 (802a) 및 제 2 층 (802b)에 대한 재료는 알루미늄 산화물 또는 알루미늄 질화물로부터 선택될 수 있다.
본 발명자들은, 대면 플레이트 어셈블리 (800) 가 사용될 수 있는 상황과 같은 저압 반도체 프로세싱 환경의 상황에서, 다중 층형 열적 격리부 (802) 가 도 4a 내지 도 4c에서서와 같은 단일 층형 열적 격리부에 비해서 우수한 열적 저항성을 제공하여서 라디칼 확산 플레이트 (801) 및 프리커서 전달 플레이트 (803) 간에 큰 온도차를 가능하게 할 수 있음을 알 수 있었다. 이로써, 이하에서 보다 완벽하게 파헤칠 몇몇 이유들로 인해서 열적 저항성이 증가하였다.
먼저, 이러한 대면 플레이트 어셈블리가 통상적으로 동작하는 압력은 열적 격리부 (802) 의 층들 간의 임의의 갭 또는 비 접촉 구역들에서 트랩되는 임의의 가스의 밀도가 이러한 가스를 통한 열 전도가 열적 격리부 (802) 를 통한 전체 열 전도에 크게 기여하지 않을 만큼 충분하게 낮을 만큼 충분하게 낮다. 또한, 일반적으로 말하자면, 이러한 트랩된 가스를 통한 열 전도는 가스 압력 이외에 가스의 조성에도 의존하는 한편, 압력이 이러한 가스를 통한 열 전도 정도의 주요한 결정자일 수 있다. 이러한 가스의 압력은 예를 들어서 약 3 내지 7 토르보다 작으며 몇몇 구현예들에서는 이 가스 압력은 약 1 내지 0.1 토르보다 작을 수 있다.
둘째로, 열적 격리부 (802) 내의 인접하는 층들의 쌍의 층들 간의 접촉 계면은 이러한 층들의 표면 마감 성질로 인해서 완벽하게 서로 접촉하는 계면이 아닌데, 즉 이러한 층들은 접촉 계면에 걸쳐서 그들의 노출된 표면이 100 % 정도로 서로 직접적으로 접촉하지 않게 된다. 예를 들어서, 복수의 세라믹 재료들의 표면 거칠기는 통상적으로 다른 재료를 사용하여서 얻을 수 있는 거칠기보다 전반적으로 더 거칠며 열적 격리부 (802) 의 층들의 경우에서는 최소 8 내지 16 마이크로인치 또는 그보다 높은 값의 거칠기 값 Ra로 거칠기 값이 유지될 수 있다. 몇몇 구현예들에서는, 최소 4 내지 8 마이크로인치 또는 그보다 높은 값의 거칠기 값 Ra로 거칠기 값이 유지될 수 있다. 이러한 표면 거칠기로 인해서, 보다 평탄한 표면 마감 성질을 사용하여서 생성되는 것보다 층들 간의 직접적 접촉 정도는 매우 적어질 수 있다. 예를 들어서, 제 1 층 (802a) 이 16 마이크로인치의 표면 거칠기 값 Ra을 가지며 제 2 층 (802b) 이 8 마이크로인치의 표면 거칠기 값 Ra을 가지면, 이 두 층들은 서로 결합하는 표면들 간에서 대략 5 % 정도만 서로 직접 접촉하는 부분이 존재할 수 있다. 이 서로 결합하는 표면들 간에서 나머지 85 %에서는 이 두 층들은 실제로 서로 접촉하지 않고 마이크로인치 정도로 해서 서로 분리되어 있을 수 있다. 따라서, 이러한 비접촉 구역에서는 이러한 층들 간에서 어떠한 직접적인 열적 전도가 발생하지 않는다. 이러한 비 접촉 영역에서 층들 간에서의 열 전도 경로는 오직 가스에 의해서 형성되며 따라서 상술한 바와 같이 이 가스는 열적 격리부의 전체 열 전도도에 크게 기여하지 않을 정도로 그 압력이 충분하게 낮다.
도 8e 내지 도 8h는 이러한 개념을 보다 더 이해시키기 위해서 제공한다. 도 8e에서, 제 1 재료 (861) 및 제 2 재료 (862) 는 서로 마주보는 표면에서 그 거칠기가 과장되어서 표현되었다. 도 8f에서, 도 8e의 제 1 재료 (861) 및 제 2 재료 (862) 는 서로 접촉하고 있지만 표면 거칠기로 인해서 도면에서 대각선 해칭 (hatching) 으로 표시된 영역에서만 직접적 접촉이 존재한다. 제 1 재료 (861) 및 제 2 재료 (862) 의 일부분에 걸쳐 있는 해칭된 접촉 구역의 폭들이 합해지면, 그 결과는 도시된 부분의 전체 폭의 대략 23 % 정도 (도면의 하부에 달리 표현되어 있음) 이다.
도 8g에서, 제 1 재료 (861) 및 제 2 재료 (862) 가 다시 도시되지만 표면 거칠기가 보다 더 과장되었다. 도 8h에서, 도 8g의 제 1 재료 (861) 및 제 2 재료 (862) 는 서로 접촉하게 된다. 다시 말하면, 표면 거칠기로 인해서, 오직 대각선 해칭으로 표시된 영역에서만 직접 접촉이 형성될 수 있다. 이 경우에, 직접 접촉은 도시된 부분의 전체 폭의 대략 8 % 정도만 (도면의 하부에 달리 표현되어 있음) 이다.
도 8e 내지 도 8h는 단순화된 2 차원 실례들이며 임의의 특정 거칠기 값들과 상관되지 않았지만, 이들은 결합 표면들의 표면 거칠기가 증가하면 이 결합 표면들 간의 총 직접 접촉 구역이 감소하며 서로 실제로 직접 접촉하지 않는 결합 표면 부분들이 증가하는 바를 입증하는 역할을 할 수 있다.
세째로, 각 층의 내부 표면의 평탄도는 소정의 허용치, 예를 들어서 0.002" 절대 평탄도 내로 한정될 수 있다. 이로써, 층들 간에 존재하는 작은 직접 접촉 부분이 이 층들 간의 전체 접촉 구역에 걸쳐서 골고루 분포할 수 있으며 이로써 직접 접촉 구역이 집중됨으로써 발생할 수 있는 국부화된 핫스팟 (localized hotspot) 이 방지될 수 있다. 층들이 충분하게 평탄하지 않으면, 층들이 서로 직접 접촉하는 구역이 집중되어 버릴 수 있다. 이로써, 이 층들 간의 열 흐름은 동일한 위치에서 집중되고 감소된 접촉 면적으로 인해서 열적 초킹 (thermal choking) 을 경험할 수 있다. 이로써, 이러한 위치에서 온도 차가 증가하게 되고 프로세스 균일성 측면에서 바람직하지 않는 국부화된 핫스팟이 발생할 수 있다.
마지막으로, 이러한 층들은, 라디칼 확산 플레이트 (801) 및 프리커서 전달 플레이트 (803) 간에 클램핑됨으로써, 클램핑 힘에 의해서 서로 유지될 수 있다. 예를 들어서, 층들 (802a 및 802b) 은 도시된 대면 플레이트 어셈블리 (800) (대면 플레이트 어셈블리 (800) 는 대략 13"의 제 2 층 (802b) 공칭 직경을 가짐) 에 대한 대략 3000 lbs의 클램핑 힘에 의해서 서로 유지될 수 있다. 층 간 접촉은 통상적으로 "드라이 (dry) " 할 수 있는데, 달리 말하면 접착제, 브레이징 재료 또는 다른 충진제와 같은 계면 재료가 전혀 존재하지 않을 수 있다.
본 명세서에서 기술된 대면 플레이트 어셈블리 및 다른 구성 요소들은 본 개시의 서두에서 언급한 바와 같은 반도체 프로세스 챔버의 부분으로서 제공될 수 있다. 몇몇 구현예들에서, 하나 이상의 반도체 프로세스 챔버는 다중 스테이션 반도체 프로세싱 툴 내의 프로세스 스테이션으로서 제공될 수 있다. 몇몇 구현예들에서, 단일 프로세스 챔버가 각각이 자신의 대면 플레이트 어셈블리를 구비한 복수의 프로세싱 스테이션을 포함할 수 있다.
열적 격리부 (802) 를 통한 열 전도를 줄이는 것을 도울 수 있는 열적 격리부 (802) 의 다른 특징은 환형 열적 브레이크 (864) 가 존재하는 것이다. 이 환형 열적 브레이크 (864) 는 열적 격리부 내의 환형 리세스 형태를 취할 수 있다. 이 환형 리세스는 이 환형 리세스의 중간 직경이 냉각제 플레넘(들) (850) 의 실질적으로 중간 직경 또는 중간 반경 (또는 비원형/비원호형 냉각제 플레넘 (850) 의 균등 위치) 에 위치할 수 있도록 위치할 수 있다. 이 환형 열적 브레이크 (864) 는 열이 열적 격리부 (802) 의 외연 근방에서 열적 격리부 (802) 를 나가서 냉각제 플레넘 (850) 내로 들어가는 열 전달을 방지 또는 제약할 수 있다. 이로써, 라디칼 통과 구멍들 (808) 이 위치하는 구역에 걸쳐서 보다 균일한 온도로 해서 열적 격리부 (802) 를 유지할 수 있다.
도면들에서 입체도 또는 다른 3 차원 도면으로 도시된 다양한 대면 플레이트 어세블리들은 300 mm 반도체 웨이퍼를 프로세싱하는데 사용되도록 설계되며 대략 13"의 내부 직경을 통상적으로 가질 수 있다. 그러나, 이와 유사한 설계 개념들이 예를 들어서 450 mm 반도체 웨이퍼와 같은 다른 크기의 반도체 웨이퍼를 위해서 설계된 대면 플레이트 어셈블리에도 실시될 수 있으며 이러한 설계는 도시된 바들보다 더 큰 대응하는 크기를 특징으로 할 수 있다.
또한, 본 명세서에서 개별 구현예들에 대해서 도시된 다양한 설계 개념들은 서로 양립하지 않는 것이 아니라면 다른 구현예들과 결합될 수 있다. 예를 들어서, 도 8a 내지 도 8d의 다중 층형 열적 격리부는 도 2e에 도시된 개념들과 결합되어서, 층들이 서로 복수의 관형 구조물들에 의해서 오프셋되어서 이러한 층들 간에 대형 갭을 생성하는 다중 층형 열적 격리부를 제공할 수 있다.
도 9는 인바운드 로드 락 (inbound load lock) (902) 및 아웃바운드 로드 락 (904) 을 갖는 다중 스테이션 프로세싱 툴 (900) 의 개략도이다. 대기 압력에 있는 로봇 (906) 은 웨이퍼를 포드 (908) 를 통해서 로딩된 카세트로부터 대기 (atmospheric) 포트 (910) 를 통해서 인바운드 로드 락 (902) 내로 이동시키도록 구성된다. 웨이퍼는 로봇 (906) 에 의해서 인바운드 로드 락 (902) 내의 페디스탈 (912) 상으로 배치되고 대기 포트 (910) 가 폐쇄되고 이어서 상기 로드 락이 펌핑 다운될 수 있다. 인바운드 로드 락 (902) 이 원격 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (914) 내로 도입되기 이전에 이 로드 락 내에서 원격 플라즈마 처리에 노출될 수 있다. 또한, 웨이퍼는 예를 들어서 습기 및 흡입 가스를 제거하기 위해서 인바운드 로드 락 (902) 내에서 가열될 수 있다. 이어서, 프로세싱 챔버 (914) 내로의 챔버 전송 포트 (916) 가 개방되고 다른 로봇 (미도시) 이 웨이퍼를 프로세싱 챔버 (914) 내에서 이후 처리를 수행할 반응기 내에 도시된 제 1 스테이션의 페디스탈 상으로 배치시킬 수 있다. 도 9에 도시된 구현예들은 로드 락들을 포함하지만, 몇몇 구현예들에서, 웨이퍼는 프로세스 스테이션 내로 바로 도입될 수도 있다.
도시된 프로세싱 챔버 (914) 는 도 9에 도시된 구현예에서는 1 내지 4로 넘버링된 4 개의 프로세스 스테이션을 포함한다. 각 스테이션은 가열된 또는 가열되지 않은 페디스탈 (스테이션 (1) 의 경우에 참조 번호 (918) 로 도시됨) 및 가스 라인 유입구를 가질 수 있다. 몇몇 구현예들에서, 각 프로세스 스테이션은 상이한 또는 복수의 목적을 가질 수 있다. 예를 들어서, 몇몇 구현예들에서, 프로세스 스테이션은 CFD (conformal film deposition) 프로세스 모드와 PECVD 프로세스 모드 간에서 스위칭될 수 있다. 추가적으로 또는 이와 달리, 몇몇 구현예들에서, 프로세싱 챔버 (914) 는 하나 이상의 매칭된 CFD 프로세스 스테이션 및 PECVD 프로세스 스테이션 쌍을 포함할 수 있다. 도시된 프로세싱 챔버 (914) 는 4 개의 스테이션을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 개수의 스테이션을 포함할 수 있다. 예를 들어서, 몇몇 구현예들에서, 프로세싱 챔버는 5 개 이상의 스테이션을 포함하는 한편, 다른 구현예들에서는, 프로세싱 챔버는 3 개 이하의 스테이션을 포함할 수 있다.
각 스테이션은 프로세스 가스를 해당 스테이션에 있는 웨이퍼로 전달하는 개별 샤워헤드 어셈블리를 포함할 수 있다. 몇몇 구현예들에서, 이러한 샤워헤드 모두 또는 몇몇은 본 명세서에서 개시된 대면 플레이트 어셈블리를 포함할 수 있다. 예를 들어서, 스테이션이 RPD 프로세싱 또는 본 명세서에서 개시된 장치를 사용하면 유익을 얻을 수 있는 다른 프로세싱을 웨이퍼에 대해 제공하면, 이 스테이션의 샤워헤드는 본 명세서에서 개시된 대면 플레이트 어셈블리를 포함할 수 있다. 이 샤워헤드는 또한 원주형 플레넘, 플라즈마 돔 및/또는 외부 원격 플라즈마 소스로의 연결부와 같은, 본 명세서에서 개시된 다른 특징부들을 포함할 수도 있다.
도 9는 프로세싱 챔버 (914) 내에서 웨이퍼를 전달하기 위한 웨이퍼 핸들링 시스템 (990) 을 또한 도시하고 있다. 몇몇 구현예들에서, 이 웨이퍼 핸들링 시스템 (990) 은 다양한 프로세스 스테이션들 간 및/또는 프로세스 스테이션과 로드 락 간에 웨이퍼를 전달할 수 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수 있다. 비한정적 실례들은 웨이퍼 캐루셀 (wafer carousel) 및 웨이퍼 핸들링 로봇을 포함할 수 있다. 도 9는 프로세싱 툴 (900) 의 프로세스 조건 및 하드웨어 상태를 제어하기 위해서 사용된 시스템 제어기 (950) 를 또한 도시하고 있다. 이 시스템 제어기 (950) 는 하나 이상의 메모리 장치 (956), 하나 이상의 저장 장치 (954) 및 하나 이상의 프로세서 (952) 를 포함할 수 있다. 이 프로세서 (952) 는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입출력 접속부, 스텝퍼 모터 제어기 보드 (stepper motor controller board) 등을 포함할 수 있다.
몇몇 구현예들에서, 시스템 제어기 (950) 는 프로세스 툴 (900) 의 모든 동작을 제어한다. 시스템 제어기 (950) 는 대형 저장 장치 (954) 내에 저장되고 메모리 장치 (956) 로 로딩되어서 프로세서 (952) 에 의해서 실행되는 시스템 제어 소프트웨어 (958) 를 실행한다. 시스템 제어 소프트웨어 (958) 는 프로세스 툴 (900) 에 의해서 수행되는 특정 프로세스의 타이밍, 가스 혼합, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 목표 전력 레벨, RF 전력 레벨, 기판 페디스탈, 척 및/또는 서스셉터 (susceptor) 위치 및 다른 파라미터를 제어하기 위한 인스트럭션들을 포함할 수 있다. 시스템 제어 소프트웨어 (958) 는 임의의 적합한 방식으로 구성될 수 있다. 예를 들어서, 다양한 프로세스 툴의 프로세스를 수행하기 위해서 필요한 프로세스 툴 구성 요소들의 동작을 제어하기 위해서 다양한 프로세스 툴 구성 요소 서브루틴 또는 제어 객체가 기록될 수 있다. 시스템 제어 소프트웨어 (958) 는 임의의 적합한 컴퓨터 판독 가능한 프로그래밍 언어로 코딩될 수 있다.
몇몇 구현예들에서, 시스템 제어 소프트웨어 (958) 는 상술된 다양한 파라미터들을 제어하기 위한 인스트럭션들을 시퀀싱하는 IOC (input/output control) 를 포함할 수 있다. 예를 들어서, CFD 프로세스의 각 페이즈 (phase) 는 시스템 제어기 (950) 에 의해서 실행되는 하나 이상의 인스트럭션을 포함할 수 있다. CFD 프로세서 페이즈에 대한 프로세스 조건을 설정하기 위한 인스트럭션들이 대응하는 CFD 레시피 페이즈에 포함될 수 있다. 몇몇 구현예들에서, 존재한다면, 복수의 샤워헤드가 독립적으로 제어되어서 개별 병렬 프로세스 동작들이 수행되게 할 수 있다.
시스템 제어기 (950) 와 연관된 대형 저장 장치 (954) 및/또는 메모리 장치 (956) 상에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램이 다른 구현예들에서 사용될 수 있다. 이러한 목적을 위한 프로그램 또는 프로그램 섹션의 실례는 기판 포지션닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램 및 플라즈마 제어 프로그램을 포함한다.
기판 포지션닝 프로그램은 기판을 페디스탈 (918) 상에 로딩하고 이 기판과 프로세스 툴 (900) 의 다른 요소 간의 이격 정도를 제어하도록 사용되는 프로세트 툴 구성 요소들에 대한 프로그램 코드를 포함할 수 있다.
프로세스 가스 제어 프로그램은 가스 성분 및 플로우 레이트를 제어하고 선택 사양적으로는 프로세스 스테이션 내의 압력을 안정화시키기 위해서 증착 이전에 가스를 하나 이상의 프로세스 스테이션 내로 유입시키기 위한 코드를 포함할 수 있다. 압력 제어 프로그램은 프로세스 스테이션 내로의 가스 플로우 또는 프로세스 스테이션의 배기 시스템 내의 쓰로틀 밸브를 조절함으로써 프로세스 스테이션 내의 압력을 제어하기 위한 코드를 포함할 수 있다. 압력 제어 프로그램 또는 다른 코드느 예를 들어서 프로세스 가스의 프리커서 전달 플레이트 또는 라디칼 소스 볼륨 내로의 플로우를 제어할 수 있다.
히터 제어 프로그램은 기판을 가열하는데 사용되는 가열부로의 전류를 제어하기 위한 코드를 포함할 수 있다. 이와 달리, 히터 제어 프로그램은 기판으로의 열 전달 가스 (가령, 헬륨) 의 공급을 제어할 수 있다. 또한, 히터 제어 프로그램은 예를 들어서 프리커서 전달 플레이트를 가열하는 바와 연관된 임의의 히터들 및 라디칼 확산 플레이트 내로의 냉각제의 플로우를 제어할 수 있다. 히터 제어 프로그램은 이러한 구성 요소들 내의 온도 센서로부터의 피드백을 사용하여서 이러한 구성 요소들의 온도를 정확하게 제어할 수 있다.
플라즈마 제어 프로그램은 하나 이상의 프로세스 스테이션 내의 프로세스 전극들에 인가되는 RF 전력 레벨을 설정하기 위한 코드를 포함할 수 있다. 이 플라즈마 제어 프로그램은, 적절한 상황에서, 프로세스 가스를 외부 플라즈마 생성기 또는 라디칼 소스 볼륨에 공급하기 위해서 요구되는 밸브 및/또는 외부 플라즈마 생성기를 제어하기 위한 코드를 포함할 수 있다.
몇몇 구현예들에서, 시스템 제어기 (950) 와 연관된 사용자 인터페이스가 존재할 수 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 상태의 그래픽 소프트웨어 디스플레이 및 포인팅 장치, 키보드, 터치 스크린, 마이클폰 등과 같은 사용자 입력 장치를 포함할 수 있다.
몇몇 구현예들에서, 시스템 제어기 (950) 에 의해서 조절되는 파라미터들은 프로세스 조건과 관련될 수 있다. 비한정적 실례들은 프로세스 가스 성분 및 플로우 레이트, 온도, 압력, (RF 바이어스 전력 레벨과 같은) 플라즈마 조건, 압력, 온도, 등을 포함할 수 있다. 이러한 파라미터들은 사용자 인터페이스를 사용하여서 입력될 수 있는 레시피의 형태로 해서 사용자에게 제공될 수 있다.
이 프로세스를 모니터링하기 위한 신호가 다양한 프로세스 툴 센서로부터 시스템 제어기 (950) 의 아날로그 및/또는 디지털 입력 접속부들에 의해서 제공될 수 있다. 프로세스를 제어하기 위한 신호는 프로세스 툴 (900) 의 아날로그 출력 접속부 및 디지털 출력 접속부 상에 출력될 수 있다. 이러한 프로세스 툴 센서들의 비한정적 실례들은 대량 플로우 제어기, (마노미터와 같은) 압력 센서, 써모커플 등을 포함할 수 있다. 적절하게 프로그램된 피드백 알고리즘 및 제어 알고리즘이 이러한 센서들로부터의 데이터와 함께 사용되어서 프로세스 조건들을 유지 관리할 수 있다.
시스템 제어기 (950) 는 다양한 반도체 가공 프로세스를 구현하기 위한 프로그램 인스트럭션들을 제공할 수 있다. 이러한 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도 등과 같은 다양한 프로세스 파라미터들을 제어할 수 있다. 이 인스트럭션들은 막 스택의 인 시츄 증착 (in-situ deposition) 을 동작시키도록 이러한 파라미터들을 제어할 수 있다.
시스템 제어기는 통상적으로 본 개시에 따른 방법을 본 장치가 수행하도록 인스트럭션들을 실행하도록 구성된 하나 이상의 메모리 장치 및 하나 이상의 프로세서를 포함할 수 있다. 본 개시에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신 판독 가능한 매체가 이 시스템 제어기에 연결될 수 있다.
도 9에 도시된 반도체 프로세싱 툴은 하나의 4 중 스테이션 프로세스 챔버 또는 모듈을 도시하고 있지만, 다른 실시예의 반도체 프로세싱 툴들은 각각이 단일 스테이션 또는 다중 스테이션을 포함하는 복수의 모듈들을 포함할 수 있다. 이러한 모듈들은 서로 상호 연결되고/되거나 이 모듈들 간에서의 웨이퍼의 이동을 용이하게 할 수 있는 하나 이상의 전달 챔버 주위에 배열될 수 있다. 이러한 다중 모듈 반도체 프로세싱 툴에 의해서 제공되는 스테이션들 중 하나 이상은 상술한 바와 같은 대면 플레이트 어셈블리 및 다른 특징부들을 포함하는 샤워헤드를 구비할 수 있다.
전반적으로 말하자면, 본 명세서에서 기술된 대면 플레이트 어셈블리를 구비한 샤워헤드는 반응기 챔버 내에서 하나 이상의 반도체 웨이퍼를 지지하도록 구성된 웨이퍼 지지부 위에 실장될 수 있다. 이 샤워헤드는 예를 들어서 또한 반응기 챔버에 대한 리드 (lid) 또는 리드의 일부분 역할을 할 수 있다. 다른 구현예들에서, 샤워헤드는 샹들리에 타입의 샤워헤드일 수 있으며 스템부 또는 다른 지지 구조물에 의해서 반응기 챔버의 리드로부터 매달려 있을 수 있다.
본 명세서에서 상술한 장치 및/또는 프로세스는 예를 들어서 반도체 장치, 디스플레이, LED, 광전 패널 등의 제조 또는 가공을 위한 리소그래피 패터닝 툴 또는 프로세스와 함께 사용될 수 있다. 통상적으로, 이러한 툴 또는 프로세스는 반드시 그러한 것은 아니지만 통상적인 제조 시설 내에서 함께 사용 또는 수행될 수 있다. 막 리소그래피 패터닝은 통상적으로 각각 복수의 가능한 툴을 사용하여서 실현되는 다음의 단계들 중 몇몇 또는 모두를 포함하며, 이 단계들은 (1) 스핀 온 또는 스프레이 온 툴을 사용하여서 웨이퍼와 같은 작업 대상에 포토레지스트를 도포하는 단계, (2) 고온 플레이트 퍼니스 또는 UV 경화 툴을 사용하여서 포토레지스트를 경화하는 단계, (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여서 포토레지스트를 가시광선 또는 자외선 또는 x 선 광에 노출시키는 단계, (4) 습식 벤치 (wet bench) 와 같은 툴을 사용하여서 레지스트를 선택적으로 제거하여서 이를 패터닝하도록 상기 포토레지스트를 현상하는 단계, (5) 건식 또는 플라즈마 보조 에칭 툴을 사용하여서 상기 레지스트 패턴을 그 아래의 막 또는 작업 대상에 전사하는 단계 및 (6) RF 또는 마이크로웨이브 플라즈마 레지스트 탈피기 (stripper) 와 같은 툴을 사용하여서 포토레지스트를 제거하는 단계를 포함할 수 있다.
본 개시의 다른 측면은 본 명세서에서 개시된 방법들을 달성하도록 구성된 장치이다. 적합한 장치는 본 개시에 따른 프로세스 동작들을 완수하기 위한 하드웨어 및 이러한 프로세스 동작들을 제어하기 위한 인스트럭션들을 갖는 시스템 제어기를 포함할 수 있다. 이 시스템 제어기는 예를 들어서 제 1 프로세스 가스, 제 2 프로세스 가스 및 프리커서 가스의 원격 플라즈마 소스 내로의 플로우를 제어하도록 구성될 수 있다. 이 시스템 제어기는 또한 RF 코일의 RF 출력을 제어하고 온도 프로브를 사용하여서 대면 플레이트 어셈블리 내에서 측정된 온도에 기초하여서 시스템 내의 임의의 냉각 채널들을 통해 순환하는 냉각제의 온도 및 플로우 레이트를 제어할 수 있다. 이 시스템 제어기는 본 장치가 본 개시에 따른 방법을 수행할 수 있도록 인스트럭션들을 실행하도록 구성된 하나 이상의 메모리 장치 및 하나 이상의 프로세서를 통상적으로 포함할 수 있다. 본 개시에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신 판독 가능한 매체가 시스템 제어기와 통신 가능하게 연결될 수 있다.
상술된 임의의 구현예들은 단독으로 사용되거나 임의의 조합으로 해서 서로 함께 사용될 수 있다. 다양한 구현예들이 본 명세서의 하나 이상의 부분에서 논의되거나 시사될 수 있는 선행 기술의 다양한 단점 때문에 그 동기가 있었으나, 이러한 구현예들은 이러한 임의의 단점들을 반드시 다루어야 하는 것은 아니다. 달리 말하면, 상이한 구현예들이 본 명세서에서 논의될 수 있는 다른 단점들을 다룰 수 있다. 몇몇 구현예들은 본 명세서에서 논의될 수 있는 몇몇 단점들을 오직 부분적으로 다루거나 단지 하나의 단점만을 다룰 수 있는 한편, 몇몇 구현예들은 이러한 임의의 단점들을 다루지 않을 수 있다.
다양한 구현예들이 본 명세서에서 기술되었지만, 이들은 오직 예시적이면서 비한정적으로 제공된 것들이다. 따라서, 본 개시의 범위 및 폭은 본 명세서에서 기술된 구현예들로 한정되지 않고 다음의 청구 범위 및 이후에 제출되는 청구 범위 및 이들의 균등 범위에 따라서만 규정될 수 있다.
임의의 상술한 구현예들에 있는 특징들이 서로 양립할 수 없는 것으로 명시적으로 개시되지 않았거나 주변 상황이 이들이 상호 배타적이며 상보적 및/또는 지원적 방식으로 용이하게 결합될 수 없다고 암시하지 않는 한, 본 개시 전체는 이러한 구현예들의 특정 특징들이 선택적으로 결합되어서 하나 이상의 포괄적이지만 근소하게 상이한 기술적 해법을 제공할 수 있음을 고려하고 있다. 따라서, 상술한 설명은 오직 예시적으로 주어진 것이며 세부 사항에서의 변경이 본 개시의 범위 내에서 이루어질 수 있다.

Claims (37)

  1. 반도체 프로세싱 동작을 위한 샤워헤드로서,
    제 1 측과 이에 대향하는 제 2 측을 갖는 프리커서 전달 플레이트와,
    제 1 측과 이에 대향하는 제 2 측을 갖는 라디칼 통과 플레이트-상기 라디칼 통과 플레이트의 제 2 측은 상기 프리커서 전달 플레이트의 제 1 측을 바라보고 있음-와,
    상기 프리커서 전달 플레이트와 상기 라디칼 통과 플레이트 간에 개재된 열적 격리부와,
    라디칼 통과 구멍들의 패턴을 포함하며,
    상기 라디칼 통과 구멍 각각은,
    상기 프리커서 전달 플레이트, 상기 라디칼 통과 플레이트 및 상기 열적 격리부를 관통하며,
    상기 프리커서 전달 플레이트, 상기 라디칼 통과 플레이트 및 상기 열적 격리부에 대해 실질적으로 수직인 구멍 중심 축을 구비하며,
    상기 라디칼 통과 구멍의 구멍 중심 축에 대해서 수직인 실질적으로 균일한 단면적을 상기 프리커서 전달 플레이트, 상기 라디칼 통과 플레이트 및 상기 열적 격리부에 걸쳐서 유지하는,
    샤워헤드.
  2. 제 1 항에 있어서,
    상기 열적 격리부는,
    상기 라디칼 통과 플레이트와 상기 프리커서 전달 플레이트 간의 열 플로우 (heat flow) 가,
    상기 라디칼 통과 플레이트와 상기 프리커서 전달 플레이트가 서로 직접적 열적 접촉을 하면서 상기 라디칼 통과 플레이트의 제 1 측과 상기 프리커서 전달 플레이트의 제 2 측이 동일한 거리만큼 떨어져 있는 경우에서의, 상기 라디칼 통과 플레이트와 상기 프리커서 전달 플레이트 간의 열 플로우보다,
    작게 되도록 구성되는,
    샤워헤드.
  3. 제 1 항에 있어서,
    상기 프리커서 전달 플레이트는 가스 전달 구멍들의 패턴 및 하나 이상의 내부 가스 분배 통로들을 포함하며,
    상기 가스 전달 구멍 각각은 상기 프리커서 전달 플레이트, 상기 라디칼 통과 플레이트 및 상기 열적 격리부에 실질적으로 수직인 구멍 중심 축을 가지며,
    상기 가스 전달 구멍 각각은 상기 하나 이상의 내부 가스 분배 통로들 중 적어도 하나와 유체 연통하며,
    상기 가스 전달 구멍 각각은 상기 프리커서 전달 플레이트의 제 2 측 상에서 상기 프리커서 전달 플레이트를 나가는,
    샤워헤드.
  4. 제 1 항에 있어서,
    상기 라디칼 통과 구멍 각각의 길이 대 직경 비는 7:1 내지 10:1 간에 존재하는,
    샤워헤드.
  5. 제 1 항에 있어서,
    상기 라디칼 통과 구멍 각각의 길이 대 직경 비는 6:1 내지 11:1 간에 존재하는,
    샤워헤드.
  6. 제 1 항에 있어서,
    상기 라디칼 통과 구멍 각각은 적어도 0.25"의 길이를 갖는,
    샤워헤드.
  7. 제 1 항에 있어서,
    상기 라디칼 통과 플레이트는 상기 라디칼 통과 플레이트에 걸쳐서 (across) 연장된 하나 이상의 제 1 내부 냉각 통로들을 포함하며,
    상기 하나 이상의 제 1 내부 냉각 통로들은 상기 라디칼 통과 플레이트 내의 라디칼 통과 구멍들과는 유체 분리되는,
    샤워 헤드.
  8. 제 7 항에 있어서,
    상기 하나 이상의 제 1 내부 냉각 통로들은 통로 어레이를 포함하며,
    각 내부 냉각 통로는 상기 라디칼 통과 플레이트의 제 1 측의 면에 대해서 실질적으로 수직인 기준 면에 대해 평균상 실질적으로 평행한 경로를 따르며,
    각 내부 냉각 통로는 유입구와 유체 연통된 제 1 단부 및 유출구와 유체 연통된 제 2 단부를 갖는,
    샤워헤드.
  9. 제 7 항에 있어서,
    상기 하나 이상의 제 1 내부 냉각 통로들은 통로 어레이를 포함하며,
    각 내부 냉각 통로는 상기 라디칼 통과 플레이트의 제 1 측의 면에 대해서 실질적으로 수직인 기준 면에 대해 평균상 실질적으로 평행한 경로를 따르며,
    상기 기준 면의 제 1 측에 위치한 각 내부 냉각 통로는 제 1 유입구와 유체 연통된 제 1 단부 및 제 1 유출구와 유체 연통하는 제 2 단부를 구비하며,
    상기 기준 면의 제 1 측에 대향하는 상기 기준 면의 제 2 측에 위치한 각 내부 냉각 통로는 제 2 유입구와 유체 연통된 제 1 단부 및 제 2 유출구와 유체 연통하는 제 2 단부를 구비하는,
    샤워헤드.
  10. 제 9 항에 있어서,
    상기 제 1 유입구, 상기 제 2 유입구, 상기 제 1 유출구 및 상기 제 2 유출구 각각은 각각의 냉각 통로에 개별 냉각제 플레넘들을 통해서 연통되며,
    상기 개별 냉각제 플레넘들은 각기 실질적으로 원호 형상을 가지며 상기 라디칼 통과 플레이트의 중심 축을 중심으로 해서 방사상으로 (radially) 배열된,
    샤워헤드.
  11. 제 7 항에 있어서,
    상기 하나 이상의 제 1 내부 냉각 통로들은 제 1 냉각 통로들의 어레이를 포함하며,
    상기 제 1 냉각 통로들 각각은 상기 라디칼 통과 플레이트의 제 1 측의 면에 대해서 실질적으로 수직인 기준 면에 대해 평균상 실질적으로 평행한 제 1 경로를 따르며,
    상기 하나 이상의 제 1 내부 냉각 통로들은 제 2 냉각 통로들의 어레이를 포함하며,
    상기 제 2 냉각 통로들 각각은 상기 기준 면에 대해 평균상 실질적으로 평행한 제 2 경로를 따르며,
    상기 제 1 냉각 통로와 상기 제 2 냉각 통로는 상기 라디칼 통과 플레이트 내에서 서로 유체 분리되며,
    상기 제 1 냉각 통로들 각각은 제 1 유입구와 유체 연통된 제 1 단부 및 제 1 유출구와 유체 연통하는 제 2 단부를 구비하며, 상기 제 1 냉각 통로들 각각의 제 1 단부와 상기 제 2 단부는 서로 대향하여 있으며,
    상기 제 2 냉각 통로들 각각은 제 2 유입구와 유체 연통된 제 1 단부 및 제 2 유출구와 유체 연통하는 제 2 단부를 구비하며, 상기 제 2 냉각 통로들 각각의 제 1 단부와 상기 제 2 단부는 서로 대향하여 있으며,
    상기 제 1 유입구, 상기 제 2 유입구, 상기 제 1 유출구 및 상기 제 2 유출구는,
    상기 제 1 유입구 내로 흐른 냉각 유체가 제 1 평균 방향으로 해서 상기 제 1 냉각 통로들을 통과하여서 상기 제 1 유출구로 나가며,
    상기 제 2 유입구 내로 흐른 냉각 유체가 제 2 평균 방향으로 해서 상기 제 2 냉각 통로들을 통과하여서 상기 제 2 유출구로 나가고,
    상기 제 1 평균 방향 및 상기 제 2 평균 방향은 실질적으로 서로 반대 방향인,
    샤워헤드.
  12. 제 1 항에 있어서,
    원주형 플레넘을 더 포함하고,
    상기 원주형 플레넘은,
    내부 둘레 (inner perimeter) 를 구비하며,
    상기 라디칼 통과 플레이트의 제 1 측에 근접하며,
    가스를 상기 내부 둘레에 걸쳐서 실질적으로 균일하게 분포되면서 실질적으로 상기 라디칼 통과 플레이트의 중심 축을 향해서 플로우시키도록 구성된,
    샤워헤드.
  13. 제 12 항에 있어서,
    플라즈마 돔을 더 포함하며,
    상기 플라즈마 돔은,
    상기 라디칼 통과 플레이트의 중심 축을 중심으로 축 방향 상 실질적으로 대칭적인 내부 표면과,
    상기 라디칼 통과 플레이트의 중심 축 근방의 상기 플라즈마 돔의 일단에 위치한 하나 이상의 라디칼 가스 유입구와,
    상기 플라즈마 돔의 대향 단부에 위치한 실장 인터페이스를 포함하며,
    상기 실장 인터페이스는, 상기 플라즈마 돔의 내부 표면과 상기 라디칼 통과 플레이트의 제 1 측이 라디칼 소스 볼륨 (volume) 을 규정하고 상기 원주형 플레넘으로부터의 가스 흐름이 상기 라디칼 소스 볼륨 내로 되도록, 상기 플라즈마 돔을 상기 샤워웨드에 연결시키도록 구성된,
    샤워헤드.
  14. 제 13 항에 있어서,
    상기 원주형 플레넘은 상기 플라즈마 돔과 상기 라디칼 통과 플레이트 간에 개재된 어댑터 (adapter) 내에 위치한,
    샤워헤드.
  15. 제 13 항에 있어서,
    상기 원주형 플레넘은 상기 실장 인터페이스 근방에서 상기 플라즈마 돔 내에 위치한,
    샤워헤드.
  16. 제 1 항에 있어서,
    상기 열적 격리부는 상기 프리커서 전달 플레이트의 열 전도도 및 상기 라디칼 통과 플레이트의 열 전도도보다 실질적으로 낮은 열 전도도를 갖는 플레이트를 포함하는,
    샤워헤드.
  17. 제 1 항에 있어서,
    상기 열적 격리부는,
    상기 프리커서 전달 플레이트와 상기 라디칼 통과 플레이트 간의 갭 (gap) -상기 갭은 상기 프리커서 전달 플레이트와 상기 라디칼 통과 플레이트 간의 자유 볼륨을 규정함-과,
    상기 라디칼 통과 구멍 패턴 내의 라디칼 통과 구멍의 수에 대응하는 수의 관형 구조물들을 더 포함하며,
    상기 관형 구조물들 각각은,
    상기 라디칼 통과 구멍들 중 서로 다른 하나에 대응하며,
    대응하는 라디칼 관통 구멍의 공칭 직경 (nominal diameter) 과 실질적으로 동일한 내경을 가지며,
    상기 갭을 따라서 연장되며,
    상기 라디칼 관통 구멍을 상기 자유 볼륨으로부터 실질적으로 유체 분리시키는,
    샤워헤드.
  18. 제 17 항에 있어서,
    상기 관형 구조물들 중 적어도 하나는 개별 관 세그먼트 (segment) 인,
    샤워헤드.
  19. 제 18 항에 있어서,
    상기 관형 구조물들 중 적어도 하나는 석영 또는 사파이어로 구성된 그룹으로부터 선택된 재료로 구성된,
    샤워헤드.
  20. 제 1 항에 있어서,
    상기 열적 격리부는 적어도 2 개의 적층된 층들을 포함하며,
    상기 적층된 층들 각각은 상기 라디칼 통과 구멍들을 포함하는,
    샤워헤드.
  21. 제 20 항에 있어서,
    상기 열적 격리부는 상기 적층된 층들 중 하나의 층의 제 1 결합 (mating) 표면과 상기 하나의 층에 인접하는 층의 제 2 결합 표면 간의 제 1 계면을 더 포함하며,
    상기 제 1 결합 표면과 상기 제 2 결합 표면 중 적어도 하나는 약 8 내지 16 마이크로인치 이상의 표면 거칠기 값 Ra를 갖는,
    샤워헤드.
  22. 제 20 항에 있어서,
    상기 층들은 각 층에 걸쳐 대략 0.002"의 절대 평탄도를 갖는,
    샤워헤드.
  23. 제 3 항에 있어서,
    상기 라디칼 통과 구멍들은 제 1 면 상의 개구들을 통해서 상기 프리커서 전달 플레이트의 제 2 측을 나가며,
    상기 가스 전달 구멍들은 제 2 면 상의 개구들을 통해서 상기 프리커서 전달 플레이트의 제 2 측을 나가며,
    상기 제 2 면은 상기 프리커서 전달 플레이트의 제 1 측으로부터 멀어지는 방향으로 제 1 비 제로 (non-zero) 거리만큼 상기 제 1 면으로부터 오프셋된,
    샤워헤드.
  24. 제 23 항에 있어서,
    상기 제 1 비 제로 거리는 0.25"보다 큰,
    샤워헤드.
  25. 제 23 항에 있어서,
    상기 제 1 비 제로 거리는 0.25" 내지 3" 간에 존재하는,
    샤워헤드.
  26. 제 23 항에 있어서,
    상기 제 1 비 제로 거리는 3" 내지 12" 간에 존재하는,
    샤워헤드.
  27. 제 3 항에 있어서,
    상기 라디칼 통과 구멍들은 제 1 면 상의 개구들을 통해서 상기 프리커서 전달 플레이트의 제 2 측을 나가며,
    상기 가스 전달 구멍들은 제 2 면 상의 개구들을 통해서 상기 프리커서 전달 플레이트의 제 2 측을 나가며,
    상기 제 2 면은, 상기 라디칼 통과 플레이트부터 상기 제 1 면 상의 개구들을 통하여 유입된 라디칼화된 가스가 상기 제 2 면을 만나기 이전에 실질적으로 완벽하게 발현된 (developed) 흐름을 보이도록 충분하게 멀리, 상기 제 1 면으로부터 상기 프리커서 전달 플레이트의 제 1 측으로부터 멀어지는 방향으로 오프셋된,
    샤워헤드.
  28. 제 1 항에 있어서,
    상기 라디칼 확산 플레이트는 라디칼의 상기 라디칼 확산 플레이트와의 재결합을 억제하는 재료로 적어도 부분적으로 코팅된,
    샤워헤드.
  29. 제 28 항에 있어서,
    상기 재료는 알루미늄 질화물, 석영 및 사파이어로 구성된 그룹으로부터 선택된,
    샤워헤드.
  30. 제 1 항에 있어서,
    상기 샤워헤드는 프로세스 챔버를 더 포함하며,
    상기 라디칼 확산 플레이트, 상기 열적 격리부 및 상기 프리커서 전달 플레이트는 프로세스 가스를 상기 프로세스 챔버 내로 전달하도록 구성된,
    샤워헤드.
  31. 제 30 항에 있어서,
    상기 샤워헤드는 하나 이상의 다른 프로세스 챔버를 더 포함하며,
    상기 프로세스 챔버와 상기 다른 프로세스 챔버는 다중 챔버 반도체 프로세싱 툴을 형성하는,
    샤워헤드.
  32. 제 30 항에 있어서,
    제 2 라디칼 확산 플레이트, 제 2 열적 격리부 및 제 2 프리커서 전달 플레이트를 더 포함하며,
    상기 제 2 라디칼 확산 플레이트, 상기 제 2 열적 격리부 및 상기 제 2 프리커서 전달 플레이트는 상기 라디칼 확산 플레이트, 상기 열적 격리부 및 상기 프리커서 전달 플레이트와 유사한 방식으로 배열되며,
    상기 프로세스 챔버는 적어도 제 1 프로세싱 스테이션 및 제 2 프로세싱 스테이션을 포함하며,
    상기 라디칼 확산 플레이트, 상기 열적 격리부 및 상기 프리커서 전달 플레이트는 프로세스 가스를 상기 제 1 프로세싱 스테이션으로 전달하도록 구성되며,
    상기 제 2 라디칼 확산 플레이트, 상기 제 2 열적 격리부 및 상기 제 2 프리커서 전달 플레이트는 프로세스 가스를 상기 제 2 프로세싱 스테이션으로 전달하도록 구성된,
    샤워헤드.
  33. 반도체 프로세싱 동작을 위한 샤워헤드를 사용하는 방법으로서,
    상기 샤워헤드는,
    제 1 측과 이에 대향하는 제 2 측을 갖는 프리커서 전달 플레이트와,
    제 1 측과 이에 대향하는 제 2 측을 갖는 라디칼 통과 플레이트-상기 라디칼 통과 플레이트의 제 2 측은 상기 프리커서 전달 플레이트의 제 1 측을 바라보고 있음-와,
    상기 프리커서 전달 플레이트와 상기 라디칼 통과 플레이트 간에 개재된 열적 격리부와,
    라디칼 통과 구멍들의 패턴을 포함하며,
    상기 라디칼 통과 구멍 각각은,
    상기 프리커서 전달 플레이트, 상기 라디칼 통과 플레이트 및 상기 열적 격리부를 관통하며,
    상기 프리커서 전달 플레이트, 상기 라디칼 통과 플레이트 및 상기 열적 격리부에 대해 실질적으로 수직인 구멍 중심 축을 구비하며,
    상기 라디칼 통과 구멍의 구멍 중심 축에 대해서 수직인 실질적으로 균일한 단면적을 상기 프리커서 전달 플레이트, 상기 라디칼 통과 플레이트 및 상기 열적 격리부에 걸쳐서 유지하며,
    상기 프리커서 전달 플레이트는 가스 전달 구멍들의 패턴 및 하나 이상의 내부 가스 분배 통로들을 포함하며,
    상기 가스 전달 구멍 각각은 상기 프리커서 전달 플레이트, 상기 라디칼 통과 플레이트 및 상기 열적 격리부에 실질적으로 수직인 구멍 중심 축을 가지며,
    상기 가스 전달 구멍 각각은 상기 하나 이상의 내부 가스 분배 통로들 중 적어도 하나와 유체 연통하며,
    상기 가스 전달 구멍 각각은 상기 프리커서 전달 플레이트의 제 2 측 상에서 상기 프리커서 전달 플레이트를 나가며,
    상기 방법은,
    상기 프리커서 전달 플레이트를 제 1 온도로 유지하는 단계와,
    상기 라디칼 통과 플레이트를 제 2 온도로 유지하는 단계와,
    상기 라디칼 통과 플레이트가 상기 제 1 온도로 있을 동안에 제 1 프로세스 가스를 상기 가스 전달 구멍들을 통해서 제공하는 단계와,
    상기 라디칼 통과 플레이트가 상기 제 2 온도로 있을 동안에 제 2 프로세스 가스를 상기 라디칼 관통 구멍들을 통해서 제공하는 단계를 포함하는,
    샤워헤드 사용 방법.
  34. 반도체 프로세싱 동작을 위한 반응기로서,
    반응 챔버와,
    상기 반응 챔버 내에 위치한 웨이퍼 지지부와,
    샤워헤드를 포함하며,
    상기 샤워헤드는,
    제 1 측과 이에 대향하는 제 2 측을 갖는 프리커서 전달 플레이트와,
    제 1 측과 이에 대향하는 제 2 측을 갖는 라디칼 통과 플레이트-상기 라디칼 통과 플레이트의 제 2 측은 상기 프리커서 전달 플레이트의 제 1 측을 바라보고 있음-와,
    상기 프리커서 전달 플레이트와 상기 라디칼 통과 플레이트 간에 개재된 열적 격리부와,
    라디칼 통과 구멍들의 패턴을 포함하며,
    상기 라디칼 통과 구멍 각각은,
    상기 프리커서 전달 플레이트, 상기 라디칼 통과 플레이트 및 상기 열적 격리부를 관통하며,
    상기 프리커서 전달 플레이트, 상기 라디칼 통과 플레이트 및 상기 열적 격리부에 대해 실질적으로 수직인 구멍 중심 축을 구비하며,
    상기 라디칼 통과 구멍의 구멍 중심 축에 대해서 수직인 실질적으로 균일한 단면적을 상기 프리커서 전달 플레이트, 상기 라디칼 통과 플레이트 및 상기 열적 격리부에 걸쳐서 유지하며,
    상기 샤워헤드 및 상기 웨이퍼 지지부는 상기 웨이퍼 지지부가 상기 반응기 챔버 내에서 상기 샤워헤드 바로 아래에 (beneath) 있도록 구성된,
    반응기.
  35. 열적 격리부로서,
    제 1 측과,
    상기 제 1 측과 실질적으로 평행하며 상기 제 1 측으로부터 오프셋된 제 2 측과,
    상기 제 1 측과 상기 제 2 측 간에 걸쳐 있는 복수의 관형 구조물을 포함하며,
    상기 관형 구조물들은 상기 제 1 측과 상기 제 2 측에 걸쳐서 분포된 패턴으로 배열되며,
    상기 관형 구조물은 상기 제 1 측과 상기 제 2 측 간에 실질적으로 구획된 볼륨과 상기 관형 구조물 내의 볼륨 간의 유체 흐름을 실질적으로 방지하는,
    열적 격리부.
  36. 제 35 항에 있어서,
    상기 제 1 측과 상기 제 2 측 간에 걸쳐 있고 상기 복수의 관형 구조물을 둘러싸는 외연 벽 (perimeter wall) 을 더 포함하며,
    상기 외연 벽, 상기 제 1 측, 상기 제 2 측 및 상기 관형 구조물들의 최외각 표면들은 상기 열적 격리부의 중공형 내부 볼륨을 구획하는,
    열적 격리부.
  37. 제 36 항에 있어서,
    상기 열적 격리부의 중공형 내부 볼륨과 유체 연통하는 하나 이상의 포트를 더 포함하는,
    열적 격리부.
KR20140017451A 2013-02-15 2014-02-14 온도 제어를 구비한 다중 플레넘 샤워헤드 KR20140103080A (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201361765432P 2013-02-15 2013-02-15
US61/765,432 2013-02-15
US201361770251P 2013-02-27 2013-02-27
US61/770,251 2013-02-27
US13/934,620 US20140235069A1 (en) 2013-02-15 2013-07-03 Multi-plenum showerhead with temperature control
US13/934,620 2013-07-03

Publications (1)

Publication Number Publication Date
KR20140103080A true KR20140103080A (ko) 2014-08-25

Family

ID=51351505

Family Applications (1)

Application Number Title Priority Date Filing Date
KR20140017451A KR20140103080A (ko) 2013-02-15 2014-02-14 온도 제어를 구비한 다중 플레넘 샤워헤드

Country Status (5)

Country Link
US (1) US20140235069A1 (ko)
JP (2) JP2014220231A (ko)
KR (1) KR20140103080A (ko)
SG (1) SG2014011712A (ko)
TW (2) TW201828361A (ko)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170006214A (ko) * 2015-07-07 2017-01-17 에이에스엠 아이피 홀딩 비.브이. 박막 증착 장치
KR20190077632A (ko) * 2016-12-08 2019-07-03 어플라이드 머티어리얼스, 인코포레이티드 시간적 원자 층 증착 프로세싱 챔버
KR20190087608A (ko) * 2016-12-14 2019-07-24 램 리써치 코포레이션 리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위한 열적 제어부와 통합된 샤워헤드
US10388820B2 (en) 2015-02-03 2019-08-20 Lg Electronics Inc. Metal organic chemical vapor deposition apparatus for solar cell
US20200087790A1 (en) * 2018-09-14 2020-03-19 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
KR20210006019A (ko) * 2018-06-08 2021-01-15 어플라이드 머티어리얼스, 인코포레이티드 플랫 패널 프로세스 장비를 위한 온도 제어식 가스 확산기
US12000047B2 (en) 2023-02-02 2024-06-04 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
CN106884157B (zh) 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
KR102053350B1 (ko) * 2013-06-13 2019-12-06 삼성전자주식회사 저유전율 절연층을 가진 반도체 소자를 형성하는 방법
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9905400B2 (en) * 2014-10-17 2018-02-27 Applied Materials, Inc. Plasma reactor with non-power-absorbing dielectric gas shower plate assembly
WO2016063915A1 (ja) * 2014-10-24 2016-04-28 王子ホールディングス株式会社 光学素子、光学複合素子及び保護フィルム付光学複合素子
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
KR101670382B1 (ko) * 2015-03-10 2016-10-28 우범제 퍼지가스 분사 플레이트 및 그 제조 방법
JP6487747B2 (ja) * 2015-03-26 2019-03-20 株式会社Screenホールディングス 基板処理装置と処理ガス供給ノズル
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US11004661B2 (en) 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
JP6615544B2 (ja) * 2015-09-14 2019-12-04 株式会社東芝 流量調整装置及び処理装置
US10497542B2 (en) * 2016-01-04 2019-12-03 Daniel T. Mudd Flow control showerhead with integrated flow restrictors for improved gas delivery to a semiconductor process
US10825659B2 (en) * 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10267728B2 (en) * 2016-09-28 2019-04-23 Lam Research Corporation Systems and methods for detecting oxygen in-situ in a substrate area of a substrate processing system
JP6764771B2 (ja) * 2016-11-28 2020-10-07 東京エレクトロン株式会社 基板処理装置及び遮熱板
US9865433B1 (en) * 2016-12-19 2018-01-09 Varian Semiconductor Equipment Associats, Inc. Gas injection system for ion beam device
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US11380557B2 (en) 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
DE202017105481U1 (de) * 2017-09-11 2018-12-12 Aixtron Se Gaseinlassorgan für einen CVD- oder PVD-Reaktor
JP2021505766A (ja) * 2017-12-08 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation 遠隔プラズマ膜蒸着を可能にするためにラジカルおよび前駆体ガスを下流チャンバに供給するための改良された孔パターンを備える統合シャワーヘッド
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
US10900124B2 (en) * 2018-06-12 2021-01-26 Lam Research Corporation Substrate processing chamber with showerhead having cooled faceplate
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
US11970775B2 (en) * 2018-08-10 2024-04-30 Applied Materials, Inc. Showerhead for providing multiple materials to a process chamber
JP2020068247A (ja) * 2018-10-23 2020-04-30 東京エレクトロン株式会社 シャワーヘッドおよび基板処理装置
JP7104973B2 (ja) * 2018-10-29 2022-07-22 スピードファム株式会社 局所ドライエッチング装置
CN113169101B (zh) * 2019-01-08 2022-09-30 应用材料公司 用于基板处理腔室的泵送设备与方法
KR20200109620A (ko) * 2019-03-13 2020-09-23 (주)포인트엔지니어링 접합부품
US20210032750A1 (en) * 2019-07-31 2021-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition apparatus and method of forming metal oxide layer using the same
CN112713074B (zh) * 2019-10-25 2023-03-07 中微半导体设备(上海)股份有限公司 气体喷淋头组件及等离子体处理设备
CN115867999A (zh) * 2020-06-06 2023-03-28 朗姆研究公司 用于半导体处理的可移除喷头面板
USD948658S1 (en) * 2020-08-03 2022-04-12 Lam Research Corporation High density hole pattern dual plenum hole showerhead assembly
CN115478261A (zh) * 2021-05-31 2022-12-16 中微半导体设备(上海)股份有限公司 一种气体喷淋头及化学气相沉积设备
USD1005445S1 (en) * 2021-06-03 2023-11-21 PTP Turbo Solutions, LLC Inlet shield
WO2023077002A1 (en) * 2021-10-29 2023-05-04 Lam Research Corporation Showerhead with hole sizes for radical species delivery
WO2024091420A1 (en) * 2022-10-24 2024-05-02 Lam Research Corporation Showerhead with three plenums
CN115404463B (zh) * 2022-10-31 2023-03-24 上海星原驰半导体有限公司 原子层沉积设备及原子层沉积喷淋装置

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3156326B2 (ja) * 1992-01-07 2001-04-16 富士通株式会社 半導体成長装置およびそれによる半導体成長方法
US5597439A (en) * 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
JP4149051B2 (ja) * 1998-11-09 2008-09-10 東京エレクトロン株式会社 成膜装置
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
KR100406174B1 (ko) * 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
JP2006261217A (ja) * 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US20070016344A1 (en) * 2005-07-15 2007-01-18 Arinc, Incorporated Systems and methods for voice communications and control using adapted portable data storage and display devices
US7895970B2 (en) * 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100752622B1 (ko) * 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
JP2008066413A (ja) * 2006-09-05 2008-03-21 Tokyo Electron Ltd シャワーヘッド構造及びこれを用いた処理装置
JP2010084190A (ja) * 2008-09-30 2010-04-15 Sharp Corp 気相成長装置および気相成長方法
KR20120053003A (ko) * 2009-07-22 2012-05-24 어플라이드 머티어리얼스, 인코포레이티드 할로우 캐소드 샤워헤드
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
DE102011056589A1 (de) * 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10388820B2 (en) 2015-02-03 2019-08-20 Lg Electronics Inc. Metal organic chemical vapor deposition apparatus for solar cell
KR20170006214A (ko) * 2015-07-07 2017-01-17 에이에스엠 아이피 홀딩 비.브이. 박막 증착 장치
KR20190077632A (ko) * 2016-12-08 2019-07-03 어플라이드 머티어리얼스, 인코포레이티드 시간적 원자 층 증착 프로세싱 챔버
KR20190087608A (ko) * 2016-12-14 2019-07-24 램 리써치 코포레이션 리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위한 열적 제어부와 통합된 샤워헤드
US11608559B2 (en) 2016-12-14 2023-03-21 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR20210006019A (ko) * 2018-06-08 2021-01-15 어플라이드 머티어리얼스, 인코포레이티드 플랫 패널 프로세스 장비를 위한 온도 제어식 가스 확산기
US20200087790A1 (en) * 2018-09-14 2020-03-19 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
US11834743B2 (en) * 2018-09-14 2023-12-05 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
US12000047B2 (en) 2023-02-02 2024-06-04 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition

Also Published As

Publication number Publication date
TWI626685B (zh) 2018-06-11
TW201828361A (zh) 2018-08-01
US20140235069A1 (en) 2014-08-21
TW201448026A (zh) 2014-12-16
SG2014011712A (en) 2014-09-26
JP2018160462A (ja) 2018-10-11
JP2014220231A (ja) 2014-11-20

Similar Documents

Publication Publication Date Title
KR20140103080A (ko) 온도 제어를 구비한 다중 플레넘 샤워헤드
JP2014220231A5 (ko)
US8444926B2 (en) Processing chamber with heated chamber liner
KR102417934B1 (ko) 박막 증착 장치
KR101177192B1 (ko) 성막 장치, 성막 방법 및 기억 매체
US20110180233A1 (en) Apparatus for controlling temperature uniformity of a showerhead
KR20160136238A (ko) 열 제어된 대면 플레이트를 가진 내부식성 가스 분배 매니폴드
CN103993293B (zh) 带温度控制的多室喷头
TW201518538A (zh) 像素化冷卻溫度控制的基板支撐組件
TWI674646B (zh) 用於電漿處理的雙區式加熱器
US10780447B2 (en) Apparatus for controlling temperature uniformity of a showerhead
TWI811815B (zh) 用於半導體處理室的氣箱
TW202230471A (zh) 熱均勻的沉積站
TW202131397A (zh) 用於處理腔室的多區域流動氣箱
US11978614B2 (en) Substrate processing apparatus
JP2023530438A (ja) 半導体処理チャンバ用の非対称排気ポンピングプレート設計
TWI746253B (zh) 用於氣體輸送調節的腔室部件
US20230377908A1 (en) Compact modular gas distribution plumbing and heating system for multi-station deposition modules
TWI790777B (zh) 用於反向擴散控制的半導體腔室部件及用於半導體處理的方法
US20220108891A1 (en) Modular zone control for a processing chamber
WO2023220302A1 (en) Multi-zone gas distribution for asymmetric wafer bow compensation
TW202213577A (zh) 使用多個加熱區及熱孔隙的台座熱分布調校

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid