TW201828361A - 具有溫控之多充氣部噴淋頭 - Google Patents

具有溫控之多充氣部噴淋頭 Download PDF

Info

Publication number
TW201828361A
TW201828361A TW107110096A TW107110096A TW201828361A TW 201828361 A TW201828361 A TW 201828361A TW 107110096 A TW107110096 A TW 107110096A TW 107110096 A TW107110096 A TW 107110096A TW 201828361 A TW201828361 A TW 201828361A
Authority
TW
Taiwan
Prior art keywords
radical
plate
precursor
diffusion plate
gas
Prior art date
Application number
TW107110096A
Other languages
English (en)
Inventor
G 百林派崔克
N 凡拉德拉彥巴德里
L 派翠利亞珍妮佛
史貴凡迪巴頓 J 凡
F 里瑟卡爾
阿漫吉 西里蘭漫德彥
E 巴特瑟瑞秋
Original Assignee
美商諾發系統有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商諾發系統有限公司 filed Critical 美商諾發系統有限公司
Publication of TW201828361A publication Critical patent/TW201828361A/zh

Links

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F28HEAT EXCHANGE IN GENERAL
    • F28FDETAILS OF HEAT-EXCHANGE AND HEAT-TRANSFER APPARATUS, OF GENERAL APPLICATION
    • F28F3/00Plate-like or laminated elements; Assemblies of plate-like or laminated elements
    • F28F3/02Elements or assemblies thereof with means for increasing heat-transfer area, e.g. with fins, with recesses, with corrugations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Thermal Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Particle Accelerators (AREA)
  • Electron Sources, Ion Sources (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

與自由基來源一起使用的一種裝置,用於在半導體處理操作期間供應自由基。該裝置可包含形成一面板組件之多個板或元件所構成的一堆疊。該面板組件可包含一自由基擴散板、一先質遞送板、及插設於該自由基擴散板和該先質遞送板之間的一熱隔離體。該面板組件可具有多個自由基通孔所構成的一圖案,該等自由基通孔具有實質上垂直於該自由基擴散板的中心線。該熱隔離體可用以調節在該自由基擴散板和該先質遞送板之間的熱流。

Description

具有溫控之多充氣部噴淋頭
本申請案根據專利法,主張申請於西元2013年2月15日之美國暫時申請案第61/765,432號以及申請於西元2013年2月17日之美國暫時申請案第61/770,251號的優先權。該等暫時申請案的發明名稱皆為”MULTI-PLENUM SHOWERHEAD WITH TEMPERATURE CONTROL”,其全部內容藉由參照於此全部納入作為本案揭示內容的一部分。本發明係關於半導體處理操作的噴淋頭及其使用方法。
在處理期間,例如在化學汽相沉積(CVD)或原子層沉積(ALD)處理期間,半導體處理機台經常使用自由基來源,以將自由基化製程氣體分配到整個半導體晶圓。此等自由基來源可包含在處理期間面向晶圓的一面板(faceplate),且數個氣體分配孔可分布於整個該面板,以促成自該自由基來源之內至該晶圓的自由基化氣體輸送。
在若干半導體生產製程(例如電漿輔助化學汽相沉積(PECVD))期間,半導體製造製程氣體可轉換成電漿,以產生在各種製程步驟中所使用的自由基。此等電漿輔助製程可提供與例如熱CVD相比之多個優點,這是因為此等製程可以較低的製程溫度和較大的製程化學品彈性加以執行。然而,電漿轉換亦可能損傷晶圓,例如氧化晶圓下層的矽或製程中所使用的超低K介電質。為了降低此損傷的可能性,可將此等電漿配置成與晶圓為「遠程的」;一個此種製程通常稱為遠程電漿沉積(RPD)。舉例來說,若干自由基來源可具有一內部容積,電漿可產生於該內部容積之內。此內部容積可藉由自由基來源面板而與晶圓隔開(使電漿係與晶圓為「遠程的」),某種程度地屏蔽晶圓免於由電漿轉換所造成的可能損傷。在面板之中的該等氣體分配孔,使由遠程產生電漿所產生的自由基能夠流出該自由基來源且流至晶圓之上。
在此說明書中所述的申請標的的一個以上實施方式的細節,係在隨附圖式和以下發明說明中加以闡述。其他的特徵、實施態樣、及優點,透過發明說明、圖式、及申請專利範圍,將更為明白。要注意到,以下圖示的相對尺寸可能不依比例繪製,除非特別指出為按比例的圖式。
在若干實施方式中,可提供一噴淋頭,用於半導體處理操作。該噴淋頭可包含:一先質遞送板,具有一第一側和相反的一第二側;及一自由基擴散板,具有一第一側和相反的一第二側。該自由基擴散板的第二側可面向該先質遞送板的第一側。該噴淋頭亦可包含一熱隔離體,插設於該先質遞送板和該自由基擴散板之間。該噴淋頭亦可包含多個自由基通孔所構成的一圖案。該等自由基通孔每一者可穿過該先質遞送板、該自由基擴散板、及該熱隔離體。該等自由基通孔每一者亦可具有一孔中心軸,該孔中心軸實質上垂直於該先質遞送板、該自由基擴散板、及該熱隔離體。該等自由基通孔每一者可維持與穿過該先質遞送板、該自由基擴散板、及該熱隔離體之該自由基通孔的孔中心軸垂直的實質上一致的橫截面面積。
在若干進一步的實施方式中,該熱隔離體可用以將該自由基擴散板和該先質遞送板之間的熱流動,控制為低於在該自由基擴散板和該先質遞送板係直接熱接觸且加以增厚成使得該自由基擴散板的第一側與該先質遞送板的第二側維持於相同距離的情況下之該自由基擴散板和該先質遞送板之間的熱流動。
在噴淋頭的若干進一步實施方式中,該先質遞送板可包含多個氣體遞送孔所構成的一圖案、及一條以上內部的氣體分配通道。該等氣體遞送孔每一者亦可具有一孔中心軸,其實質上垂直於該先質遞送板、該自由基擴散板、及該熱隔離體。該等氣體遞送孔每一者亦可流體連接至該一條以上氣體分配通道其中至少一者,且該等氣體遞送孔每一者可在該先質遞送板的第二側離開該先質遞送板。
在噴淋頭的若干實施方式中,該等自由基通孔可具有介於7:1和10:1之間的長度對直徑的比例。在噴淋頭的若干其他實施方式中,該等自由基通孔具有介於6:1和11:1之間的長度對直徑的比例。在噴淋頭的若干實施方式中,該等自由基通孔可具有至少0.25”的長度。
在噴淋頭的若干實施方式中,該自由基擴散板可包含橫跨該自由基擴散板延伸的一條以上第一內部冷卻通道。該一條以上第一內部冷卻通道可與該自由基擴散板之內的該等自由基通孔流體隔離。
在噴淋頭的若干此等實施方式中,該等第一內部冷卻通道可包含一個通道陣列。各通道可沿著一路徑延伸,該路徑平均上係與實質上垂直該自由基擴散板的該第一側的一參考平面實質上平行。並且,各通道可具有與一入口流體連接的一第一端、及與一出口流體連接的一第二端。在噴淋頭的若干其他實施方式中,各通道可沿著一路徑延伸,該路徑平均上係與實質上垂直該自由基擴散板的第一側的一參考平面實質上平行。並且,位於該參考平面的一第一側上的各通道可具有與一第一入口流體連接的一第一端、及與一第一出口流體連接的一第二端。並且,位於與該參考平面的第一側為相反側的該參考平面的第二側上的各通道可具有與一第二入口流體連接的一第一端、及與一第二出口流體連接的一第二端。在若干此等實施方式中,該第一入口、該第二入口、該第一出口、及該第二出口可各自經由獨立的冷卻劑充氣部而與各自的通道連接,其中該等獨立的冷卻劑充氣部係實質上為弓形且圍繞該自由基擴散板的中心軸加以徑向配置。
在噴淋頭的若干實施方式中,該等第一內部冷卻通道可包含第一通道的陣列。該等第一通道每一者可沿著一第一路徑延伸,其中該第一路徑平均上係與實質上垂直該自由基擴散板的第一側的一參考平面實質上平行。該等第一內部冷卻通道可包含第二通道的陣列,且該等第二通道每一者可沿著一第二路徑延伸,其中該第二路徑平均上係實質上平行於該參考平面。該等第一通道和該等第二通道,可在該自由基擴散板之內係彼此流體隔離。第一通道每一者可具有與一第一入口流體連接的一第一端、及流體連接一第一出口之與該第一通道的第一端為相反側的一第二端。第二通道每一者可具有與一第二入口流體連接的一第一端、及流體連接一第二出口之與該第二通道的第一端為相反側的一第二端。該第一入口、該第二入口、該第一出口、及該第二出口可建構成:流入該第一入口的冷卻流體,在一第一平均方向流動通過該等第一通道且從該第一出口流出;以及流入該第二入口的冷卻流體,在一第二平均方向流動通過該等第二通道且從該第二出口流出。該第一平均方向和該第二平均方向可為實質上相反的方向。
在噴淋頭的若干實施方式中,該噴淋頭可更包含一周向充氣部。該周向充氣部可:具有一內周邊;鄰近該自由基擴散板的第一側;在整個該內周邊以實質上均勻分布的方式流動氣體且實質上朝向該自由基擴散板的中心軸流動氣體。
在噴淋頭的若干實施方式中,該噴淋頭可更包含一電漿圓頂。該電漿圓頂可具有:一內表面,相對於該自由基擴散板的中心軸實質上軸向對稱;一個以上自由基氣體入口,配置於在該自由基擴散板的中心軸附近的該電漿圓頂的一端;及一安裝介面,位於該電漿圓頂的相反的一端,且建構成用以將該電漿圓頂與該噴淋頭連接,使得該電漿圓頂的內表面和該自由基擴散板的第一側界定一自由基來源容積,且使得來自該周向充氣部的氣體流流入該自由基來源容積。
在噴淋頭的若干實施方式中,該周向充氣部可位於介設於該電漿圓頂和該自由基擴散板之間的一轉接器之中。在噴淋頭的若干其他實施方式中,該周向充氣部可位於該安裝介面附近的該電漿圓頂之中。
在噴淋頭的若干實施方式中,該熱隔離體可為一板,該板的熱傳導性實質上低於該先質遞送板和該自由基擴散板各自的熱傳導性。在噴淋頭的若干其他實施方式中,該熱隔離體可具有介於該自由基擴散板和該先質遞送板之間的一間隙。該間隙可界定介於該自由基擴散板和該先質遞送板之間的一自由容積。該熱隔離體亦可包含一個數量的管狀結構,與該多個自由基通孔所構成的圖案之中的該數量的自由基通孔對應。各管狀結構可:與該等自由基通孔的不同者對應;具有一內直徑,實質等於所對應的自由基通孔的標稱直徑;橫跨該間隙;及針對流體連通狀態,將該自由基通孔與該自由容積實質上隔離。
在噴淋頭的若干進一步的實施方式中,該等管狀結構其中至少一者可為一獨立的管段。在噴淋頭的若干進一步的實施方式中,該等管狀結構其中至少一者係由選自由石英或藍寶石所組成群組的材料所構成。
在噴淋頭的若干實施方式中,該熱隔離體可包含堆疊的至少二層,各層包含該等自由基通孔。在若干進一步的此等實施方式中,該熱隔離體可更包含一第一介面,該第一介面介於該等層其中一者的一第一配合表面與一相鄰層的一第二配合表面之間,並且,該第一配合表面和該第二配合表面其中至少一者可具有約8至16微英吋以上的表面粗糙度Ra 數值。在若干實施方式中,該等層可具有各層上約0.002”的絕對平坦度。
在噴淋頭的若干實施方式中,該等自由基通孔可經由在一第一平面上的開口離開該先質遞送板的第二側,且該等氣體遞送孔可經由一第二平面上的開口離開該先質遞送板的第二側,該第二平面係在背向該先質遞送板的第一側的方向上以一第一非零距離自該第一平面偏移。在若干實施方式中,該第一非零距離可大於0.25”。在若干實施方式中,該第一非零距離可介於0.25”和3”之間。在若干實施方式中,該第一非零距離可介於3”和12”之間。
在噴淋頭的若干實施方式中,該等自由基通孔可經由在一第一平面上的開口離開該先質遞送板的第二側,且該等氣體遞送孔可經由一第二平面上的開口離開該先質遞送板的第二側,其中該第二平面係在背向該先質遞送板的第一側的方向上自該第一平面偏移,且距離遠到足以使得通過該第一平面上的該等開口之來自該自由基擴散板的自由基化氣體流在到達該第二平面之前呈現實質上充分發展流。
在噴淋頭的若干實施方式中,將該自由基擴散板至少部分地塗佈以抑制自由基與該自由基擴散板再結合的材料。在若干此等實施方式中,該材料可選自由鋁氮化物、石英、和藍寶石所組成的群組。
在若干實施方式中,該噴淋頭可更包含一製程腔室。在此等實施方式中,該自由基擴散板、該熱隔離體、及該先質遞送板可用以輸送製程氣體至該製程腔室。
在若干此等實施方式中,該噴淋頭可更包含一個以上額外的製程腔室,且該製程腔室和該一個以上額外的製程腔室可形成一多腔室半導體處理工具。
在若干其他此等實施方式中,該噴淋頭可更包含一第二自由基擴散板、一第二熱隔離體、及一第二先質遞送板。該第二自由基擴散板、該第二熱隔離體、及該第二先質遞送板可以類似於該自由基擴散板、該熱隔離體、及該先質遞送板的方式加以配置。該製程腔室亦可至少包含一第一處理站及一第二處理站。該自由基擴散板、該熱隔離體、及該先質遞送板可用以將製程氣體輸送至該第一站,且該第二自由基擴散板、該第二熱隔離體、及該第二先質遞送板可用以將製程氣體輸送至該第二站。
在若干實施方式中,提供一種方法,用於使用用於半導體處理操作的一噴淋頭。該噴淋頭可包含:一先質遞送板,具有一第一側和相反的一第二側;及一自由基擴散板,具有一第一側和相反的一第二側。該自由基擴散板的第二側可面向該先質遞送板的第一側。該裝置亦可包含一熱隔離體,插設於該先質遞送板和該自由基擴散板之間。該裝置亦可包含多個自由基通孔所構成的一圖案。該等自由基通孔每一者可穿過該先質遞送板、該自由基擴散板、及該熱隔離體。該等自由基通孔每一者亦可具有一孔中心軸,實質上垂直於該先質遞送板、該自由基擴散板、及該熱隔離體。並且,該等自由基通孔每一者可維持與穿過該先質遞送板、該自由基擴散板、及該熱隔離體之該自由基通孔的孔中心軸垂直的實質上一致的橫截面面積。該方法可包含:維持該先質遞送板於一第一溫度;維持該自由基擴散板於一第二溫度;當該先質遞送板係在該第一溫度時,通過該等氣體遞送孔提供一第一製程氣體;及當該自由基擴散板係在該第二溫度時,通過該自由基通孔提供一第二製程氣體。
在若干實施方式中,可提供一種反應器,其用於半導體處理操作。該反應器可包含:一反應腔室;一晶圓支持部,位於該反應腔室之內;及一噴淋頭。該噴淋頭可包含:一先質遞送板,具有一第一側和相反的一第二側;及一自由基擴散板,具有一第一側和相反的一第二側。該自由基擴散板的第二側可面向該先質遞送板的第一側。該裝置亦可包含一熱隔離體,插設於該先質遞送板和該自由基擴散板之間。該裝置亦可包含多個自由基通孔所構成的一圖案。該等自由基通孔每一者可穿過該先質遞送板、該自由基擴散板、及該熱隔離體。該等自由基通孔每一者亦可具有一孔中心軸,實質上垂直於該先質遞送板、該自由基擴散板、及該熱隔離體。並且,該等自由基通孔每一者,可維持與穿過該先質遞送板、該自由基擴散板、及該熱隔離體之該自由基通孔的孔中心軸垂直的實質上一致的橫截面面積。該噴淋頭和該晶圓支持部可建構成使得該晶圓支持部係在該反應腔室之內位於該噴淋頭下方。
在若干實施方式中,可提供一熱隔離體。該熱隔離體可包含:一第一側;一第二側,與該第一側實質上平行且自該第一側偏移;及複數管狀結構,橫跨該第一側和該第二側之間,該等管狀結構以橫跨該第一側和該第二側之間的分散圖案加以配置。該等管狀結構可實質上防止介於該等管狀通道之內的容積、與實質上界定於該第一側和該第二側之間的容積之間的流體流動。
在若干進一步此等實施方式中,該熱隔離體可更包含一周邊璧,其橫跨該第一側和該第二側之間且包圍該複數管狀結構。該周邊璧、該第一側、該第二側、及該等管狀結構的最外側表面可界定該熱隔離體的一中空內部容積。
在熱隔離體的另外若干進一步實施方式中,該熱隔離體可更包含一個以上的埠,該等埠係與該熱隔離體的該中空內部容積流體連通。
以下更詳細地探討本揭露內容的這些和其他實施態樣。
各種實施方式的例子係描繪於隨附圖式中,且以下進一步加以描述。將理解的是,此處探討無意將申請專利範圍限定於所述之特定實施方式。相反地,意欲將可能包含於如隨附申請專利範圍所定義之本發明的精神與範疇的替代、變化、及均等物加以涵蓋。在以下說明中,描述許多實施方式特有的細節,以提供本發明的完整理解。本發明可在沒有若干或全部這些實施方式特有細節的情況下加以實施。另一方面,眾所周知的製程操作不再詳細描述,以免不必要地混淆本發明。
此處描述的,係與遠程電漿來源一起使用的噴淋頭的三分區(tri-partitioned)面板組件的各種實施方式,以及可與遠程電漿來源一起使用的其他特徵部。吾人理解,雖然用語「三分區」可用以意指具有三個主要元件的面板組件,用語「三分區」亦可用以意指具有形成三個不同區域的更多或更少的主要元件的面板組件,各個區域提供相對於以下探討的面板組件的主要元件之以下所探討的類似功能。
圖1A描述在自由基化氣體流操作期間具有三分區面板組件的一遠程電漿來源之範例的高階示意圖。顯示一遠程電漿來源170,其包含:一面板組件100,包括一自由基擴散板101;一先質遞送板103;及一熱隔離體102,介設於該自由基擴散板101和該先質遞送板103之間。一電漿圓頂133可經由安裝介面136(例如安裝凸緣、肩部、或其他特徵部)而與面板組件連接,以形成一自由基來源容積137,例如由該面板組件和電漿圓頂133的內部表面134所界定的一容積。在若干實施方式中,可不使用電漿圓頂,而是使用一較習用的外罩,例如形成實質上圓柱形自由基來源容積137的扁平背板或其他形狀,如可在使用於半體體處理操作中的習知噴淋頭上所見到的。一充氣部轉接器138可介設於電漿圓頂133(或替代結構,例如扁平背板或其他結構,如以上所詳述)和面板組件100之間。充氣部轉接器138可包含一周向充氣部132,其用以將製程氣體經由一個以上徑向入口154流入自由基來源容積137。在圖1A中,沒有氣體流通過該一個以上徑向入口154。該一個以上徑向入口154可為以圓形陣列排列之獨立的入口,或可為一個以上弧形「氣刀(air knife)」入口,其以周向充氣部132之中的薄、弧形開槽為特徵。
吾人理解,雖然圖1A和1B描繪與電感式耦合電漿(ICP)系統中所見者類似的遠程電漿來源,面板組件100以及例如充氣部轉接器138的其他元件,可與可用以輸送自由基至自由基擴散板101上方的容積的各式各樣的技術一起使用。舉例來說,面板組件100可與利用紫外光產生自由基的自由基產生技術、電容耦合電漿技術、微波電漿產生器、及其他類型的自由基產生技術一起使用。自由基可直接產生在自由基來源容積137之內,或由一外部來源(例如由MKS Instruments所提供的ASTRONi™反應氣體產生器)供應,該外部來源在自由基來源容積137之外產生自由基,且接著將自由基化氣體經由一個以上輸送埠輸送至自由基來源容積137之中。
一第一製程氣體可經由一個以上自由基氣體入口135流入該裝置。可利用射頻線圈152使用該第一製程氣體產生電漿,該射頻線圈152可經由匹配網路153連接至一RF來源。自由基化第一製程氣體可朝向自由基擴散板101流動,且通過一個以上自由基通孔108抵達一晶圓反應區域,該晶圓反應區域係位於面板組件100和利用遠程電漿來源170進行處理的晶圓105之間(或介於面板組件100和晶圓支持部107之間)。同時,一先質氣體可流入一個以上先質氣體入口,且通過內部氣體分配通道112而至氣體遞送孔110。如此,先質氣體和自由基化第一製程氣體可同時輸送至晶圓反應區域。
圖1B描繪在一操作期間圖1A的遠程電漿來源的高階示意圖,其中通過面板的自由基化氣體流受到抑制。在圖1B中,一第二製程氣體可經由一個以上徑向入口154導入至自由基來源容積137。一個以上的自由基入口154可用以引導第二製程氣體橫越自由基擴散板,形成介於第一製程氣體和自由基擴散板101之間的一第二製程氣體層。第二製程氣體的流動可至少部分地阻礙朝向自由基擴散板101的第一製程氣體的流動,因此在第二製程氣體流動通過一個以上徑向入口154之時至少部分地防止自由基化的第一製程氣體流動通過自由基通孔108。對於其中在若干操作期間期望防止自由基氣體到達製程反應區域的應用,此第二製程氣體流可在那些操作期間消除沖洗自由基氣體的自由基來源容積的需求(其亦可涉及熄滅電漿)。第二製程氣體可為例如惰性載體氣,或者可與第一製程氣體相同。在若干實施方式中,第二製程氣體可為不同於第一製程氣體的非載體氣體。
圖2A至2E描述各種面板組件的高階示意圖。在此揭露內容上下文中的各種面板組件實施態樣係加以探討。一般而言,可將面板組件的自由基擴散板和先質遞送板維持於不同的溫度,以促成改善的處理環境。舉例來說,可將自由基擴散板維持於與先質遞送板相比實質較低的溫度,以改善自由基輸送效率。這是因為與表面較冷時相比,當表面較暖時,自由基呈現增加趨勢在發生碰撞時與該表面再結合。藉由降低自由基擴散板溫度,與自由基擴散板碰撞的自由基較不可能與該自由基擴散板再結合,因而留下較多的自由基流動通過自由基通孔。同時,冷卻先質遞送板可能造成由先質遞送板所輸送的製程氣體不期望地凝結。為了防止此凝結,可直接地(例如藉由加熱元件或流體熱交換器)或間接地(例如藉由與較高溫度元件熱接觸)加熱該先質遞送板,使得該先質遞送板維持於先質的汽化溫度以上。
在若干實施方式中,自由基擴散板以及其他元件,可完全地或部分地塗佈材料以抑制或防止自由基再結合。舉例來說,自由基擴散板面向自由基來源容積之側可設有鋁氮化物、石英、或藍寶石塗層。
熱隔離體可介設於自由基擴散板和先質遞送板之間,以控制此二元件之間的熱流。因此,可將熱隔離體一般性描述成一個以上元件,其配置成用以阻礙先質遞送板和自由基擴散板之間的熱流而不實質影響通過面板組件的自由基輸送效率。
在圖2A至2E之中所顯示的實施方式中,面板組件200皆包含多個主要元件,其包含一自由基擴散板201、一熱隔離體202、及一先質遞送板203。面板組件亦包含自由基通孔208所構成圖案和氣體遞送孔210所構成圖案。自由基通孔208完全穿過面板組件200,而氣體遞送孔210穿過先質遞送板203的一部分,且在與自由基通孔208在先質遞送板203相同之側離開。氣體遞送孔210係與一個以上內部氣體分配通道212流體連接。內部氣體分配通道212可與一個以上先質氣體入口248流體連接,將一製程氣體(例如先質氣體)流入先質遞送板203且通過氣體遞送孔210流出。自由基擴散板201可包含多條通道214,其可各自在第一端216處流體連接一個以上的入口219且在第二端217處流體連接一個以上出口220。冷卻劑可經由通道214循環通過自由基擴散板201,以從自由基擴散板201傳遞熱量且降低該自由基擴散板的溫度。該冷卻劑可由外部來源提供,例如冷卻劑供應部或熱交換器系統。
圖2A描繪一三分區面板組件的一個例子的高階示意圖,該組件以作為熱隔離體的一多孔板為特徵。在此實施方式中,熱隔離體202的型式為夾設於自由基擴散板201和先質遞送板203之間的一材料層。用於熱隔離體202的材料可為一材料,該材料具有相對低熱傳導性(相較於自由基擴散板201和先質遞送板203的熱傳導性)且仍維持與製程化學品的相容性及與自由基的低反應性。舉例來說,熱隔離體202可由例如低K陶瓷、石英、各種低熱傳導性塑膠(例如聚醯亞胺)、或如300系列不鏽鋼的不鏽鋼(被塗佈以保護其免受半導體處理腔室的化學環境影響)之材料所製成,而自由基擴散板201和先質遞送板203可由具有高於熱隔離體材料熱傳導性一個數量級以上的熱傳導性的材料所製成,例如鋁合金。自由基通孔208可穿過熱隔離體202。如可見到的,自由基通孔208在穿過自由基擴散板201、熱隔離體202、及先質遞送板203之時維持實質上一致的橫截面。這有助於將行進通過自由基通孔208的自由基可能碰撞的各自由基通孔208之內的表面面積加以降低,因而降低此等自由基與自由基通孔側壁再結合的機會。
圖2B描繪三分區面板組件的一個例子的高階示意圖,該組件具有作為熱隔離體的中空板的特徵。在此面板組件200的實施方式中,熱隔離體202可基本上挖空,以形成可抽至真空的內部自由容積241。自由容積241可實質上形成熱隔離體202之內的間隙240;在若干實施方式中,如將可見到的,間隙240可介於自由基擴散板201與先質遞送板203之間,或介於自由基擴散板201或先質遞送板203其中任一與熱隔離體202的一表面之間。管狀結構242可將自由基通孔208實質上流體隔離於內部自由容積241。由於內部自由容積241之中真空的存在,將通過熱隔離體的熱流動有效地限制於通過自由容積241的輻射傳熱機制及通過管狀結構242材料的有限傳導。為了降低在此實施方式中的傳導熱流動量,如同圖2A的熱隔離體202,熱隔離體202係以低K材料製成。
自由容積241可透過與一真空泵連接的一真空埠259抽至真空,或者與容納面板組件200的製程腔室的較大容積流體連接,例如透過引導通過面板組件200且至晶圓反應區域的真空埠(未顯示於圖2B,但參見圖3A及3B)。由於晶圓反應區域可維持於真空狀態(除了加入通過面板組件200所提供的小量的製程氣體之外),上述設計亦可用以將自由容積241維持於類似的氛圍狀態。
圖2C描繪三分區面板組件的一個例子的高階示意圖,該組件的特徵係交替的中空板熱隔離體設計。這個實施方式係類似於參照圖2B所描述者,除了熱隔離體202沒有完全地界定自由容積241。作為替代,熱隔離體202包含一板,管狀結構242在各個自由基通孔208位置處從此板突出。在此實施方式中,間隙240係由介於自由基擴散板201與熱隔離體202之中的該板之間的距離所界定。管狀結構242可延伸進入自由基擴散板201之中的凹部。在若干實施方式中,管狀結構242可簡單地抵住自由基擴散板201而不延伸進入此等凹部。在若干其他實施方式中,管狀結構242可以一小間隙與自由基擴散板201隔開,亦即是介於該等管狀結構242和自由基擴散板201之間的密封件係非必要的。此一小間隙可足夠小,使得管狀結構242與自由基擴散板201之間的流導係實質上小於通過自由基通孔的流導。可使用真空埠259將熱隔離體202之內的自由容積241抽空,以進一步降低熱隔離體202的熱傳導。
圖2D描繪三分區面板組件的一個例子的高階示意圖,該組件的特徵係具有複數獨立的管之特徵的熱隔離體。在此實施方式中,熱隔離體202不存在成單一、可定義的部件。替代地,熱隔離體202可藉由介設於自由基擴散板201和先質遞送板203之間的較小部件的集合加以形成。舉例來說,自由基擴散板201和先質遞送板203其中一者或二者可包含凹部,其容許一獨立的管狀結構242插入自由基擴散板201及/或先質遞送板203。一周邊外壁可提供自由基擴散板201和先質遞送板203之間的結構支撐,且定義自由容積241的外部界線。在此實施方式中的周邊外壁和管狀結構可由相同或不同材料製成。舉例來說,周邊外壁可由鋁氧化物製成,而管狀結構可由石英構成。
圖2E描繪三分區面板組件的一範例的高階示意圖,該面板組件的特徵係偏移的氣體分配孔和自由基通孔出口。就圖2A到2D上述探討的實施方式已聚焦於各種熱隔離體實施方式。圖2E中所顯示的實施方式利用類似於圖2B中所顯示的熱隔離體202,但如上所述的其他類型的熱隔離體202亦可加以使用。然而,在圖2E中,氣體遞送板203的特徵係與於圖2A至2D中所顯示的氣體遞送板203不同的幾何形狀。圖2E的氣體遞送板203具有在一第一平面243離開氣體遞送板203的自由基通孔208,該第一平面243係自一第二平面244偏移一第一非零距離245,而氣體遞送孔210係經由該第二平面244離開氣體遞送板203。
舉例來說,氣體遞送板203可實質上為平板,而在各個氣體遞送孔210位置處一小管自該平板突出。介於氣體遞送孔210的出口平面與自由基通孔208出口平面之間的偏移,可容許來自自由基通孔的自由基氣體流在先質氣體引導至自由基氣體之前達到實質上充分發展流(fully-developed flow)條件。藉由將各別氣體的出口平面物理性偏移,提供自由基氣體充分的時間達到充分發展流。在若干實施方式中,該偏移可大於0.25”。在若干另外的實施方式中,該偏移可介於0.25”和3”之間。在若干又另外的實施方式中,該偏移可介於3”和12”之間。
要理解的是,對於自由基擴散板201、熱隔離體202、及氣體遞送板203之上述各種概念,可以與所顯示者不同的各種組合加以組裝。舉例來說,圖2E的具有非共面出口平面的氣體遞送板203,可與圖2A的自由基擴散板201和熱隔離體202一起使用。類似的替換,可對於圖2B至2D其他者,以適當的修改加以進行。
更要理解的是,雖然在該等圖示中先質遞送板203係具有僅有單組氣體遞送孔的特徵,若干實施方式的特徵可為具有多組氣體遞送通道及對應氣體遞送孔的一先質遞送板203。舉例來說,先質遞送板203可設有在氣體遞送板203之內彼此流體隔離的二組氣體遞送通道和對應的二組氣體遞送孔。
對於具有內部冷卻通道的各種板,例如自由基擴散板201和熱隔離體202的若干實施方式,該等冷卻通道可橫越該等板,在該等板之內冷卻通道係配置於一蛇形或迂迴的路徑。在若干其他實施方式中,冷卻通道可沿著一實質上直線路徑延伸。圖3A描繪一示意圖,顯示實質上直線的冷卻管道路徑。圖3B描繪一示意圖,其亦顯示實質上直線的冷卻管道路徑。如在圖3A中可見到的,冷卻通道314可沿著一直線路徑315延伸;舉例來說,該等通道可為利用一深孔鑽將一板橫向鑽孔的產物。如在圖3B中可見到的,冷卻通道314可自直線路徑315偏移,例如來回穿梭通過直線路徑315,而仍大體上沿著直線路徑315延伸。因此,舉例來說,提及沿著平行於一參考平面之路徑延伸的通道,可理解為包含實質上沿著平行於參考平面之如圖3A和3B所顯示的直線路徑延伸的通道。
現在參照各種更詳細的圖示,其根據在此揭露內容中所述概念描述面板組件和其中元件另外的例子。
圖4A 描述三分區面板組件的一個例子的等角視圖。顯示一面板組件400,其以一自由基擴散板401、一先質遞送板403、及介設於自由基擴散板401和先質遞送板403之間的一熱隔離體402為特徵。熱隔離體402在此視圖中有些難以觀看,但在後面的圖示中較可觀看。
面板組件的特徵可為在圍繞一中心軸431的整個實質圓形區域中所分布的自由基通孔408的圖案。此等自由基通孔408可具有孔中心軸409,其實質上垂直於自由基擴散板401。自由基通孔可具有一總側壁面積,其相當於所有自由基通孔408的側壁面積(包含位於熱隔離體402及先質遞送板403之中的自由基通孔408的部分的側壁)的和,並且,形成自由基來源容積的部分界線的自由基擴散板401的部分可具有一橫截面面積,其不包含自由基通孔408的橫截面面積。在若干實施方式中,自由基通孔408的總側壁面積對上述橫截面面積的比例可低於1比1.5。
在若干實施方式中,自由基通孔408的長度對直徑比例可大於2:1,且長度可大於0.25”,以協助防止由面板組件400所輸送的氣體經由氣體遞送孔410或自由基通孔408逆擴散進入面板組件400。在若干實施方式中,自由基通孔408的長度對直徑比例可介於7:1和10:1之間。自由基通孔408可具有與穿過面板組件400的孔中心軸垂直的名義上一致的橫截面面積。在若干實施方式中,在橫截面面積上可具有若干變化,例如自由基通孔408在進入和離開面板組件400之處呈喇叭狀展開。
在圖4A中所顯示的自由基擴散板401和先質遞送板403係多部件設計(雖然僅就自由基擴散板顯示多部件實施態樣)。舉例來說,自由基擴散板401係由一實質上圓形的內部部分及四個弓形的外部部分形成,該四個弓形外部部分係在焊接區域447處焊接至該內部部分的外周邊。這允許將連接通道鑽通該內部部分的寬度,且使該連接通道接著受該等外部部分覆蓋,形成氣體或流體流的密封內部空間。自由基擴散板401亦可包含一個以上密封介面446,其可用以將自由基擴散板401密封至例如背蓋、轉接器、電漿圓頂、或其他配合表面。另外的密封介面446亦可設置在其他的部件上,例如在熱隔離體402或先質遞送板403之上,以將自由基擴散板401、熱隔離體402、及先質遞送板403之間的介面密封。
第一入口423和 第二入口427可經由自由基擴散板401內部的冷卻通道分別與第一出口424和第二出口428流體連通。類似地,一個以上先質氣體入口448可與在先質遞送板403之中的一個以上內部氣體分配通道流體連通。
圖4B描繪圖4A的面板組件的等角視圖,其中將多個部分切除以觀察內部特徵。如可見到的,自由基通孔408完全穿過自由基擴散板401、熱隔離體402、及先質遞送板403,並且同時維持與孔中心軸409垂直的實質上一致的橫截面。在圖4B亦可見到一通道414,其為第一內部冷卻通道網路413之中的一些此等通道414其中之一。如可見到的,通道414的第一端416可與一冷卻劑充氣部450流體連接,如在圖4C中將見到的,冷卻劑充氣部450係與第一入口423流體連接。一第二入口427可與一不同的冷卻劑充氣部450流體連接,且從而與其他的通道414的第一端416流體連接(未顯示於此途中)。在若干實施方式中,第一入口423和第二入口427,或是一單一共同入口,可與一共同冷卻劑充氣部450連接,該冷卻劑充氣部450係與通道414的第一端416連接。該等通道414的第二端417(未顯示於圖4B,但可見於以下探討的圖4C),亦可連接至一個以上的冷卻劑充氣部450,該冷卻劑充氣部450可從而連接至一第一出口424和第二出口428,或者在若干實施方式中,第二端417連接至單一共同冷卻劑充氣部450及/或單一共同出口。足以提供整個自由基擴散板401的實質均勻冷卻(例如在通常製程條件期間可能接觸自由基化氣體之自由基擴散板部分之各處低於~1-2℃的溫度差)的其他冷卻解決方案亦可加以使用且視為在此揭露內容的範圍之內。
在某些方面,先質遞送板403可具有與自由基擴散板401之中者類似的特徵。舉例來說,先質遞送板403可具有先質充氣部451及內部氣體分配通道412,其在某些方面可實質類似於冷卻劑充氣部450及通道414。先質遞送板403亦可具有一個以上先質氣體入口448,其用以將先質氣體導入先質充氣部451。然而,與自由基擴散板401相比,流入先質充氣部451和內部氣體分配通道412的流體(例如先質氣體)可能不是經由類似於第一出口424和第二出口428的出口離開先質遞送板403,而是可替代地從與內部氣體分配通道412流體連接的氣體遞送孔410的圖案流出。氣體遞送孔410可具有孔中心軸411,其係與自由基通孔408的孔中心軸409平行。就橫截面而言,氣體遞送孔410可實質上小於自由基通孔408。然而,在其他實施方式中,自由基擴散板和先質遞送板403的結構不同程度可較圖4B所顯示者大得許多。
圖4C描述圖4A的面板組件的等角分解圖,其中為了觀察內部結構將若干元件的部分切除。在圖4C中,將自由基擴散板401的上半部移除,以能夠觀看通道414。先質遞送板403的上半部亦為了類似的原因被移除。
自由基擴散板401可具有第一側406(在圖4C中,由於第一側在已被切除之自由基擴散板401的部分上,第一側無法直接看到—該第一側406亦顯示於圖4B中)以及與該第一側為相反側的第二側407。
亦可見到的是多個冷卻劑充氣部450,在此實施方式中其為弓形內部空間,各自位在自由基擴散板401的一不同的四分部。位在參考平面418的一側的冷卻劑充氣部450和通道414,可在自由基擴散板401內與位在參考平面418另一側的充氣部450和通道414流體隔離。此充氣部/通道配置可容許相對高的冷卻劑流率,且可提供橫跨自由基擴散板寬度的實質上均勻溫度差,例如小於約1 ℃的溫度差。各通道414可連接至在第一端416處的一冷卻劑充氣部450,且連接至在第二端417處的一不同的冷卻劑充氣部450。可設置一溫度感測器孔449,使得例如熱耦或其他溫度量測探測器(未顯示)的溫度感測器可設置在自由基擴散板401的中心附近,以監控自由基擴散板401之中的溫度。
關於先質遞送板403,在此實施方式中,可觀察到單一先質充氣部451係設置成實質上圍繞先質遞送板403的周邊延伸。一先質氣體入口448可設置成用以將先質氣體饋入先質充氣部451。來自先質充氣部451的先質氣體可流入內部氣體分配通道412,且由內部氣體分配通道412經由氣體遞送孔410流出先質遞送板。先質遞送孔410,可用與自由基通孔408的分布方式相對類似的方式,分布在整個先質遞送板403。要理解的是,其他實施方式可利用對於自由基通孔408和氣體遞送孔410二者不同的孔圖案。自由基通孔408可配置成在面板組件內與內部氣體分配通道412、氣體遞送孔410、冷卻劑充氣部450、先質充氣部451、及通道414流體隔離。
類似於自由基擴散板401,先質遞送板403具有第一側404(再次,由於切除的關係在圖4C中無法直接看到該側)以及第二側405。一般而言,先質遞送板403的第一側404可面向自由基擴散板401的第二側407,而熱隔離體插設於該第一側404和該第二側407之間。
如在此揭露內容中先前所探討的,不同類型的自由基擴散板401、熱隔離體402、及先質遞送板403可使用於各種堆疊配置以設置面板組件400。圖4A到4C描述面板組件400,其中自由基擴散板400具有通過通道414的單一方向冷卻劑流動。
圖5A描述具有反向流動冷卻管道的自由基擴散板的一個例子的等角分解圖。在圖5A中,自由基擴散板501可由三個主要元件的堆疊加以形成,該三個主要元件為:頂板555、中板556、及底板557。可將這些板夾在一起,或可透過更永久性的措施,例如硬焊,將其固定在一起。頂板555具有一第一入口523及一第二入口527。第一入口523可穿過頂板555,且經由在頂板555的底部表面的一開槽(未顯示,但類似於在底板557的頂部表面上所顯示的開槽)而與冷卻劑充氣部550(顯示於圖5A的右側)流體連通。經由第一入口523流入冷卻劑充氣部550的冷卻劑可接著流動通過在中板556之中的第一通道525,且在經由另一開槽由第一出口524流出之前流入另一冷卻劑充氣部550。
在圖5A中亦可見到一第二入口527和一第二出口528,二者皆經由在底板557中可見到的開槽而與中板556的底面上的第二通道526(在此圖中看不見,但參見圖5C)流體連通。在圖5A中包含箭號,用以表示進/出各入口/出口及充氣部的流體流動方向。
圖5B描述圖5A的自由基擴散板的中板的第一側。圖5C描述圖5A的自由基擴散板的中板的第二側。在圖5B中所顯示的第一側對應在圖5A中的中板556的可看到之側。流動箭號顯示在第一通道525中的流體流動係由右至左。在圖5C中所顯示的第二側係在中板556之第一側的相反側上。流動箭號顯示在第二通道526之中的流體流動係由左至右。因此,冷卻劑可在第一通道內於第一方向上流動,且在第二通道中於與第一方向相反的第二方向上流動。這可在整個含有自由基通孔508的自由基擴散板501的部分產生更均勻的溫度分布。
圖6A描述先質遞送板的一個例子的等角剖面圖。圖6A的先質遞送板603係類似於圖2C中所顯示的概念,且包含一主板660,主板660包含數個管狀結構642,其自主板660突出且進入蓋板658。各個管狀結構642可包含穿過其中的自由基通孔608。主板660和蓋板658可以一間隙彼此偏移,以形成一先質充氣部651。在此實施方式中,先質充氣部651不僅包含圍繞自由基通孔608的周邊容積,亦包含該等管狀結構642之間的孔隙,因而取代獨立氣體分配管道的需求。一圖案之多個氣體遞送孔610可存在於氣體遞送板603的第二側上,且與先質充氣部651流體連通(要理解的是,由於氣體遞送孔610在使用期間通常向下指向晶圓反應區域,故將先質遞送板603顯示為「上下顛倒」)。
圖6B描述圖6A的先質遞送板的仰視圖。如在此視圖中可觀察到,氣體遞送孔610和自由基通孔係以實質上均勻分配的方式排列於整個氣體遞送板603的內部部分。圖6C描述圖6A的先質遞送板的側剖面圖。此視圖更清晰地顯示圖6A的若干細節。圖6D描述圖6A的先質遞送板的分解反向等角視圖。此視圖顯示管狀結構642可具有肩部或沿著其外表面的錐形邊緣,但應注意到的是所顯示內部表面顯示與自由基通孔截面匹配之不變的截面。實際上,管狀結構642可包含各式各樣的特徵部,用以協助製造或組裝,例如圓形或切角外部邊緣。
圖7A描述熱隔離體的一個例子的等角分解圖。在圖7A中,顯示與自由基擴散板401類似的自由基擴散板701。然而,可設置不同於熱隔離體402和先質遞送板403的熱隔離體702和先質遞送板703。在此實施方式中,管狀結構742突出先質遞送板703的第一側704且進入熱隔離體702之內的圓柱形容積。在圖7A中,管狀結構預留位置742’描繪在完全地組裝面板組件700之時在熱隔離體702之內的管狀結構742的位置。因此,形成自由基擴散板701、熱隔離體702、及/或先質遞送板703之部分的各種元件,可受面板組件700的其他層支撐或附接至該等其他層,且從而在組裝期間適當地配置。在圖7A中亦可見到真空埠759,在此實施方式中,真空埠759僅為一開口,其使熱隔離體702之內的圓柱形容積能夠排氣通過先質遞送板703而至晶圓反應區域或周圍製程腔室容積(如此使圓柱形容積中的壓力能夠與半導體處理腔室內的壓力狀態維持平衡,例如維持真空環境)。
圖7B描述圖7A的熱隔離體的等角視圖,其中將若干部分切除以觀看內部特徵。在圖7B中,自由基擴散板係顯示以一弦剖切面,其將跨越於多個冷卻劑充氣部750之間且為第一內部冷卻通道網路713之部分的數條通道714其中一者一分為二。自由基通孔708可穿過自由基擴散板701,且可設置密封介面746以能夠將面板組件700密封至例如一電漿圓頂結構或其他結構(未顯示),該結構可與面板組件700一起實質上界定一遠程電漿容積。第一出口724和第二出口728可容許冷卻劑離開自由基擴散板701的內部。
熱隔離體702係顯示以一不同的弦剖切面。如可見到的,自先質遞送板703突出的管狀結構742可突入由介於自由基擴散板701和先質遞送板703之間的間隙740所界定的容積。
先質遞送板703係顯示以階梯狀的弦切面,亦即是該先質遞送板703係顯示以穿過其厚度大約一半之深度的弦剖切面,以及顯示以穿過其剩餘厚度的較小弦剖切面。可觀察到先質充氣部751,以及二條氣體分配通道712和二個氣體遞送孔710。先質氣體入口748可與內部氣體分配通道712流體連接。
圖8A描繪三分區面板組件的一個例子的二等角剖面圖。圖8B描繪圖8A的例示剖面三分區面板組件的一部分的細節圖。圖8C描繪圖8A的例示三分區面板組件的二等角剖面分解圖。圖8D描繪圖8A的例示三分區面板組件的另一二等角分解剖面圖,其中將自由基擴散板和先質遞送板的上部移除以能夠觀察內部特徵。
一般而言,圖8A至8D中所顯示的許多特徵類似於圖4A至4C中所顯示者。以具有與圖4A至4C中類似結構相同的最後二位數字標號加以編號的圖8A至8D的構件,應理解為實質上類似於圖4A至4C的對應結構,除非此處不同地加以描述。為了避免重複,就圖8A至8D,讀者可參照相對於圖4A至4C的此等構件的先前說明。
如圖8A中可見到,熱隔離體802係位於自由基擴散板801和先質遞送板803之間。然而,與圖4A至4C的熱隔離體402相比,熱隔離體802係一層狀組件,具有二個獨立的部件,第一層802a和第二層802b,其堆疊在一起形成熱隔離體802。如所顯示,各層可至少大得足以包含在面板組件800中所存在的實質上所有自由基通孔808(各個自由基通孔808穿過熱隔離體802的該等層,以及自由基擴散板801和先質遞送板803;穿過各元件的個別自由基通孔係標識為808’、808’’等等)。如所顯示,第二層802b係配置於第一層802a之中的一凹部之內。該凹部可具有與第一層802a的標稱厚度的一半實質上相等的深度,且第二層802b可具有實質上相當於該凹部深度的厚度。因此,熱隔離體802可安裝於與熱隔離體402實質上相同的包層之內,雖然這是非必要的且其他實施方式可具有不同的整體包層。
此外,雖然第一層802a係顯示為具有第二層802b安裝於其中的凹部,其他實施方式可具有實質上相同的多層,例如彼此堆疊於頂部的二平板而非一者嵌入另一者。亦可可慮各種其他實施方式,雖然這些其他實施方式的共同特徵可為至少在含有自由基通孔808的面板組件800的區域內具有彼此間獨立邊界的多層。
亦要理解的是,雖然所描繪範例以二層為特徵,其他實施方式可以具有超過二層(例如三、四、或更多層)的熱隔離體802為特徵。此等其他的實施方式亦在本揭露內容的範圍之內。
該等層之上可具有索引特徵,例如以徑向對稱方式在第二層802b周邊附近排列的三條徑向開槽(僅顯示二條,其餘者在面板組件800的切除部分之中),以及以類似方式圍繞第一層802a的凹部內部排列的三個對應的徑向凸起部,以確保熱隔離體802的該二(或更多)層及在各此等層上的自由基通孔的部分彼此對準。如所顯示,該索引特徵可建構成使該等層能夠於不同的速率膨脹和收縮而不造成由於該等層之間的熱膨脹差導致的不可接受的熱應力,且同時維持該等層相對於彼此實質上置中。
層802a和802b通常可由相同或相似的材料製成,雖然在若干實施方式中,若有需要可使用不同的材料,例如若過於困難或昂貴使用一較佳材料製造該等層其中一者,則可對於那層使用一替代的材料。
如同先前提到的,第一層802a和第二層802b在其中配置自由基通孔808的區域中具有實質相同的厚度。雖然該等層(包含除了所顯示者以外的額外的層)可在此區域中具有不同的厚度,在許多實施方式中,所有該等層在此區域中可具有實質上相等厚度。這可改善熱隔離體802對於故障的整體抗性。舉例來說,若一層係實質上薄於其他層(且所有層係材料相同),這可能增加該較薄層破裂或損傷的風險。藉由使各層在具有自由基通孔808之區域具有實質相同的厚度,此風險不會集中於任何一層,而是對於所有該等層可實質相同。
用於第一層802a和第二層802b的材料可選自各種低熱導率材料(例如介電材料,如低K陶瓷或石英)、各種低熱導率塑膠(例如聚醯亞胺)、或如300系列不鏽鋼的不鏽鋼(加以塗佈以保護其免受半導體處理腔室的化學環境影響)。舉例來說,第一層802a和第二層802b可由鋁氧化物或鋁氮化物製成。
本案發明人已明白,在低壓半導體處理環境(例如面板組件800可使用於其中者)的背景下,與如圖4A至4C中所顯示的單層熱隔離體相比,多層式熱隔離體802可提供較高的熱阻,從而容許自由基擴散板801和先質遞送板803之間較大的溫差。此增加的熱阻係由於一些原因而產生,以下更完整地探討該等原因。
第一,通常此等面板組件的操作壓力係足夠低,使得捕集於熱隔離體802的該等層之間的任何間隙或非接觸區域之中的任何氣體的密度係足夠低,使得通過此等氣體的熱傳導不會對通過熱隔離體802的整體熱傳導有顯著貢獻。雖然除了氣體的壓力之外通過此氣體的熱傳導可能亦取決於此氣體的成分,一般而言,壓力可通常為通過此等氣體的熱傳導性的主要決定因素。舉例來說,此等氣體的壓力可低於約3至7托,且在若干實施方式中,該壓力可低於約1至0.1托。
第二,在熱隔離體802之中各對相鄰層之間的接觸介面,由於該等層的表面光潔度(surface finish)的緣故,並非十全十美的接觸介面,亦即是該等層並非在整個接觸介面的100%其暴露區域的範圍內直接接觸。舉例來說,許多陶瓷材料的表面粗糙度,與典型可由其他材料所達成者相比,通常較為粗糙,且在熱隔離體802的該等層的實例中,可維持在至少8至16微英寸或更高的Ra 數值。在若干實施方式中,該Ra 數值可維持在至少4至8微英寸或更高的數值。由於此介面粗糙度,與在較光滑表面光潔度的情況中可能存在的相比,在該等層之間可能有少得多的實際直接接觸。舉例來說,若第一層802a具有16微英吋的表面粗糙度Ra 且第二層802b具有8微英吋的表面粗糙度Ra ,該二層可僅僅在大約5%的其配合表面範圍彼此直接接觸。剩餘的85%配合表面區域可為該等層可分開幾微英寸且不實際彼此接觸的區域。於是,在這些非接觸區域中該等層之間沒有直接熱傳導。在這些非接觸區域中該等層之間僅有的熱傳導路徑係由氣體所提供,如以上所探討,該氣體係在足夠低的壓力使得其不會對熱隔離體的整體熱傳導顯著地貢獻。
圖8E到8H提供此概念進一步的理解。在圖8E中,顯示第一材料861和第二材料862,在相面對的表面上具有誇大顯示的表面粗糙度。在圖8F中,已使圖8E的第一材料861和第二材料862彼此接觸,即使由於表面粗糙度,直接接觸僅存在於斜線陰影部分所指示的區域中。若將整個第一材料861和第二材料862的部分的陰影線接觸區域的寬度加以加總,所得的合計範圍(重現於圖的底部)係所顯示部分之寬度的大約23%。
在圖8G中,再次顯示第一材料861和第二材料862,但具有增加的誇大顯示表面粗糙度。在圖8H中,已使圖8G的第一材料861和第二材料862彼此接觸。再度,由於表面粗糙度,直接接觸僅存在於斜線陰影部分所指示的區域之中。在此實例中,直接接觸僅存在於大約8%的所顯示部分整體寬度上。
雖然圖8E到8H係經簡化的二維例子且不相關於任何特定的粗糙度數值,該等圖示展示增加配合表面的表面粗糙度導致二個表面之間的合計直接接觸區域的減少,以及實際上彼此不直接接觸的配合表面部分的增加。
第三,各層的介面表面的平坦度可限制於某個容許誤差之內,例如在絕對平坦度0.002”之內。這可有助於確保存在於多層之間的少量直接接觸係均勻分布於多層之間的整個接觸區域範圍,且可防止由集中直接接觸區域可能導致的局部熱點。若該等層不足夠平坦,這可造成該等層在一集中的區域彼此接觸。該等層之間的熱流可集中在相同的位置,且可能由於面積減少會經歷熱阻流。這可因而造成溫度差在那個位置上升,且可造成以製程均勻性觀點而言可能不希望的局部熱點。
最終,由於受夾持於自由基擴散板801和先質遞送板803之間,該等層可藉由一夾持力加以固持在一起。舉例來說,層802a和802b可藉由對於所描繪面板組件800(所描繪面板組件800具有大約13”的標稱第二層802b直徑)的大約3000 lbs的夾持力加以固持在一起。層與層的接觸可典型為「乾式的」,即可不存在介面材料,例如接著劑、銅焊材料、或其他充填劑。
要理解的是,此處探討的面板組件和其他元件可提供作為半導體製程腔室的一部分,如在此揭露內容中早先探討的。在若干實施方式中,一個以上此半導體製程腔室可提供作為在多站半導體處理機台之中的製程站。在若干實施方式中,單一製程腔室可包含多個處理站,其每一者具有自己的面板組件。
可協助降低通過熱隔離體802的熱傳導的熱隔離體802的另一特徵,係存在一環形的斷熱部864。環形斷熱部864可為在熱隔離體之中一環形凹部的形式。該環形凹部可加以配置,使得環形凹部的中央直徑係實質上配置於冷卻劑充氣部850的中央直徑或中央半徑處(或非圓形/非弓形冷卻劑充氣部850的相等位置)。環形斷熱部864可用以防止或限制在熱隔離體802的外周邊附近離開熱隔離體802的熱傳遞以及進入冷卻劑充氣部850的熱傳遞。這可用以在配置自由基通孔808的整個區域維持熱隔離體802於更均勻的溫度。
在圖示中顯示等角或其他三維視圖的各種面板組件係名義上設計用於處理300 mm半導體晶圓,且通常具有大約13”的內直徑。然而,要理解的是,可對於設計用於其他尺寸半導體晶圓(例如450 mm半導體晶圓)的面板組件實行類似的設計概念,且此等設計可具有與所顯示相比較大的對應尺寸。
亦要理解的是,對於個別實施方式的此處所顯示的各種設計概念,在沒有其他不相容的情況下,可結合進其他的實施方式中。舉例來說,圖8A至8D的多層式熱隔離體可與圖2E所顯示概念結合而產生一多層式熱隔離體,其中該等層係以複數管狀構件彼此加以偏移,從而產生該等層之間較大的間隙。
圖9顯示一多站處理機台900的示意圖,該處理機台900具有一入站負載鎖室(load lock)902和一出站負載鎖室904。在大氣壓力下的一機器人906係用以將晶圓自透過一晶圓盒908裝載的卡匣,經由一大氣埠910,移動至入站負載鎖室902之中。一晶圓藉由機器人906可置放到入站負載鎖室902之中的支座912之上,大氣埠910可加以關閉,且接著負載鎖室可加以抽真空。入站負載鎖室902包含一遠程電漿源,而晶圓可在導入處理腔室914之前暴露於在負載鎖室之中的遠程電漿處理。此外,舉例來說,晶圓亦可在入站負載鎖室902之中加熱,以移除濕氣和吸附的氣體。接下來,可將通至處理腔室914的一腔室搬運埠916開啟,且另一機器人(未顯示)可將晶圓置放進處理腔室914而在此反應器中所顯示的一第一站的支座之上以進行處理。雖然圖9中所示實施例包含負載鎖室,吾人將了解在若干實施方式中可使晶圓直接進入一製程站。
所述處理腔室914包含四個製程站,在圖9所示實施方式中編號為1至4。各站可具有一加熱或未加熱的支座(對於站1顯示為918),以及氣體管線入口。吾人將了解,在若干實施方式中,各製程站可具有不同的或多個目的。例如,在若干實施方式中,一製程站可在保形膜沉積(CFD)和PECVD製程模式之間切換。額外地或替代地,在若干實施方式中,處理腔室914可包含匹配的一對以上CFD和PECVD製程站。雖然所述處理腔室914包含四個站,吾人將理解根據本揭露內容的一處理腔室可具有任何適合數量的站。舉例來說,在若干實施方式中,一處理腔室可具有五個以上的站,而在其他實施方式中一個處理腔室可具有三個以下的站。
各站可包含獨立的噴淋頭組件,其將製程氣體輸送至位在相關聯站的晶圓。在若干實施方式中,這些噴淋頭其中若干或全部可使用如此處所述的面板組件。舉例來說,若一個站提供可受益於使用此處所述設備之對於晶圓的RPD處理或其他處理,那個站的噴淋頭可包含如此處所探討的面板組件。噴淋頭亦可包含如此處所探討的其他特徵部,例如周向充氣部、電漿圓頂、及/或對於外部遠程電漿源的連接部。
圖9亦描述一晶圓搬運系統990,用於在處理腔室914之內搬送晶圓。在若干實施方式中,晶圓搬運系統990可在各種製程站之間及/或在一製程站和一負載鎖室之間搬送晶圓。吾人將了解可使用任何適合的晶圓搬運系統。非限定的範例包含晶圓轉盤(wafer carousel)和晶圓搬運機器人。圖9亦描述一系統控制器950,用以控制處理機台900的製程條件和硬體狀態。系統控制器950可包含一個以上記憶體裝置956、一個以上大量儲存裝置954、及一個以上處理器952。處理器952可包含CPU或電腦、類比和/或數位輸入/輸出連接部、步進馬達控制器板等等。
在若干實施例中,系統控制器950控制處理機台900的所有活動。系統控制器950執行系統控制軟體958,其儲存於大量儲存裝置954之中,載入記憶體裝置956,且執行於處理器952。系統控制軟體958可包含指令,用於控制時序、氣體混合物、腔室和/或站壓力、腔室和/或站溫度、晶圓溫度、目標功率位準、RF功率位準、基板支座、夾頭和/或接受器位置、及由處理機台900所執行的特定製程的其他參數。系統控制軟體958可以任何適合方式加以建構。例如,可撰寫各種處理機台元件子程式或控制物件,以控制執行各種處理機台製程所需的處理機台元件的操作。系統控制軟體958可以任何適合的電腦可讀程式語言編碼。
在若干實施例中,系統控制軟體958可包含輸入/輸出控制(IOC)序列指令,用於控制各種上述參數。例如,CFD製程的各階段可包含一個以上指令,以供系統控制器950執行。用於設定CFD製程階段的製程條件的指令,可包含於對應的CFD配方階段。在若干實施方式中,若存在多個噴淋頭,該多個噴淋頭可獨立地加以控制,以容許執行獨立、平行的製程操作。
關聯於系統控制器950儲存於大量儲存裝置954及/或記憶體裝置956的其他電腦軟體及/或程式,可在一些實施方式中加以使用。用於此目的之程式或程式片段的例子,包含基板定位程式、製程氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含用以裝載基板至支座918之上及控制介於基板和處理機台900的其他部件之間的間距的處理機台元件的程式碼。
製程氣體控制程式可包含程式碼,用於控制氣體成分和流率,且選擇性地在沉積之前將氣體流入一個以上製程站以穩定在製程站之中的壓力。壓力控制程式可包含程式碼,用於藉由調節例如在製程站的排氣系統之中的節流閥、進入製程站的氣流等等,控制在製程站之中的壓力。舉例來說,該壓力控制程式或其他程式碼可控制進入先質遞送板或自由基來源容積的製程氣體流。
加熱器控制程式可包含程式碼,用於控制流至用以加熱基板的加熱單元的電流。或者是,加熱器控制程式可控制對基板之傳熱氣體(例如氦)輸送。舉例來說,加熱器控制程式亦可控制冷卻劑流入自由基擴散板,以及與加熱先質遞送板相關聯的任何加熱器。加熱器控制程式可利用來自這些元件之內的溫度感測器的回授,以準確地控制這些元件的溫度。
電漿控制程式可包含程式碼,用於設定施加至一個以上製程站之中的製程電極的RF功率位準。在適當的情況下,電漿控制程式可包含程式碼,用於控制外部電漿產生器、及/或供應製程氣體至該電漿產生器或自由基來源容積所需的閥調元件。
在若干實施方式中,可具有與系統控制器950相關聯的一使用者介面。該使用者介面可包含顯示螢幕、設備和/或製程條件的圖形化軟體顯示、及使用者輸入裝置,例如指向裝置、鍵盤、觸控螢幕、麥克風等等。
在若干實施例中,由系統控制器950所調整的參數可關於製程條件。非限定範例包含製程氣體成分及流率、溫度、壓力、電漿條件(例如RF偏壓功率位準)、壓力、溫度等等。這些參數可以配方形式提供給使用者,該配方可利用使用者介面加以輸入。
監測製程的訊號可從各種處理機台感測器藉由系統控制器950的類比及/或數位輸入連接部加以提供。用於控制製程的訊號可在處理機台900的類比和數位輸出連接部加以輸出。可加以監測的處理機台感測器的非限定例子包含質量流量控制器、壓力感測器(例如壓力計)、熱電偶等等。適當程式化的回授和控制演算法可與來自這些感測器的資料一起使用,以維持製程條件。
系統控制器950可提供程式指令,以實施各種半導體製造製程。程式指令可控制各種製程參數,例如DC功率位準、RF偏壓功率位準、壓力、溫度等等。此等指令可控制該等參數,以操作膜堆疊的原位沉積。
系統控制器通常可包含一個以上記憶體裝置和用以執行指令的一個以上處理器,俾使此設備執行根據本發明的方法。含有用於根據本發明控制製程操作的指令的機器可讀媒體可連接至該系統控制器。
雖然在圖9中所顯示的半導體處理機台描繪單一的四站製程腔室或模組,半導體處理機台的其他實施方式可包含多個模組,其每一者具有單一站或多個站。此等模組可彼此互連,及/或圍繞可協助該等模組之間的晶圓移動的一個以上轉移腔室而加以配置。由此等多模組半導體處理機台所提供的該等站其中一者以上,可配備有包含如以上所探討的面板組件和其他特徵部的噴淋頭。
一般而言,具有如此處所述面板組件的噴淋頭,可安裝在位於用以支撐一個以上半導體晶圓之晶圓支持部上方的一反應腔室之中。舉例來說,該噴淋頭亦可作為該反應腔室的一蓋件或一蓋件的部分。在其他實施方式中,噴淋頭可為「吊燈」型式噴淋頭,且藉由一桿部或其他支持結構自反應腔室的蓋件懸垂。
上述設備/製程可與微影圖案化機台或製程結合而加以使用,例如用於製造或生產半導體元件、顯示器、LED、光電板等等。通常,雖非必要,此等機台/製程可在一共同的製造設施中一起加以使用或執行。膜的微影圖案化通常包含一些或全部以下步驟,每一步驟係以若干個可能的機台進行:(1)利用旋塗或噴塗機台,塗佈光阻於工件(即基板)之上;(2)使用一熱板或爐或UV固化機台固化光阻;(3)使用例如晶圓步進器之機台,將光阻暴露於可見光或UV或x光;(4)使用例如濕台之機台,將光阻顯影以選擇性移除光阻且藉此將其圖案化;(5)藉由使用乾式或電漿輔助蝕刻機台,將光阻圖案轉移至下層膜或工件之中;及(6)使用例如RF或微波電漿光阻剝除器之機台,將光阻移除。
本發明的另一實施態樣係用以達成此處所述方法的設備。適合的設備包含用於達成該等製程操作的硬體、及具有根據本發明控制製程操作之指令的系統控制器。舉例來說,該系統控制器可用以控制進入遠程電漿來源的第一製程氣體、第二製程氣體、及先質氣體的氣體流。該系統控制器亦可控制RF線圈的RF輸出,且可基於利用溫度探測器在面板組件之中所測得的溫度控制循環通過系統中任何冷卻管道之冷卻劑的流率和溫度。該系統控制器通常包含一個以上記憶體裝置及一個以上處理器,其用以執行指令,使得該設備執行根據本發明的方法。含有用於根據本發明控制製程操作的指令的機械可讀媒體,可通信上連接至系統控制器。
上述實施方式其中任一者可單獨使用或以任何組合一起使用。雖然各種實施方式的動機可能為先前技術的各種缺失,上述缺失可能已在此說明書中一處以上加以探討或提及,但此等實施方式不必然針對這些缺失任一者。換言之,不同實施方式可針對可能在此說明書中加以探討的不同缺失。若干實施方式可僅部分地針對可能在說明書中探討的一些缺失或僅針對一個缺失,且若干實施方式可不針對任何這些缺失。
雖然各種實施方式已在此處加以描述,應理解的是,該等實施方式係以例示而非限定為目的加以描述。因此本揭露內容的廣度和範圍應不受限於此處所述的任何實施方式,而僅應根據以下及後續提交的申請專利範圍及其均等者加以定義。
要理解的是,除非在上述實施方式任一者之中的特徵部明確確定為彼此不相容,或周圍上下文表示該等特徵部以互補及/或輔助觀念而言互相排斥和不易結合,本揭露內容整體設想為可選擇性地結合那些實施方式的特定特徵部以提供一個以上全面但稍有不同的技術解決方案。因此更要明白,上述說明係僅以例示為目的提出,且可在此揭露內容的範圍內進行細節上的修改。
100‧‧‧面板組件
101‧‧‧自由基擴散板
102‧‧‧熱隔離體
103‧‧‧先質遞送板
105‧‧‧晶圓
107‧‧‧晶圓支持部
108‧‧‧自由基通孔
110‧‧‧氣體遞送孔
112‧‧‧氣體分配通道
132‧‧‧周向充氣部
133‧‧‧電漿圓頂
134‧‧‧內部表面
135‧‧‧自由基氣體入口
136‧‧‧安裝介面
137‧‧‧自由基來源容積
138‧‧‧充氣部轉接器
152‧‧‧射頻線圈
153‧‧‧匹配網路
154‧‧‧入口
170‧‧‧遠程電漿來源
200‧‧‧面板組件
201‧‧‧自由基擴散板
202‧‧‧熱隔離體
203‧‧‧遞送板
208‧‧‧自由基通孔
210‧‧‧氣體遞送孔
212‧‧‧氣體分配通道
214‧‧‧通道
216‧‧‧第一端
217‧‧‧第二端
219‧‧‧入口
220‧‧‧出口
240‧‧‧間隙
241‧‧‧自由容積
242‧‧‧管狀結構
243‧‧‧第一平面
244‧‧‧第二平面
245‧‧‧距離
248‧‧‧先質氣體入口
259‧‧‧真空埠
314‧‧‧冷卻通道
315‧‧‧路徑
400‧‧‧面板組件
401‧‧‧自由基擴散板
402‧‧‧熱隔離體
403‧‧‧先質遞送板
404‧‧‧第一側
405‧‧‧第二側
406‧‧‧第一側
407‧‧‧第二側
408‧‧‧自由基通孔
409‧‧‧孔中心軸
410‧‧‧氣體遞送孔
411‧‧‧孔中心軸
412‧‧‧氣體分配通道
414‧‧‧通道
416‧‧‧第一端
417‧‧‧第二端
418‧‧‧參考平面
423‧‧‧第一入口
424‧‧‧第一出口
427‧‧‧第二入口
428‧‧‧第二出口
431‧‧‧中心軸
437‧‧‧自由基來源容積
446‧‧‧密封介面
447‧‧‧焊接區域
448‧‧‧先質氣體入口
449‧‧‧溫度感測器孔
450‧‧‧冷卻劑充氣部
451‧‧‧先質充氣部
501‧‧‧自由基擴散板
523‧‧‧第一入口
524‧‧‧第一出口
525‧‧‧第一通道
526‧‧‧第二通道
527‧‧‧第二入口
528‧‧‧第二出口
550‧‧‧冷卻劑充氣部
555‧‧‧頂板
556‧‧‧中板
557‧‧‧底板
603‧‧‧先質遞送板
605‧‧‧第二側
608‧‧‧自由基通孔
610‧‧‧氣體遞送孔
642‧‧‧管狀結構
651‧‧‧先質充氣部
658‧‧‧蓋板
660‧‧‧主板
700‧‧‧面板組件
701‧‧‧自由基擴散板
702‧‧‧熱隔離體
703‧‧‧先質遞送板
704‧‧‧第一側
708‧‧‧自由基通孔
710‧‧‧氣體遞送孔
712‧‧‧氣體分配通道
713‧‧‧冷卻通道網路
714‧‧‧通道
724‧‧‧第一出口
728‧‧‧第二出口
740‧‧‧間隙
742‧‧‧管狀結構
742’‧‧‧管狀結構預留位置
748‧‧‧先質氣體入口
750‧‧‧冷卻劑充氣部
751‧‧‧先質充氣部
759‧‧‧真空埠
800‧‧‧面板組件
801‧‧‧自由基擴散板
802‧‧‧熱隔離體
802a‧‧‧第一層
802b‧‧‧第二層
803‧‧‧先質遞送板
808‧‧‧自由基通孔
861‧‧‧第一材料
862‧‧‧第二材料
864‧‧‧斷熱部
900‧‧‧處理機台
902‧‧‧入站負載鎖室
904‧‧‧出站負載鎖室
906‧‧‧機器人
908‧‧‧晶圓盒
910‧‧‧大氣埠
912‧‧‧支座
914‧‧‧處理腔室
916‧‧‧腔室搬運埠
918‧‧‧支座
950‧‧‧系統控制器
952‧‧‧處理器
954‧‧‧大量儲存裝置
956‧‧‧記憶體裝置
958‧‧‧系統控制軟體
990‧‧‧晶圓搬運系統
圖1A描述在自由基化氣體流操作期間具有三分區面板組件的一遠程電漿來源之範例的高階示意圖。
圖1B描繪在一操作期間圖1A的遠程電漿來源的高階示意圖,其中通過面板的自由基化氣體流受到抑制。
圖2A描繪一三分區面板組件的一個例子的高階示意圖,該組件以作為熱隔離體的一多孔板為特徵。
圖2B描繪三分區面板組件的一個例子的高階示意圖,該組件的特徵係作為熱隔離體的中空板。
圖2C描繪三分區面板組件的一個例子的高階示意圖,該組件的特徵係交替的中空板熱隔離體設計。
圖2D描繪三分區面板組件的一個例子的高階示意圖,該組件的特徵係具有複數獨立的管之特徵的熱隔離體。
圖2E描繪三分區面板組件的一範例的高階示意圖,該面板組件的特徵係偏移的氣體分配孔和自由基通孔出口。
圖3A描繪一示意圖,顯示實質上直線的冷卻管道路徑。
圖3B描繪一示意圖,其亦顯示實質上直線的冷卻管道路徑。
圖4A 描述三分區面板組件的一個例子的等角視圖。
圖4B描繪圖4A的面板組件的等角視圖,其中將多個部分切除以觀察內部特徵。
圖4C描述圖4A的面板組件的等角分解圖,其中為了觀察內部結構將若干元件的部分切除。
圖5A描述具有反向流動冷卻管道的自由基擴散板的一個例子的等角分解圖。
圖5B描述圖5A的自由基擴散板的中板的第一側。
圖5C描述圖5A的自由基擴散板的中板的第二側。
圖6A描述先質遞送板的一個例子的等角剖面圖。
圖6B描述圖6A的先質遞送板的仰視圖。
圖6C描述圖6A的先質遞送板的側剖面圖。
圖6D描述圖6A的先質遞送板的分解反向等角視圖。
圖7A描述面板組件的一個例子的等角分解圖。
圖7B描述圖7A的面板組件的等角視圖,其中將若干部分切除以觀看內部特徵。
圖8A描繪三分區面板組件的一個例子的二等角剖面圖。
圖8B描繪圖8A的例示剖面三分區面板組件的一部分的細節圖。
圖8C描繪圖8A的例示三分區面板組件的二等角剖面分解圖。
圖8D描繪圖8A的例示三分區面板組件的另一二等角分解剖面圖,其中將自由基擴散板和先質遞送板的上部移除以能夠觀察內部特徵。
圖8E到8H描繪概念示意圖,顯示在二個配合表面之間的實際接觸區域上的表面粗糙度的效應。
圖9顯示一多站處理機台的示意圖,該多站處理機台可與此處所述的元件一起使用。
圖4A至8D係在各圖示內依比例繪製。

Claims (1)

  1. 一種用於半導體處理操作的噴淋頭,包含: 一自由基來源容積; 一先質充氣部; 一先質遞送板,其具有一第一側及相反的一第二側; 多個自由基通孔所構成的一圖案;以及 多個氣體遞送孔所構成的一圖案,其中: 該先質遞送板的該第一側係朝向該自由基來源容積而定向, 該自由基來源容積及該先質充氣部於該噴淋頭內係彼此流體隔離, 該等自由基通孔的每一者從該第一側至該第二側穿過該先質遞送板,且係與該噴淋頭內的該自由基來源容積流體連通, 該等氣體遞送孔的每一者係與該先質充氣部流體連通,且於該先質遞送板的該第二側上離開該先質遞送板, 該等自由基通孔經由一第一平面上的複數開口而離開該先質遞送板的該第二側,且該等氣體遞送孔經由一第二平面上的複數開口而離開該先質遞送板的該第二側,該第二平面係在背離該先質遞送板之該第一側的方向上自該第一平面偏移一第一距離,且 該第一距離至少為0.25英吋(inch)。
TW107110096A 2013-02-15 2014-02-14 具有溫控之多充氣部噴淋頭 TW201828361A (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201361765432P 2013-02-15 2013-02-15
US61/765,432 2013-02-15
US201361770251P 2013-02-27 2013-02-27
US61/770,251 2013-02-27
US13/934,620 2013-07-03
US13/934,620 US20140235069A1 (en) 2013-02-15 2013-07-03 Multi-plenum showerhead with temperature control

Publications (1)

Publication Number Publication Date
TW201828361A true TW201828361A (zh) 2018-08-01

Family

ID=51351505

Family Applications (2)

Application Number Title Priority Date Filing Date
TW103104956A TWI626685B (zh) 2013-02-15 2014-02-14 具有溫控之多充氣部噴淋頭
TW107110096A TW201828361A (zh) 2013-02-15 2014-02-14 具有溫控之多充氣部噴淋頭

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW103104956A TWI626685B (zh) 2013-02-15 2014-02-14 具有溫控之多充氣部噴淋頭

Country Status (5)

Country Link
US (1) US20140235069A1 (zh)
JP (2) JP2014220231A (zh)
KR (1) KR20140103080A (zh)
SG (1) SG2014011712A (zh)
TW (2) TWI626685B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI822023B (zh) * 2021-05-31 2023-11-11 大陸商中微半導體設備(上海)股份有限公司 氣體噴淋頭及化學氣相沉積設備

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
CN103403843B (zh) 2011-03-04 2016-12-14 诺发系统公司 混合型陶瓷喷淋头
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
KR102053350B1 (ko) * 2013-06-13 2019-12-06 삼성전자주식회사 저유전율 절연층을 가진 반도체 소자를 형성하는 방법
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9905400B2 (en) 2014-10-17 2018-02-27 Applied Materials, Inc. Plasma reactor with non-power-absorbing dielectric gas shower plate assembly
KR20170074883A (ko) * 2014-10-24 2017-06-30 오지 홀딩스 가부시키가이샤 광학 소자, 광학 복합 소자 및 보호 필름이 부착된 광학 복합 소자
CN105839074A (zh) 2015-02-03 2016-08-10 Lg电子株式会社 用于太阳能电池的金属有机化学气相沉积设备
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
KR101670382B1 (ko) * 2015-03-10 2016-10-28 우범제 퍼지가스 분사 플레이트 및 그 제조 방법
JP6487747B2 (ja) * 2015-03-26 2019-03-20 株式会社Screenホールディングス 基板処理装置と処理ガス供給ノズル
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
KR102417934B1 (ko) 2015-07-07 2022-07-07 에이에스엠 아이피 홀딩 비.브이. 박막 증착 장치
US11004661B2 (en) 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
JP6615544B2 (ja) * 2015-09-14 2019-12-04 株式会社東芝 流量調整装置及び処理装置
US10497542B2 (en) * 2016-01-04 2019-12-03 Daniel T. Mudd Flow control showerhead with integrated flow restrictors for improved gas delivery to a semiconductor process
US10825659B2 (en) * 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10267728B2 (en) * 2016-09-28 2019-04-23 Lam Research Corporation Systems and methods for detecting oxygen in-situ in a substrate area of a substrate processing system
JP6764771B2 (ja) * 2016-11-28 2020-10-07 東京エレクトロン株式会社 基板処理装置及び遮熱板
US10954596B2 (en) * 2016-12-08 2021-03-23 Applied Materials, Inc. Temporal atomic layer deposition process chamber
US10604841B2 (en) * 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US9865433B1 (en) * 2016-12-19 2018-01-09 Varian Semiconductor Equipment Associats, Inc. Gas injection system for ion beam device
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
DE202017105481U1 (de) * 2017-09-11 2018-12-12 Aixtron Se Gaseinlassorgan für einen CVD- oder PVD-Reaktor
JP2021505766A (ja) * 2017-12-08 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation 遠隔プラズマ膜蒸着を可能にするためにラジカルおよび前駆体ガスを下流チャンバに供給するための改良された孔パターンを備える統合シャワーヘッド
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
WO2019236937A1 (en) * 2018-06-08 2019-12-12 Applied Materials, Inc. Temperature controlled gas diffuser for flat panel process equipment
US10900124B2 (en) * 2018-06-12 2021-01-26 Lam Research Corporation Substrate processing chamber with showerhead having cooled faceplate
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
US10889894B2 (en) 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
US11970775B2 (en) * 2018-08-10 2024-04-30 Applied Materials, Inc. Showerhead for providing multiple materials to a process chamber
US11834743B2 (en) * 2018-09-14 2023-12-05 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
JP2020068247A (ja) * 2018-10-23 2020-04-30 東京エレクトロン株式会社 シャワーヘッドおよび基板処理装置
JP7104973B2 (ja) * 2018-10-29 2022-07-22 スピードファム株式会社 局所ドライエッチング装置
CN113169101B (zh) * 2019-01-08 2022-09-30 应用材料公司 用于基板处理腔室的泵送设备与方法
KR20200109620A (ko) * 2019-03-13 2020-09-23 (주)포인트엔지니어링 접합부품
US20210032750A1 (en) * 2019-07-31 2021-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition apparatus and method of forming metal oxide layer using the same
CN112713074B (zh) * 2019-10-25 2023-03-07 中微半导体设备(上海)股份有限公司 气体喷淋头组件及等离子体处理设备
US20230279547A1 (en) * 2020-06-06 2023-09-07 Lam Research Corporation Removable showerhead faceplate for semiconductor processing tools
USD948658S1 (en) * 2020-08-03 2022-04-12 Lam Research Corporation High density hole pattern dual plenum hole showerhead assembly
USD1005445S1 (en) * 2021-06-03 2023-11-21 PTP Turbo Solutions, LLC Inlet shield
WO2023077002A1 (en) * 2021-10-29 2023-05-04 Lam Research Corporation Showerhead with hole sizes for radical species delivery
WO2024091420A1 (en) * 2022-10-24 2024-05-02 Lam Research Corporation Showerhead with three plenums
CN115404463B (zh) * 2022-10-31 2023-03-24 上海星原驰半导体有限公司 原子层沉积设备及原子层沉积喷淋装置

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3156326B2 (ja) * 1992-01-07 2001-04-16 富士通株式会社 半導体成長装置およびそれによる半導体成長方法
US5597439A (en) * 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
JP4149051B2 (ja) * 1998-11-09 2008-09-10 東京エレクトロン株式会社 成膜装置
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
KR100406174B1 (ko) * 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
JP2006261217A (ja) * 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US20070016344A1 (en) * 2005-07-15 2007-01-18 Arinc, Incorporated Systems and methods for voice communications and control using adapted portable data storage and display devices
US7895970B2 (en) * 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100752622B1 (ko) * 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
JP2008066413A (ja) * 2006-09-05 2008-03-21 Tokyo Electron Ltd シャワーヘッド構造及びこれを用いた処理装置
JP2010084190A (ja) * 2008-09-30 2010-04-15 Sharp Corp 気相成長装置および気相成長方法
KR20120053003A (ko) * 2009-07-22 2012-05-24 어플라이드 머티어리얼스, 인코포레이티드 할로우 캐소드 샤워헤드
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
DE102011056589A1 (de) * 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI822023B (zh) * 2021-05-31 2023-11-11 大陸商中微半導體設備(上海)股份有限公司 氣體噴淋頭及化學氣相沉積設備

Also Published As

Publication number Publication date
JP2014220231A (ja) 2014-11-20
KR20140103080A (ko) 2014-08-25
TWI626685B (zh) 2018-06-11
JP2018160462A (ja) 2018-10-11
SG2014011712A (en) 2014-09-26
TW201448026A (zh) 2014-12-16
US20140235069A1 (en) 2014-08-21

Similar Documents

Publication Publication Date Title
TWI626685B (zh) 具有溫控之多充氣部噴淋頭
JP2014220231A5 (zh)
JP7181337B2 (ja) 流れ均一性を改善させるためのフェースプレート穴を有する低容積シャワーヘッド
CN103993293B (zh) 带温度控制的多室喷头
TWI689615B (zh) 供應製程氣體及處理半導體晶圓的設備
US9353439B2 (en) Cascade design showerhead for transient uniformity
JP6355450B2 (ja) 複数プレナム/2温度シャワーヘッド
KR20230133257A (ko) 다공성 베플을 갖는 저 볼륨 샤워헤드
KR20160136238A (ko) 열 제어된 대면 플레이트를 가진 내부식성 가스 분배 매니폴드
JP2009088232A (ja) ガス供給装置
US10157755B2 (en) Purge and pumping structures arranged beneath substrate plane to reduce defects
TW201626432A (zh) 方位角混合器
JP2014518452A (ja) 気相成長システム用のプロセスガスディフューザ組立体
TW202104656A (zh) 噴淋頭護罩
TW201843340A (zh) 用於可流動式cvd的擴散器設計
TW202411458A (zh) 用於不對稱晶圓弓翹補償的多路徑螺旋混合器
TW202104658A (zh) 溫度控制總成、及控制氣相反應器之溫度控制總成的溫度之方法
WO2023220302A1 (en) Multi-zone gas distribution for asymmetric wafer bow compensation
TW202139324A (zh) 用以管理不均勻性的晶圓平面下方之非對稱沖洗塊
TW202403859A (zh) 均勻的原位清洗及沉積
TW202229619A (zh) 具有整體式轉向流動路徑的噴淋頭