TW202403859A - 均勻的原位清洗及沉積 - Google Patents

均勻的原位清洗及沉積 Download PDF

Info

Publication number
TW202403859A
TW202403859A TW112128733A TW112128733A TW202403859A TW 202403859 A TW202403859 A TW 202403859A TW 112128733 A TW112128733 A TW 112128733A TW 112128733 A TW112128733 A TW 112128733A TW 202403859 A TW202403859 A TW 202403859A
Authority
TW
Taiwan
Prior art keywords
gas
wall
plasma
central fluid
fluid lumen
Prior art date
Application number
TW112128733A
Other languages
English (en)
Inventor
沙奇特 萊斯
段安 阮
阿米特 班莎
張宇星
巴德里N 拉馬穆爾蒂
尼廷 帕塔克
艾比杜亞西斯 克哈嘉
莎拉蜜雪兒 博貝克
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202403859A publication Critical patent/TW202403859A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Abstract

示例性半導體處理系統可以包括限定至少一個電漿出口的輸出歧管。系統可以包括設置在輸出歧管下方的氣箱。氣箱可包括面向輸出歧管的入口側和與入口側相對的出口側。氣箱可包括限定中心流體管腔的內壁。內壁可以從入口側到出口側向外逐漸變細。系統可以包括設置在氣箱下方的環形間隔件。環形間隔件的內徑可以大於中心流體管腔的最大內徑。系統可以包括設置在環形間隔件下方的面板。面板可以限定延伸穿過面板厚度的複數個孔。

Description

均勻的原位清洗及沉積
對於相關申請案的交互參照:本申請案主張對於申請於2021年3月26日、名為「UNIFORM IN SITU CLEANING AND DEPOSITION」的美國專利申請案第17/213,947號的優先權,在此仰賴且併入此美國申請案之內容以作為參考。
本技術相關於製造半導體的部件與設備。更特定而言,本技術涉及處理室分配部件和其他半導體處理設備。
藉由在基板表面上產生具有錯綜複雜圖案的材料層,而使積體電路的製造成為可能。在基板上產生圖案化材料,需要用於形成與移除材料的受控方法。腔室部件通常將處理氣體與電漿輸送到基板,以沉積薄膜或去除材料。為了在沉積循環期間促進對稱性和均勻性,許多腔室部件可能包括規則圖案的特徵,例如孔,用於以可增加均勻性的方式提供材料,但相同的特徵在透過電漿的清潔/材料去除循環期間產生障礙。這可能會限制為晶圓上調整調諧配方的能力,並限制整個系統的處理量。
因此需要改良的系統與方法,以產生高品質的裝置與結構。這些和其他需求由本技術解決。
示例性半導體處理系統可以包括限定至少一個電漿出口的輸出歧管。系統可以包括設置在輸出歧管下方的氣箱。氣箱可包括面向輸出歧管的入口側和與入口側相對的出口側。氣箱可包括限定中心流體管腔的內壁。內壁可以從入口側到出口側向外逐漸變細。系統可以包括設置在氣箱下方的環形間隔件。環形間隔件的內徑可以大於中心流體管腔的最大內徑。系統可以包括設置在環形間隔件下方的面板。面板可以限定延伸穿過面板厚度的複數個孔。
在一些具體實施例中,至少一個電漿出口可以設置在中心流體管腔頂部的徑向外側。氣箱的入口側可以限定與至少一個電漿出口流體耦接的凹部。凹部的底部可以限定延伸到中心流體管腔頂部的外邊緣的壁架。輸出歧管可以限定遞歸流動路徑,該流動路徑將一個或多個氣體入口與至少一個電漿出口流體耦接。至少一個電漿出口的數量可以大於一個或多個氣體入口的數量。系統可以包括設置在環形間隔件內的錐形插入件。錐形插入件可以從氣箱的出口側向外逐漸變細到超出複數個孔的徑向位置。系統可以包括設置在輸出歧管和氣箱之間的間隔件。間隔件可以限定至少一個入口,該入口與至少一個電漿出口流體連接。間隔件的內壁可限定錐形管腔,錐形管腔流體連接在至少一個入口和氣箱的中心流體管腔之間。錐形內腔可以在氣箱的入口側的方向上向外逐漸變細。間隔件可以限定在至少一個入口和錐形內腔之間延伸的複數個通道,這些通道將流動路徑從至少一個入口擴展成更多數量的流體路徑。至少一個入口可以包括環形通道。複數個通道可以包括從環形通道向內延伸到錐形通道的徑向佈置的通道。錐形管腔的錐度可以在間隔件和氣箱的界面處與中心流體管腔的錐度相匹配。環形間隔件的內徑可以定位在複數個孔的徑向外側。系統可以包括限定出口的遠端電漿源。出口可以與輸出歧管的入口流體連接。
本技術的一些具體實施例可以涵蓋半導體處理系統。半導體處理系統可以包括限定至少一個出口的遠端電漿源。半導體處理系統可以包括輸出歧管,輸出歧管限定至少一個電漿入口和至少一個電漿出口。至少一個電漿入口可以與遠端電漿源的至少一個出口流體耦接。半導體處理系統可以包括設置在輸出歧管下方的氣箱。氣箱可包括面向輸出歧管的入口側和與入口側相對的出口側。氣箱可包括限定中心流體管腔的內壁。內壁可以從入口側到出口側向外逐漸變細。半導體處理系統可以包括設置在氣箱下方的面板。面板可以限定延伸穿過面板厚度的複數個孔。
在一些具體實施例中,中心流體管腔的內壁的錐度可以沿著中心流體管腔的長度是恆定的。中心流體管腔的內壁的錐度可以沿著中心流體管腔的長度變化。系統可以包括設置在輸出歧管和氣箱之間的間隔件。間隔件可以限定至少一個入口,該入口與至少一個電漿出口流體連接。間隔件的內壁可限定錐形管腔,錐形管腔流體連接在至少一個入口和氣箱的中心流體管腔之間。間隔件可以限定在至少一個入口和錐形內腔之間延伸的複數個通道。輸出歧管可限定遞歸流動路徑,該流動路徑將至少一個電漿入口與至少一個電漿出口流體耦接。
本技術的一些具體實施例可以包括將氣體分配到面板的方法。方法可以包括使氣體和電漿中的一種或兩種從輸出歧管的至少一個出口流入氣箱的中心流體管腔。中心流體管腔可以由氣箱的內壁限定,內壁從氣箱的入口側向外逐漸變細到氣箱的出口側。方法可包含使氣體和電漿中的一種或兩種流過限定在面板內的複數個孔,面板設置在氣箱下方。
在一些具體實施例中,使氣體和電漿中的一種或兩種流入氣箱的中心流體管腔包括:將氣體和電漿中的一種或兩種的流從至少一個出口分流到間隔件內的更多數量的流體通道中,間隔件設置在輸出歧管和氣箱之間。方法可包含:使氣體和電漿中的一種或兩種從遠端電漿源流到輸出歧管的入口。方法可包含:使氣體和電漿中的一種或兩種流過在輸出歧管的入口和至少一個出口之間延伸的遞歸流動路徑。至少一個電漿出口可以設置在中心流體管腔頂部的徑向外側。
這種科技可提供優於習知系統與技術的數個益處。例如,本技術的具體實施例可以提供更均勻的膜沉積和對諸如面板的腔室部件的更好清潔。這些與其他的具體實施例(以及許多他們的優點與特徵),被結合下列說明與附加圖式更詳細地說明。
電漿增強的沉積處理可以使一種或多種組分前驅物通電,以促進在基底上的膜形成。可以生產任何數量的材料膜來開發半導體結構,包括導電膜和介電膜,以及有助於材料轉移和去除的膜。例如,可以形成硬遮罩膜以促進基板的圖案化,同時保護下面的材料以另外保持。在許多處理腔室中,許多前驅物可以在氣體面板中混合並被輸送到腔室的處理區域,在此處理區域中可以設置基板。前驅物可以分佈透過腔室內的一個或多個部件,這可以產生徑向或橫向的遞送分佈,以在基板表面提供增加的形成或去除。
隨著裝置特徵尺寸的減小,整體基板表面上的公差可能會降低,並且薄膜上的材料特性差異可能會影響裝置的實現和均勻性。許多腔室包括特徵處理識別特性,這可能會在整個基板上產生不均勻性。溫度差異、流動模式均勻性和處理的其他態樣可能會影響基板上的薄膜,從而在整個基板上為生產或去除的材料產生薄膜均勻性差異。例如,一個或多個裝置可以包括在處理室內用於在處理室內輸送和分佈前驅物。阻隔板可包括在腔室中以提供前驅物流的阻流,這可增加阻隔板處的停留時間和前驅物的橫向或徑向分佈。面板可以進一步提高輸送到處理區域中的均勻性,這可以改進沉積或蝕刻。
可以在一些或所有處理步驟之後清潔各種腔室部件以去除可能存在於部件上的任何殘留物和/或其他沉積物。這在涉及導電材料(例如碳)的處理之後可能尤其重要。例如,當存在任何導電殘留物時,諸如面板之類的部件可能會產生導電路徑,導電路徑會在後續處理操作期間引起電弧,並為來自面板殘留物的晶圓上的二次落下顆粒產生源。為了保持高晶圓產量和低顆粒產生,傳統的腔室可以使用僅涉及從遠端電漿源(RPS)單元引入清潔氣體的清潔操作。然而,傳統腔室中使用的阻隔板會阻止來自RPS單元的氣體/電漿流到達面板、加熱器邊緣和/或泵送襯墊的外圍邊緣,從而導致在這些位置形成殘留物。這些問題不能簡單地透過移除阻隔板來克服,因為這可能導致處理氣體分佈不均勻,這可能導致薄膜均勻性問題,並且仍然會留下一些清潔不足的表面。特定而言,僅從傳統的腔室設計中去除阻隔板可能導致晶圓在晶圓的中心附近具有薄膜沉積區域,並且在泵送襯墊、加熱器/底座邊緣和/或面板邊緣上存在殘留物。
本技術透過使用一個或多個腔室部件克服了這些挑戰,這些腔室部件產生了從面板上方開始的膨脹容積,以使前驅物、電漿流出物和/或其他氣體有足夠的空間在面板的整個表面區域和更多區域上更均勻地膨脹。特定而言,具體實施例可以包括不包括阻隔板的腔室設計,同時提供限定錐形膨脹容積以更好地將氣體分配到面板的氣箱和/或間隔件。這不僅可以幫助提高晶圓上的薄膜均勻性,而且還可以更好地將清潔氣體/電漿分配到面板的外圍區域,以去除面板上的任何沉積物或其他殘留物。因此,改進的面板清潔可以幫助防止在使用導電沉積材料的晶圓處理期間可能發生的電弧和二次落下缺陷。
儘管其餘的揭示內容將常規地利用所揭示的技術識別特定的沉積處理,但將容易理解到,系統和方法同樣適用於其他沉積與清潔腔室、以及在所述腔室中可能發生的處理。因此,不應認為技術僅限於與這些特定的沉積處理或腔室一起使用。在描述根據本技術的具體實施例的對所述系統的另外的變化和調整之前,本揭示內容將討論一種可能的系統與腔室,其可以包括根據本技術的具體實施例的蓋堆疊部件。
圖1圖示根據具體實施例的具有沈積、蝕刻、烘烤與固化腔室的處理系統100的一個具體實施例的俯視平面圖。在圖式中,一對前開式晶圓傳送盒102供應各種尺寸的基板,基板由機械臂104接收,並在放入位於串聯部分109a-c中的基板處理室108a-f之一者之前放入低壓固持區域106中。可使用第二機械臂110以將基板晶圓在固持區域106與基板處理室108a-f之間來回運輸。每一基板處理室108a-f可被配置以執行各種基板處理作業,包含本文所說明的半導體材料的堆疊形成,以及電漿增強化學氣相沉積、原子層沉積、物理氣相沉積、蝕刻、預清洗、脫氣、導向以及包括退火、灰化等其他的基板處理。
基板處理室108a-f可包含一或更多個系統部件,以對基板上的介電或其他薄膜進行沈積、退火、固化及(或)蝕刻。在一個配置中,可使用兩對處理室(例如108c-d與108e-f)在基板上沈積介電材料,並可使用第三對處理室(例如108a-b)以蝕刻所沈積的介電質。在另一配置中,可配置全部三對腔室(例如108a-f)以在基板上沈積交替的介電薄膜堆疊。所說明的處理的任一者或更多者,可被實行於與圖示於各種具體實施例中的製造系統分離的一或多個腔室中。將理解到系統100思及到對於介電薄膜的沈積、蝕刻、退火與固化腔室的額外配置。
圖2圖示根據本科技的具體實施例的示例性處理系統200的示意截面圖。系統可以包括處理室205和遠端電漿源(「RPS」)單元210。RPS單元210可以穩定設在具有支撐構件214的平台212上,支撐構件214可以在處理腔室205周圍的一個或多個位置處與處理腔室205耦接。透過與平台212一起使用額外的支撐構件214,RPS單元210 的重量可以適當地分佈以保護部件免受與RPS單元210 的重量相關的剪切應力或其他應力。輸送管216可以在RPS單元210和處理室205之間耦接或與RPS單元210和處理室205耦接,用於將一種或多種前驅物輸送到處理室205。凸緣適配器218可以圍繞輸送管216定位,以便提供額外的穩定性和對RPS單元210的支撐,否則可能會因支撐重量而損壞輸送管216。凸緣適配器218可以接觸平台 212以為RPS單元210提供支撐,另外使得RPS單元210的重量不承受在輸送管216上。
處理室205可以包括氣箱220,氣箱220提供進入處理室205的通道。氣箱220可以限定到處理室205的入口,並且在具體實施例中,此入口可以在中心限定或位於氣箱220內。輸送管216可以定位或耦接在氣體箱220的入口內,提供RPS單元210和處理室205內部之間的前驅物路徑。凸緣適配器218還可以接觸頂板212以分配RPS單元210的至少一部分重量,以防止或減少輸送管216上的應力。
在具體實施例中,間隔件222可以至少部分地限定處理室205的外壁和內壁。氣體分配組件225可以定位在處理室205內靠近輸送管216,並且氣體分配組件225可以允許將前驅物或電漿流出物分配到處理室205中。泵送襯墊230可以定位在處理室205的處理區域內。泵送襯墊230可以允許未反應的前驅物或電漿流出物從處理室205排出。泵送襯墊230可額外允許在蝕刻處理中蝕刻的粒子從處理室205移除,以防止粒子在後續處理操作期間殘留在基板上。
基座235可以包括在處理室205的處理區域中,並且可以被配置為在蝕刻或其他處理操作期間支撐基板。在包括例如靜電、真空或重力的各種具體實施例中,底座235可以具有一個或多個吸附機構。底座235在具體實施例中可以是可旋轉的或可平移的,並且可以朝向氣體分配組件225升高或從氣體分配組件225降低。在具體實施例中,底座235可以包括一個或多個提升銷,用於幫助將基板轉移進和轉移出處理室205。底座235可額外包括加熱或冷卻機構,用於在處理操作期間維持基板溫度。
底座235可以包括包含燈絲的鑲嵌加熱元件,或者可以包括一個或多個管或通道,該管或通道被配置為使溫度受控的流體透過,流體可以相應地升高或降低溫度。底座235可以包括用於支撐基板的平台,平台是或包括陶瓷加熱器。在具體實施例中,陶瓷加熱器可以將基板加熱到特定的操作溫度,包括從大約20℃到超過1000℃。在具體實施例中,陶瓷加熱器可以另外將基板加熱到約50°C以上、約100°C以上、約150°C以上、約200°C以上、約250°C以上、約300°C以上、約350°C以上、約400°C以上、約500°C以上或更高。在具體實施例中,陶瓷加熱器可以另外將基板溫度維持在低於約1000℃、低於約900℃、低於約800℃、低於約700℃、低於約600℃或低於約500℃。在具體實施例中,陶瓷加熱器可以另外配置為加熱或保持基板溫度在約100°C和約500°C之間,或在具體實施例中在約300°C和約500°C之間。在具體實施例中,加熱器被配置為將基板溫度保持在約300℃以下,在這種情況下,可以使用替代的金屬加熱元件來代替陶瓷加熱器。例如,可以使用帶塗層的鋁製加熱器,或者在鋁製或處理過的鋁製底座上嵌入或帶塗層的加熱器。
處理腔室205的部件可經配置以承受蝕刻或其他處理操作期間的操作環境。處理室205的部件可以是陽極氧化或氧化材料,包括例如硬質陽極氧化鋁。處理室205內可能與電漿流出物或其他腐蝕性材料接觸的每個部件,可以被處理或塗覆以防止腐蝕。在具體實施例中,替代材料也可用於防止來自包括氟或氯的電漿流出物的腐蝕。例如,在具體實施例中,處理室205內的一個或多個部件可以是陶瓷或石英。作為特定示例,氣體分配組件225、間隔件222、泵送襯墊230中的一個或多個部件,或可能與電漿或非電漿前驅物接觸的任何部件,可以是或包括石英或陶瓷。此外,輸送管216可以是或包括石英,例如在輸送管216內包括石英襯墊。在具體實施例中,輸送管可以是鋁或硬質陽極氧化鋁,並且可以以石英內表面為特徵。RPS單元210也可以襯有石英,以保護內部部件免受由RPS單元210內解離的前驅物(例如包括氟或氯)引起的腐蝕。RPS單元210可以包括陽極氧化金屬,並且RPS單元210的腔室可以襯有石英以進一步防止腐蝕。
透過利用來自RPS單元210的遠端電漿,可以進一步保護處理室205免受由電漿產生引起的內部腐蝕。在具體實施例中,處理室205可以不被配置為產生電漿,並且可以在RPS單元210中的處理室205外部執行電漿生成。在具體實施例中,可以例如透過電容耦接電漿在處理室205內執行額外的電漿處理,儘管也可以使用其他電漿源。例如,氣箱220和氣體分配組件225的一個或多個部件可以用作電極,透過這些電極可以產生電容耦接電漿。透過減少從電漿產生到與基板相互作用的路徑長度,可以使用腔室內的附加或替代電漿組分來幫助電漿流出物的重新組合。
被電漿解離的前驅物將在一定的停留時間後重新組合。例如,在基於氯的前驅物在RPS單元210內解離之後,前驅物或電漿流出物可以透過輸送管216流入處理室205,然後與底座235上的基板相互作用。取決於自由基流出物行進路徑的長度,流出物或自由基可以重新結合並且至少部分地失去自由基前驅物的反應性。此外,行進路徑越複雜,例如透過各種管道或通道,系統中可以包括的保護就越多,因為與電漿流出物接觸的每個部件都可以被處理或塗覆以防止腐蝕。因此,處理室205可以包括從RPS單元210進入處理室205,然後透過排氣室230的相對直線。此外,一旦在處理室205內,前驅物或電漿流出物可以行進透過氣體分配組件225的一個或多個同線態樣以接觸基板。氣體分配組件225的部件可用於改善流向基板的均勻性,但另外保持前驅物流動路徑的長度減少,以減少電漿流出物的重新組合以及在處理室205內的停留時間。
圖3圖示根據本技術的一些具體實施例的示例性半導體處理腔室300的部分截面示意圖。圖3可以包括以上關於圖2討論的一個或多個部件,並且可以示出與腔室有關的更多細節。在一些具體實施例中,腔室300被理解為包括系統200的任何特徵或態樣。腔室300可用於執行半導體處理操作,包括如先前描述的硬遮罩材料的沉積、以及其他沉積、去除和清潔操作。腔室300可以示出半導體處理系統的處理區域的局部視圖,並且可以不包括所有部件,這些部件應理解為併入腔室300的一些具體實施例中。
如上所述,圖3可以示出處理室300的一部分。腔室300可以包括多個蓋堆疊部件,蓋堆疊部件可以促進材料透過處理室300的傳送或分佈到處理區域305中,例如基板306可以定位在基座310上的區域中。腔室蓋板315可以跨蓋堆疊的一個或多個板延伸,並且可以為部件提供結構支撐,例如遠端電漿源(「RPS」)單元370,RPS單元370可以提供用於腔室清潔或其他處理操作的前驅物或電漿流出物。RPS單元370可以穩定設在腔室蓋板315上。一些具體實施例可利用額外的支撐構件(未示出),支撐構件可在處理腔室300周圍的一個或多個位置處與處理腔室300耦接,以適當地分配RPS單元370的重量,從而保護部件免受與RPS單元370的重量相關的剪切應力或其他應力的影響。RPS單元370可包括至少一個出口372,前驅物或電漿流出物可透過此出口372輸送至腔室300。
輸出歧管320可以安置在蓋板315上和/或內。例如,輸出歧管320可包括凸緣與中心主體部分,凸緣位於蓋板315頂部,中心主體部分部分延伸到形成在蓋板315中的孔中。輸出歧管320可限定一個或多個氣體入口322,氣體入口322與RPS單元370的出口流體耦接。輸出歧管320可包括一個或多個與氣體入口322流體耦接的氣體出口324。例如,輸出歧管320可以限定遞歸流動路徑,流動路徑將氣體入口322與氣體出口324流體耦接。遞歸流動路徑可以由多個通道形成,這些通道將來自氣體入口322的氣流分成更多數量的氣體出口324。僅作為一個示例,一個氣體入口322可以被遞歸流動路徑分成四個氣體出口324。輸出歧管320可限定中心孔328,中心孔328可與RPS單元370的出口372流體耦接。中心孔328可用作輸出歧管320的入口和出口。
處理室300還可包括位於輸出歧管320下方的氣箱330。氣箱330的特徵可在於第一表面331與第二表面332,第一表面331位於入口側,第二表面332位於可與第一表面相對的出口側。氣箱330可包括限定中心流體管腔335的內壁334。內壁334的全部或一部分可以從入口側到出口側向外逐漸變細,使得中心流體管腔335為從RPS單元370和/或輸出歧管320流動的氣體提供膨脹容積。內壁334的錐度可以沿著內壁334的整個長度是恆定的,使得中心流體管腔335具有大致圓錐截頭體形狀。例如,內壁334相對於垂直方向的錐度可以大於或約45°、大於或約50°、大於或約55°、大於或約60°、大於或約65°、大於或約70°、大於或約75°、大於或約80°、或更大。內壁334的錐度可以僅沿著壁的一部分是恆定的。例如,內壁334可以包括具有不同錐度的兩個或更多個部分。僅作為一個示例,內壁334的頂部可以具有相對於垂直更陡的錐度,而內壁334的下部可以具有較小的錐度。例如,內壁334的頂部可以具有相對於垂直方向的小於或約70°、小於或約65°、小於或約60°、大於或約55°、或較少的錐度。內壁334的下部可以具有相對於垂直方向大於或約55°、大於或約60°、大於或約65°、大於或約70°、大於或約75°,大於或約80°、或更多的錐度。內壁334可以線性向外漸縮和/或可以以彎曲方式向外漸縮。
中心流體管腔335可以與中心孔328流體耦接,使得從RPS單元370的出口372流出的清潔電漿和/或處理氣體可以透過中心孔328輸送到中心流體管腔335。中心流體管腔335的頂部可徑向向內定位在輸出歧管320的氣體出口324。可以在氣箱330的第一表面331中形成凹部337,凹部337可以在氣體出口324與中心流體管腔335之間延伸並且將氣體出口324與中心流體管腔335流體耦接。凹部337和/或與凹部337流體連通的通道,可以從電漿出口324徑向向內延伸到中心流體管腔335的頂部。凹部337的基座可以限定突出部339,突出部339有助於阻擋來自氣體出口324的氣流,並且將氣流橫向向內引導到中心流體管腔335的頂部,這可以幫助更均勻地將氣體分佈在中心流體管腔335內。當氣體向下流過由中心流體管腔335提供的膨脹容積時,氣體可以向外膨脹。
氣箱330還可以限定一個或多個通道,這些通道可以透過氣箱330以流體方式進入,並且可以允許多種前驅物透過蓋堆疊以各種流動剖面輸送。例如,氣箱330可以限定在氣箱330內延伸的環形通道340,並且環形通道340可以從第一表面331凹進。如下文將進一步解釋的,環形通道340可透過入口孔以流體方式進入,入口孔可定位在氣箱330周圍的任何位置,並且可為要從氣體面板或歧管輸送的一種或多種前驅物提供耦接。入口孔可以延伸穿過第一表面331,用於將前驅物提供到氣箱330中。在一些具體實施例中,環形通道340可以與氣箱330的中心流體管腔335同心。氣箱330還可限定一個或多個出口孔342。出口孔342可被限定穿過環形通道340,並且可從環形通道340延伸穿過氣箱330的第二表面332。因此,透過氣箱330輸送到環形通道340中的一種或多種前驅物,可以繞過RPS單元370並輸送到氣箱330的一個或多個外部區域。
氣箱330可包括附加特徵。例如,氣箱330可以限定冷卻通道344,冷卻通道344可以允許冷卻流體繞氣箱330流動,並且可以允許附加的溫度控制。如圖所示,冷卻通道344可以被限定在氣箱330的第一表面331中,並且蓋可以圍繞冷卻通道延伸以形成氣密密封。冷卻通道344可以圍繞中心流體管腔335延伸,並且也可以與中心流體管腔335同心。如圖所示,環形通道340可以被形成或限定在氣箱330內,在冷卻通道344和氣箱330的第二表面之間。在一些具體實施例中,環形通道340可以與冷卻通道344垂直對齊,並且可以在氣箱330的深度內從冷卻通道344偏移。為了形成環形通道340,在一些具體實施例中,氣箱330可以包括一個或多個堆疊板。板可以被結合、焊接或以其他方式耦接在一起以形成完整的結構。
例如,氣箱330可以包括至少一個板,並且可以包括兩個、三個、四個或更多個板,這取決於所形成的特徵。如圖所示,氣箱330可以包括兩個或三個板,這可以允許形成多個路徑以進一步將前驅物分配到環形通道340。例如,對於單點遞送,可以透過調節通道內相對於出口孔的傳導率來實現均勻性。然而,透過利用限定在氣箱330內的一個或多個傳導路徑,前驅物可以被輸送到環形通道340內的多個位置,這可以增加透過氣箱330的輸送的均勻性,並且可以允許更大直徑的出口孔而不犧牲輸送均勻性。
在一些具體實施例中,半導體處理室300還可以包括附加部件,例如環形間隔件350和面板355。面板355可以限定多個孔,這些孔延伸穿過面板355的厚度,使得前驅物和/或電漿流出物能夠被輸送到處理區域305,處理區域305可以至少部分地由面板355從上方限定。環形間隔件350的內徑可以定位在面板355的孔的徑向外側,以便不阻礙透過面板355的氣體流動。環形間隔件350可限定與中心流體管腔335流體耦接的容積352。容積352可以是穿過蓋堆疊的第一位置,在第一位置處輸送到氣箱330的中心流體管腔335的前驅物和輸送到氣箱330的環形通道340的前驅物可以混合。容積352可以從中心流體管腔335和出口孔342以流體方式進入。輸送到容積352中的前驅物然後可以在繼續透過蓋堆疊之前至少部分地混合或重疊。透過在接觸基板表面之前允許一定量的混合,可以提供一定量的重疊,這可以在基板處產生更平滑的過渡,並且可以限制界面在薄膜或基板表面上形成。
環形間隔件350的內壁可以從中心流體管腔335的底端徑向向外定位。這可導致中心流體管腔335的底端和容積352之間的階梯式過渡,這允許氣流在進入容積 352時膨脹到面板355的完全暴露區域。例如,容積352可以具有大致矩形的橫截面,使得引入中心流體管腔335的氣體在膨脹到容積352之前最初由截頭圓錐形內壁334約束,容積352由環形間隔件350的內壁約束的直徑大於氣箱330的內壁334的底部的直徑。
腔室300可包括錐形插入件360,錐形插入件360定位在由環形間隔件350的內壁限定的容積352內。例如,錐形插入件360的尺寸和形狀可以被確定為可被容納在環形間隔件350內並鄰接環形間隔件350的內壁。錐形插入件360可以包括內壁,內壁從氣箱330的出口側向外逐漸變細到在面板355的孔之外的徑向位置。錐形插入件360的內壁的頂部邊緣可以與氣箱330的內壁334的底部邊緣對齊,使得由中心流體管腔335和容積352限定的膨脹容積通常是連續的和不間斷的。在一些具體實施例中,錐形插入件360的內壁的錐度可以匹配氣箱330的內壁334的錐度,而在其他具體實施例中,內壁的錐度可以不同。在各種具體實施例中,錐形插入件360和環形間隔件350可以是個別的部件或可以是單一單元。
透過在氣箱330內和/或下方提供錐形膨脹容積,可以實現更好的僅RPS清潔均勻性和更寬的覆蓋範圍。特定而言,提供離面板355更遠的膨脹容積為前驅物和電漿流出物提供更多的空間和距離以徑向向外膨脹,從而更有效地將清潔氣體分配到面板355的外周邊和其他腔室部件,例如底座310邊緣和/或泵送襯墊。清潔氣體向面板外周邊的增加分佈也可以幫助防止在某些沉積過程中發生電弧,例如那些使用導電元素(諸如碳)的沉積過程。此外,這樣的氣箱設計可以幫助透過面板355更均勻地分佈沉積氣體以在晶圓上產生更均勻的膜。
圖4圖示根據本技術的一些具體實施例的示例性基板處理腔室400的部分截面示意圖。圖4可以包括以上關於圖2與圖3討論的一個或多個部件,並且可以示出與腔室有關的更多細節。腔室400被理解為包括系統200及/或腔室300的任何特徵或態樣。腔室400可以示出半導體處理系統的處理區域的局部視圖,並且可以不包括所有部件,這些部件應理解為併入腔室400的一些具體實施例中。腔室400可以包括處理區域405,例如基板406可以定位在基座410、RPS單元470和輸出歧管420上的處理區域405。在一些具體實施例中,半導體處理室400還可以包括附加部件,例如環形間隔件450和面板455。在一些具體實施例中,腔室400可以包括與上述錐形插入件360類似的錐形插入件。
圖5示出了輸出歧管420的示意性透視圖。輸出歧管420可限定一個或多個氣體入口422,氣體入口422與RPS單元470的出口472流體耦接。輸出歧管420可包括一個或多個與氣體入口422流體耦接的氣體出口424。例如,輸出歧管420可以限定遞歸流動路徑,流動路徑將氣體入口422與氣體出口424流體耦接。遞歸流動路徑可以由多個通道426形成,這些通道426將來自氣體入口422的氣流分成更多數量的氣體出口424。如圖所示,單個電漿入口422 限定在輸出歧管420的側面內。電漿入口422與通道426流體耦接,通道426將進入的氣流分成兩個分支。兩個分支中的每一個都具有將氣體引導至兩個附加通道426的出口,通道進一步將每個分支分成兩部分。以這種方式,來自單個電漿入口422的流可以被限定遞歸流動路徑的通道426分成四個氣體出口424。輸出歧管420可限定中心孔428,中心孔428可與RPS單元470的出口流體耦接。中心孔428可用作輸出歧管420的入口和出口。
如圖4所示,處理室400還可包括位於輸出歧管420下方的氣箱430。氣箱430的特徵可在於第一表面431與第二表面432,第一表面431位於入口側,第二表面432位於可與第一表面相對的出口側。氣箱430可包括限定中心流體管腔435的內壁434。內壁434的全部或一部分可以從入口側到出口側向外逐漸變細,使得由內壁434限定的中心流體管腔435為從RPS單元470和/或輸出歧管420流動的氣體提供膨脹容積。如圖所示,內壁434包括具有不同錐度的兩個部分。例如,如圖所示,內壁434的頂部具有比內壁434的下部更陡的錐度。應當理解,內壁434的多於兩個部分可以具有不同的錐度,並且可以以任何順序佈置更陡和/或更平的錐度的相對位置。在各種具體實施例中,內壁434的錐度可以沿著內壁434的全部或部分長度是恆定的。內壁434可以線性向外漸縮和/或可以以彎曲方式向外漸縮。
處理室400可以包括設置在輸出歧管420和氣箱430之間的間隔件480。例如,間隔件480可以位於氣箱430的頂部。間隔件480可以將來自輸出歧管420的氣流分配到氣箱430中。例如,間隔件480可以包括內壁482,內壁482限定錐形管腔485,來自輸出歧管420的氣體可以透過錐形管腔485流入氣箱430。錐形管腔485可以設置在間隔件480的中心內並且可以與氣箱430的中心流體管腔435對齊。內壁482的全部或一部分可以從歧管側向外向氣箱側逐漸變細,使得錐形管腔485作為從RPS單元470和/或輸出歧管420流出的氣體的膨脹容積的起始點。內壁482的錐度可以沿著內壁482的整個長度是恆定的,使得錐形管腔485具有大致圓錐截頭體形狀。內壁482的錐度可以沿著壁的全部或一部分是恆定的。內壁482可以線性向外漸縮和/或可以以彎曲方式向外漸縮。內壁482的底端可以具有至少與內壁434的頂端直徑實質匹配的直徑,這可以使得在間隔件480和氣箱430的錐形內壁內提供的膨脹容積是連續的。在一些具體實施例中,間隔件480的內壁482的錐度可以匹配氣箱430的內壁434的錐度,而在其他具體實施例中錐度可以不同。例如,內壁482的全部或部分可以以比內壁434的全部或部分更陡峭的方式逐漸變細,這可以使向下流過間隔件480和氣箱430的氣體更快速地徑向膨脹。
圖6是在一些具體實施例中可以由陶瓷材料形成的間隔件480的示意性透視圖。間隔件480可限定至少一個流體入口484,流體入口484可與輸出歧管420的氣體出口424流體連接。流體入口484可以設置在錐形通道485的徑向外側並且可以將氣流從輸出歧管420引導到錐形管腔 485中。例如,流體入口484可包括通道,例如環形通道,通道透過由間隔件480限定的一個或多個通道486將氣流向內引導至錐形管腔485。例如,通道486可以包括徑向佈置的通道,這些通道在流體入口484與錐形管腔485的頂端之間延伸並且流體地耦接。除了將氣體引導到錐形管腔485中,通道486可以將來自流體入口484的氣流分成更多數量的流體路徑,這可以更均勻地將氣體分佈在由間隔件480與氣箱430的錐形內壁形成的膨脹容積內。例如,間隔件480可包括多於或約4個徑向通道486、多於或約6個徑向通道486、多於或約8個徑向通道486、多於或約10個徑向通道486、多於或約12個徑向通道486、大於或約14個徑向通道486、大於或約16個徑向通道486、大於或約18個徑向通道486、大於或約20個徑向通道486或更多,更多數量的通道486使氣體更均勻地分佈到錐形管腔485中。
透過提供遠離面板455的錐形膨脹容積,可以實現更好的僅RPS清潔均勻性。特定而言,膨脹容積的高位置使得清潔電漿/氣體能夠更均勻地分佈到面板455的外周和相鄰區域(例如底座邊緣和/或泵送襯墊)。清潔氣體/電漿的增加分佈還可以幫助防止在某些沉積過程中發生電弧放電,例如那些利用碳等導電元素的沉積過程,並防止在沉積週期中出現二次落下缺陷。此外,這樣的間隔件/氣箱設計可以幫助透過面板455更均勻地分佈沉積氣體以在晶圓上產生更均勻的膜。
圖7是根據本技術的一些具體實施例的將氣體分配到面板的方法700的流程圖。方法700可包括,在操作710,將氣體和/或電漿從輸出歧管的至少一個出口流入氣箱的中心流體管腔。可以透過RPS單元將氣體和/或電漿引入輸出歧管。例如,清潔電漿可以直接從RPS單元的電漿出口流入中心流體管腔,而不透過任何遞歸流動路徑。從RPS單元的主要出口直接噴射到中心流體管腔的清潔電漿,可以在至少部分地由中心流體管腔限定的膨脹容積內徑向向外膨脹。處理氣體可以直接流入中心流體管腔和/或可以經由在輸出歧管的入口和出口之間延伸的遞歸流動路徑流入中心流體管腔。一旦到達中心流體管腔,處理氣體可在至少部分地由氣箱的中心流體管腔限定的膨脹容積內向外膨脹。在其他具體實施例中,氣體可以透過定位在輸出歧管和氣箱之間的間隔件流入中心流體管腔。間隔件可以將來自輸出歧管的氣流分成更多數量的流體通道,這些流體通道將氣體引導到間隔件的錐形管腔中,錐形管腔與氣箱的中心流體管腔流體耦接。錐形管腔可進一步限定膨脹容積。中心流體管腔和/或錐形管腔可以包括從相應部件的入口側到出口側向外漸縮的內壁,以提供膨脹容積。
在操作720,氣體可以流過限定在設置在氣箱下方的面板內的複數個孔。氣體可以包括前驅物、電漿流出物和/或可以作為沈積和/或其他晶圓處理應用的一部分流動的其他處理氣體,和/或可以包括流動以去除膜和/或其他沉積在腔室部件(例如面板)上的殘留物的清潔氣體。透過使氣體流入至少部分地由間隔件和/或氣箱的內部限定的膨脹容積,氣體可以更均勻地分佈在面板的孔上,這可以導致晶圓上的更好的膜均勻性和/或更好地清潔面板,尤其是面板周邊區域。
在上文說明中,為了解釋的目的,闡述了多種細節,以期通透瞭解本科技的各種具體實施例。然而在本發明技術領域中具有通常知識者將顯然瞭解到,特定具體實施例的實作可並不需要這些特定細節的一些(或是需要額外的細節)。
在已揭示了數種具體實施例之後,在本發明技術領域中具有通常知識者將理解到,可使用各種修改、替代性結構與均等範圍,而不脫離所揭示具體實施例的精神。此外,並未說明一些為人熟知的處理與要素,以避免不必要地遮蔽本科技。因此,上文的說明不
在提供一系列值的情況下,應當理解,除非上下文另有明確規定,否則還具體公開了此範圍的上限和下限之間的每個中間值,至下限單位的最小部分。在所述範圍內的任何陳述值或未陳述的介入值與所述範圍內的任何其他陳述或介入值之間的任何較窄範圍都包括在內。這些較小範圍的上限和下限可以獨立地包括在此範圍內或排除在此範圍內,且包含上下限之一者、兩者、或皆不包含的較小範圍中的每一範圍也被包含在本技術內,且受制於所陳述範圍中任何特別排除的限制。在所陳述的範圍包含上下限之一者或兩者時,也包含了排除了這些上下限之任一者或兩者的範圍。
說明書與附加申請專利範圍中所使用的單數形式「一(a)」、「一(an)」以及「該」,包含複數的參照物,除非背景內容清楚表示並非如此。因此,例如,對「一孔」的參照,包含複數個此種材料,且對於「該板」的參照,包含對於一或更多種板的參照以及在本發明技術領域中具有通常知識者所能知的均等範圍,諸如此類。
此外,本說明書和下列申請專利範圍中使用的詞語「包含(comprise(s))」、「包含(comprising)」、「含有(contain(s))」、「含有(containing)」、「包括(include(s))」和「具有(including)」,意為指明所陳述的特徵、整數、部件、或作業的存在,但他們不排除存在或添加一個或多個其他特徵、整數、部件、作業、步驟、或組。
100:處理系統 102:前開式晶圓傳送盒 104:機械臂 106:固持區域 110:第二機械臂 200:處理系統 205:處理室 210:遠端電漿源(「RPS」) 212:平台 214:支撐構件 216:輸送管 218:凸緣適配器 220:氣箱 222:間隔件 225:氣體分配組件 230:泵送襯墊 235:基座 300:基板處理腔室 305:處理區域 306:基板 310:基座 315:腔室蓋板 320:輸出歧管 322:氣體入口 324:氣體出口 328:中心孔 330:氣箱 331:第一表面 332:第二表面 334:內壁 335:中心流體管腔 337:凹部 339:突出部 340:環形通道 342:出口孔 344:冷卻通道 350:環形間隔件 352:容積 355:面板 360:錐形插入件 370:遠端電漿源(「RPS」)單元 372:出口 400:基板處理腔室 405:處理區域 406:基板 410:基座 420:輸出歧管 422:氣體入口 424:氣體出口 426:通道 428:中心孔 430:氣箱 432:第二表面 434:內壁 435:中心流體管腔 450:環形間隔件 455:面板 470:RPS單元 472:出口 480:間隔件 482:內壁 484:流體入口 485:錐形管腔 486:通道 700:方法 710:操作 720:操作 108a:基板處理室 108b:基板處理室 108c:基板處理室 108d:基板處理室 108e:基板處理室 108f:基板處理室 109a:串聯部分 109b:串聯部分 109c:串聯部分
參照說明書的其餘部分與圖式,可進一步理解所揭示技術的本質與優點。
圖1示出了根據本技術的一些具體實施例的示例性處理系統的俯視圖。
圖2示出了根據本技術的一些具體實施例的示例性處理系統的示意性剖視圖。
圖3圖示根據本技術的一些具體實施例的示例性半導體處理腔室的部分截面示意圖。
圖4圖示根據本技術的具體實施例的示例性半導體處理室的截面示意圖。
圖5示出了根據本技術的一些具體實施例的輸出歧管的示意性透視圖。
圖6示出了根據本技術的一些具體實施例的示例性間隔件的示意性等距視圖。
圖7是根據本技術的一些具體實施例的將氣體分配到面板的示例性方法的流程圖。
數個圖式被包含以作為示意圖。應瞭解到圖示係用於說明,且不應被視為具有實際尺寸比例,除非特定說明其為實際尺寸比例。此外,作為示意圖,圖式被提供以幫助理解,且可不包含相較於實際呈現的所有態樣或資訊,並可包含誇大的內容以供說明。
在附加圖式中,類似的部件及(或)特徵可具有相同的元件符號。再者,相同類型的各個部件,可由元件符號之後的字母來分辨,此字母分辨類似的部件。若說明書中僅使用了首個元件符號,則其說明可適用於具有相同的首個元件符號的類似部件之任意者,不論其字尾字母為何。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
300:基板處理腔室
305:處理區域
306:基板
310:基座
315:腔室蓋板
320:輸出歧管
322:氣體入口
324:氣體出口
328:中心孔
330:氣箱
331:第一表面
332:第二表面
334:內壁
335:中心流體管腔
337:凹部
339:突出部
340:環形通道
342:出口孔
344:冷卻通道
350:環形間隔件
352:容積
355:面板
360:錐形插入件
370:遠端電漿源(「RPS」)單元
372:出口

Claims (1)

  1. 一種半導體處理系統,包含: 一輸出岐管,該輸出岐管限定至少一個氣體出口; 一氣箱,該氣箱設置在該輸出岐管下方,該氣箱包含面向該輸出岐管的一入口側以及與該入口側相對的一出口側,該氣箱包含一內壁,該內壁限定一中心流體管腔,其中該內壁從該入口側向外朝向該出口側逐漸變細; 一環形間隔件,該環形間隔件設置在該氣箱下方,其中該環形間隔件的一內徑大於該中心流體管腔的一最大內徑;和 一面板,該面板設置在該環形間隔件下方,該面板限定複數個孔,該複數個孔延伸穿過該面板的一厚度,其中: 該至少一個氣體出口設置在該中心流體管腔的一頂部的徑向外側; 該氣箱的該入口側限定一凹部,該凹部與該至少一個氣體出口流體耦接;和 該凹部的一底部限定一壁架,該壁架延伸至該中心流體管腔的該頂部的一外邊緣。
TW112128733A 2021-03-26 2022-03-22 均勻的原位清洗及沉積 TW202403859A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/213,947 US11742185B2 (en) 2021-03-26 2021-03-26 Uniform in situ cleaning and deposition
US17/213,947 2021-03-26

Publications (1)

Publication Number Publication Date
TW202403859A true TW202403859A (zh) 2024-01-16

Family

ID=83363601

Family Applications (2)

Application Number Title Priority Date Filing Date
TW111110505A TWI814291B (zh) 2021-03-26 2022-03-22 均勻的原位清洗及沉積
TW112128733A TW202403859A (zh) 2021-03-26 2022-03-22 均勻的原位清洗及沉積

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW111110505A TWI814291B (zh) 2021-03-26 2022-03-22 均勻的原位清洗及沉積

Country Status (6)

Country Link
US (2) US11742185B2 (zh)
JP (1) JP2024511201A (zh)
KR (1) KR20230159579A (zh)
CN (1) CN117203749A (zh)
TW (2) TWI814291B (zh)
WO (1) WO2022203946A1 (zh)

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002305179A (ja) * 2001-04-05 2002-10-18 Matsushita Electric Ind Co Ltd プラズマ処理方法
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
KR101125086B1 (ko) * 2007-04-17 2012-03-21 가부시키가이샤 알박 성막장치
US20120312234A1 (en) 2011-06-11 2012-12-13 Tokyo Electron Limited Process gas diffuser assembly for vapor deposition system
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10221478B2 (en) * 2013-04-30 2019-03-05 Tokyo Electron Limited Film formation device
US10083818B2 (en) 2014-09-24 2018-09-25 Applied Materials, Inc. Auto frequency tuned remote plasma source
JP5951095B1 (ja) * 2015-09-08 2016-07-13 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US11094511B2 (en) 2018-11-13 2021-08-17 Applied Materials, Inc. Processing chamber with substrate edge enhancement processing

Also Published As

Publication number Publication date
US11742185B2 (en) 2023-08-29
TWI814291B (zh) 2023-09-01
CN117203749A (zh) 2023-12-08
US20230402261A1 (en) 2023-12-14
WO2022203946A1 (en) 2022-09-29
TW202245029A (zh) 2022-11-16
US20220310360A1 (en) 2022-09-29
JP2024511201A (ja) 2024-03-12
KR20230159579A (ko) 2023-11-21

Similar Documents

Publication Publication Date Title
JP7393501B2 (ja) 前駆体の流れを改善する半導体処理チャンバ
TWI772297B (zh) 用於改良式半導體蝕刻及部件保護之系統與方法
TWI781932B (zh) 用於改良式半導體蝕刻及部件保護之系統與方法
TWI757487B (zh) 多區半導體基板材支撐件
TWI790507B (zh) 用於處理腔室的多區域流動氣箱
US20180258531A1 (en) Diffuser design for flowable cvd
TWI814291B (zh) 均勻的原位清洗及沉積
JP2024514139A (ja) 処理チャンバ用の改良されたアイソレータ
US20220130650A1 (en) Processing chamber deposition confinement
US11862475B2 (en) Gas mixer to enable RPS purging
TWI840708B (zh) 用於rps淨化的半導體處理系統
TWI810553B (zh) 具有邊緣流體控制的面板
US20220108872A1 (en) Bevel backside deposition elimination
TWI830434B (zh) 下游殘留物管理硬體及方法
US20230009859A1 (en) Asymmetric purged block beneath wafer plane to manage non-uniformity
CN111799143B (zh) 半导体处理腔室多阶段混合设备
TW202038328A (zh) 半導體處理腔室多階段混合設備
KR20200078383A (ko) 기판 프로세싱 챔버들 및 기판 프로세싱 챔버들을 배기시키는 방법들