CN117203749A - 均匀的原位清洗和沉积 - Google Patents

均匀的原位清洗和沉积 Download PDF

Info

Publication number
CN117203749A
CN117203749A CN202280030924.9A CN202280030924A CN117203749A CN 117203749 A CN117203749 A CN 117203749A CN 202280030924 A CN202280030924 A CN 202280030924A CN 117203749 A CN117203749 A CN 117203749A
Authority
CN
China
Prior art keywords
gas
outlet
inlet
gas box
output manifold
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202280030924.9A
Other languages
English (en)
Inventor
S·拉斯
T·A·恩古耶
A·班塞尔
张宇星
B·N·拉马穆尔蒂
N·帕塔克
A·A·哈贾
S·M·博贝克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN117203749A publication Critical patent/CN117203749A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Braking Arrangements (AREA)
  • Polishing Bodies And Polishing Tools (AREA)

Abstract

示例性半导体处理系统可包括限定至少一个等离子体出口的输出歧管。系统可包括设置在输出歧管下方的气箱。气箱可包括面向输出歧管的入口侧和与入口侧相对的出口侧。气箱可包括限定中心流体管腔的内壁。内壁可从入口侧到出口侧向外逐渐变细。系统可包括设置在气箱下方的环形间隔件。环形间隔件的内径可大于中心流体管腔的最大内径。系统可包括设置在环形间隔件下方的面板。面板可限定延伸穿过面板厚度的多个孔。

Description

均匀的原位清洗和沉积
相关申请的交叉引用
本申请要求于2021年3月26日提交的题为“UNIFORM IN SITU CLEANING ANDDEPOSITION(均匀的原位清洗和沉积)”的美国专利申请第17/213,947号的权益和优先权,该申请的内容在此通过引用以其全文并入本文。
技术领域
本技术涉及用于半导体制造的部件和装置。更具体地,本技术涉及处理腔室分配部件和其他半导体处理装备。
背景技术
通过在基板表面上产生具有复杂图案的材料层的工艺,而使集成电路成为可能。在基板上产生图案化材料需要用于形成和移除材料的受控方法。腔室部件通常将处理气体和等离子体输送到基板,以用于沉积膜或移除移除材料。为了在沉积循环期间促进对称性和均匀性,许多腔室部件可包括规则图案的特征,诸如孔,用于以可增加均匀性的方式提供材料,但相同的特征在经由等离子体的清洁/材料移除移除循环期间产生障碍。这可能会限制为晶片上调整调谐配方的能力,并限制整个系统的处理量。
因此,需要可用于产生高质量设备和结构的改良的系统和方法。这些和其他需求由本技术解决。
发明内容
示例性半导体处理系统可包括限定至少一个等离子体出口的输出歧管。系统可包括设置在输出歧管下方的气箱。气箱可包括面向输出歧管的入口侧和与入口侧相对的出口侧。气箱可包括限定中心流体管腔的内壁。内壁可从入口侧到出口侧向外逐渐变细。系统可包括设置在气箱下方的环形间隔件。环形间隔件的内径可大于中心流体管腔的最大内径。系统可包括设置在环形间隔件下方的面板。面板可限定延伸穿过面板厚度的多个孔。
在一些实施例中,至少一个等离子体出口可设置在中心流体管腔顶部的径向外侧。气箱的入口侧可限定与至少一个等离子体出口流体耦接的凹部。凹部的底部可限定延伸到中心流体管腔顶部的外边缘的突出部。输出歧管可限定递归流动路径,所述流动路径将一个或多个气体入口与至少一个等离子体出口流体耦接。至少一个等离子体出口的数量可大于一个或多个气体入口的数量。系统可包括设置在环形间隔件内的锥形插入件。锥形插入件可从气箱的出口侧到超出多个孔的径向位置向外逐渐变细。系统可包括设置在输出歧管与气箱之间的间隔件。间隔件可限定至少一个入口,所述入口与至少一个等离子体出口流体耦接。间隔件的内壁可限定锥形管腔,锥形管腔在至少一个入口和气箱的中心流体管腔之间流体耦接。锥形内腔可在气箱的入口侧的方向上向外逐渐变细。间隔件可限定在至少一个入口和锥形内腔之间延伸的多个通道,这些通道将流动路径从至少一个入口扩展成更多数量的流体路径。至少一个入口可包括环形通道。多个通道可包括从环形通道向内延伸到锥形通道的径向布置的通道。锥形管腔的锥度可在间隔件和气箱的界面处与中心流体管腔的锥度相匹配。环形间隔件的内径可以定位在多个孔的径向外侧。系统可包括限定出口的远程等离子体源。出口可与输出歧管的入口流体耦接。
本技术的一些实施例可涵盖半导体处理系统。半导体处理系统可包括限定至少一个出口的远程等离子体源。半导体处理系统可包括输出歧管,输出歧管限定至少一个等离子体入口和至少一个等离子体出口。至少一个等离子体入口可与远程等离子体源的至少一个出口流体耦接。半导体处理系统可包括设置在输出歧管下方的气箱。气箱可包括面向输出歧管的入口侧和与入口侧相对的出口侧。气箱可包括限定中心流体管腔的内壁。内壁可以从入口侧到出口侧向外逐渐变细。半导体处理系统可包括设置在气箱下方的面板。面板可限定延伸穿过面板厚度的多个孔。
在一些实施例中,中心流体管腔的内壁的锥度可沿着中心流体管腔的长度是恒定的。中心流体管腔的内壁的锥度可沿着中心流体管腔的长度变化。系统可包括设置在输出歧管与气箱之间的间隔件。间隔件可限定至少一个入口,所述入口与至少一个等离子体出口流体耦接。间隔件的内壁可限定锥形管腔,锥形管腔在至少一个入口和气箱的中心流体管腔之间流体耦接。间隔件可限定在至少一个入口和锥形内腔之间延伸的多个通道。输出歧管可限定递归流动路径,所述流动路径将至少一个等离子体入口与至少一个等离子体出口流体耦接。
本技术的一些实施例可包括将气体分配到面板的方法。方法可包括使气体和等离子体中的一者或两者从输出歧管的至少一个出口流入气箱的中心流体管腔。中心流体管腔可由气箱的内壁限定,内壁从气箱的入口侧到气箱的出口侧向外逐渐变细。方法可包括使气体和等离子体中的一者或两者流过限定在面板内的多个孔,面板设置在气箱下方。
在一些实施例中,使气体和等离子体中的一者或两者流入气箱的中心流体管腔包括:将气体和等离子体中的一者或两者的流从至少一个出口分流到间隔件内的更多数量的流体通道中,间隔件设置在输出歧管与气箱之间。方法可包括:使气体和等离子体中的一者或两者从远程等离子体源流到输出歧管的入口。方法可包括:使气体和等离子体中的一者或两者流过在输出歧管的入口与至少一个出口之间延伸的递归流动路径。至少一个等离子体出口可设置在中心流体管腔顶部的径向外侧。
这种技术可提供优于常规系统和技术的许多益处。例如,本技术的实施例可提供更均匀的膜沉积和对诸如面板之类的腔室部件的更好清洁。结合以下描述和附图更详细地说明这些和其他的实施例,连同许多它们的优点与特征。
附图说明
参照说明书的其余部分和附图,可进一步理解所公开技术的性质与优点。
图1示出了根据本技术的一些实施例的示例性处理系统的俯视平面图。
图2示出了根据本技术的一些实施例的示例性处理系统的示意性横截面图。
图3示出了根据本技术的一些实施例的示例性半导体处理腔室的部分横截面示意图。
图4示出了根据本技术的一些实施例的示例性半导体处理腔室的横截面示意图。
图5示出了根据本技术的一些实施例的输出歧管的示意性等距视图。
图6示出了根据本技术的一些实施例的示例性间隔件的示意性等距视图。
图7是根据本技术的一些实施例的将气体分配到面板的示例性方法的流程图。
若干附图被包含以作为示意图。应了解,附图是出于说明的目的,且不应被视为具有实际尺寸比例,除非特定说明其为实际尺寸比例。此外,作为示意图,附图被提供以帮助理解,并且可不包括相较于实际表示的所有方面或信息,并且可包括夸大的内容以供说明。
在附图中,类似的部件和/或特征可具有相同的附图标记。此外,相同类型的各个部件可由附图标记之后的字母来区分,该字母对类似的部件进行区分。如果说明书中仅使用了第一附图标记,则该描述可适用于具有相同的第一附图标记的类似部件中的任一者,而不论字母为何。
具体实施方式
等离子体增强的沉积工艺可以使一种或多种组分前驱物通电,以促进在基板上的膜形成。可以生产任何数量的材料膜来开发半导体结构,包括导电膜和介电膜,以及有助于材料转移和移除的膜。例如,可以形成硬掩模膜以促进基板的图案化,同时保护下卧材料以其他方式被保持。在许多处理腔室中,许多前驱物可以在气体面板中混合并被输送到腔室的处理区域,在此处理区域中可以设置基板。前驱物可以穿过腔室内的一个或多个部件分布,这可以产生径向或横向的输送分布,以在基板表面提供增加的形成或移除。
随着器件特征尺寸的减小,跨基板表面的公差可降低,并且跨膜的材料属性差异可影响器件的实现和均匀性。许多腔室包括特性工艺签名,这可能会产生跨基板的不均匀性。温度差异、流动模式均匀性和处理的其他方面可影响基板上的膜,从而针对生产或移除的材料产生跨基板的膜均匀性差异。例如,一个或多个器件可包括在处理腔室内用于在处理腔室内输送和分布前驱物。阻隔板可包括在腔室中以提供前驱物流的阻流,这可增加阻隔板处的驻留时间和前驱物的横向或径向分布。面板可进一步提高输送到处理区域中的均匀性,这可以改进沉积或蚀刻。
可以在一些或所有处理步骤之后清洁各种腔室部件以移除可能存在于部件上的任何残留物和/或其他沉积物。这在涉及导电材料(诸如碳)的工艺之后可能尤其重要。例如,当存在任何导电残留物时,诸如面板之类的部件可产生导电路径,导电路径在后续处理操作期间引起电弧,并为来自面板残留物的晶片上的二次落下颗粒产生源。为了保持高晶片产量和低颗粒产生,传统的腔室可利用仅涉及从远程等离子体源(RPS)单元引入清洁气体的清洁操作。然而,传统腔室中使用的阻隔板阻止来自RPS单元的气体/等离子体流到达面板、加热器边缘和/或泵送衬垫的外围边缘,从而导致在这些位置处的残留物形成。这些问题不能简单地通过移除阻隔板来克服,因为这导致处理气体分布不均匀,这可导致膜均匀性问题,并且仍然会留下一些清洁不足的表面。特定而言,仅从传统的腔室设计移除阻隔板可导致晶片在晶片的中心附近具有薄膜沉积区域,并且在泵送衬垫、加热器/基座边缘和/或面板边缘上残留。
本技术通过使用一个或多个腔室部件克服了这些挑战,这些腔室部件产生了从面板上方开始的膨胀容积,以使前驱物、等离子体流出物和/或其他气体有足够的空间跨面板的整个表面区域和更多区域更均匀地膨胀。具体地,实施例可包括不包括阻隔板的腔室设计,同时提供限定锥形膨胀容积以更好地将气体分配到面板的气箱和/或间隔件。这不仅可以帮助提高晶片上的膜均匀性,而且还可以更好地将清洁气体/等离子体分配到面板的外围区域,以移除面板上的任何沉积物或其他残留物。因此,改进的面板清洁可以帮助防止在使用导电沉积材料的晶片处理期间否则可能发生的电弧和二次落下缺陷。
尽管其余的公开将常规地利用所公开的技术标识特定的沉积工艺,但将容易理解到,系统和方法同样适用于其他沉积和清洁腔室、以及在所述腔室中可能发生的工艺。因此,不应认为技术仅限于与这些特定的沉积工艺或腔室一起使用。在描述根据本技术的实施例的对所述系统的另外的变化和调整之前,本公开将讨论一种可能的系统和腔室,其可包括根据本技术的实施例的盖堆叠部件。
图1示出了根据实施例的具有沉积、蚀刻、烘烤和固化腔室的处理系统100的一个实施例的俯视平面图。在附图中,一对前开式标准舱102供应各种尺寸的基板,基板由机械臂104接收,并在放入定位在串联部分109a-109c中的基板处理腔室108a-108f中的一者之前放入低压固持区域106中。可使用第二机械臂110以将基板晶片在固持区域106与基板处理腔室108a-108f之间来回运输。每个基板处理腔室108a-108f可被配备为执行各种基板处理操作,包括本文所述的半导体材料的堆叠形成,以及等离子体增强化学气相沉积、原子层沉积、物理气相沉积、蚀刻、预清洗、脱气、定向以及包括退火、灰化等的其他基板处理。
基板处理腔室108a-108f可包括一个或多个系统部件,用于对基板上的介电或其他膜进行沉积、退火、固化和/或蚀刻。在一个配置中,可使用两对处理腔室(例如,108c-108d和108e-108f)在基板上沉积介电材料,并且可使用第三对处理腔室(例如,108a-108b)来蚀刻所沉积的介电质。在另一配置中,全部三对腔室(例如,108a-108f)可被配置成在基板上沉积交替的介电膜堆叠。所描述的工艺的任意一者或多者可在与不同实施例中示出的制造系统分离的腔室中进行。将理解,系统100构思了对于介电膜的沉积、蚀刻、退火和固化腔室的附加配置。
图2示出了根据本技术的实施例的示例性处理系统200的示意性横截面图。系统可包括处理腔室205和远程等离子体源(“RPS”)单元210。RPS单元210可以稳定在具有支撑构件214的平台212上,支撑构件214可在处理腔室205周围的一个或多个位置处与处理腔室205耦接。透过连同平台212一起使用附加支撑构件214,RPS单元210的重量可以适当地分布以保护部件免受与RPS单元210的重量相关的剪切应力或其他应力。输送管216可以在RPS单元210和处理腔室205之间耦接或与RPS单元210和处理腔室205耦接,用于将一种或多种前驱物输送到处理腔室205。凸缘适配器218可以围绕输送管216定位,从而提供附加的稳定性和对RPS单元210的支撑,否则RPS单元210可能会因支撑重量而损坏输送管216。凸缘适配器218可以接触平台212以为RPS单元210提供支撑,另外使得RPS单元210的重量不承受在输送管216上。
处理腔室205可包括气箱220,气箱220提供进出处理腔室205的通路。气箱220可限定到处理腔室205的通路,并且在实施例中,此通路可以在中心限定或位于气箱220内。输送管216可以定位或耦接在气体箱220的通路内,提供RPS单元210与处理腔室205内部之间的前驱物路径。凸缘适配器218还可以接触顶板212以分配RPS单元210的重量的至少一部分,以防止或减少输送管216上的应力。
在实施例中,间隔件222可以至少部分地限定处理腔室205的外壁和内壁。气体分配组件225可以定位在处理腔室205内靠近输送管216,并且气体分配组件225可以允许将前驱物或等离子体流出物分配到处理腔室205中。泵送衬垫230可以定位在处理腔室205的处理区域内。泵送衬垫230可以允许未反应的前驱物或等离子体流出物从处理腔室205排出。泵送衬垫230可附加地允许在蚀刻工艺中蚀刻的粒子从处理腔室205移除,以防止粒子在后续处理操作期间残留在基板上。
基座235可以包括在处理腔室205的处理区域中,并且可以被配置为在蚀刻或其他工艺操作期间支撑基板。在包括例如静电、真空或重力的各种实施例中,基座235可具有一个或多个吸附机构。基座235在实施例中可以是可旋转的或可平移的,并且可以朝向气体分配组件225升高或从气体分配组件225降低。在实施例中,基座235可包括一个或多个提升销,用于帮助将基板转移进和转移出处理腔室205。基座235可额外包括加热或冷却机构,用于在处理操作期间维持基板温度。
基座235可包括包含灯丝的镶嵌加热组件,或者可包括一个或多个管或通道,所述管或通道被配置为使温度受控的流体通过,所述温度受控的流体可以相应地升高或降低温度。基座235可包括用于支撑基板的平台,所述平台是或包括陶瓷加热器。在实施例中,陶瓷加热器可将基板加热到特定的操作温度,包括从约20℃到1000℃以上。在实施例中,陶瓷加热器可附加地将基板加热到约50℃以上、约100℃以上、约150℃以上、约200℃以上、约250℃以上、约300℃以上、约350℃以上、约400℃以上、约500℃以上或更高。在实施例中,陶瓷加热器可以附加地将基板温度维持在低于约1000℃、低于约900℃、低于约800℃、低于约700℃、低于约600℃或低于约500℃。在实施例中,陶瓷加热器可以附加地被配置为加热基板或保持基板温度在约100℃和约500℃之间,或在约300℃和约500℃之间。在实施例中,加热器被配置为将基板温度保持在约300℃以下,在这种情况下,可以使用替代的金属加热组件来代替陶瓷加热器。例如,可以使用带涂层的铝制加热器,或者在铝制或处理过的铝制基座上的嵌入或带涂层的加热器。
处理腔室205的部件可被配置为承受蚀刻或其他处理操作期间的操作环境。处理腔室205的部件可以是阳极氧化或氧化材料,包括例如硬质阳极氧化铝。处理腔室205内可能与等离子体流出物或其他腐蚀性材料接触的每个部件可被处理或涂覆以防止腐蚀。在实施例中,替代材料也可用于防止来自包括氟或氯的等离子体流出物的腐蚀。例如,在实施例中,处理腔室205内的一个或多个部件可以是陶瓷或石英。作为特定示例,气体分配组件225、间隔件222、泵送衬垫230中的一个或多个部件或可能与等离子体或非等离子体前驱物接触的任何部件可以是或包括石英或陶瓷。附加地,输送管216可以是或包括石英,诸如在输送管216内包括石英衬垫。在实施例中,输送管可以是铝或硬质阳极氧化铝,并且可由石英内表面表征。RPS单元210也可以衬有石英,从而保护内部部件免受由RPS单元210内解离的前驱物(例如包括氟或氯)引起的腐蚀。RPS单元210可包括阳极氧化金属,并且RPS单元210腔室空腔可以衬有石英以进一步防止腐蚀。
通过利用来自RPS单元210的远程等离子体,可以进一步保护处理腔室205免受由等离子体产生引起的内部腐蚀。在实施例中,处理腔室205可以不被配置为产生等离子体,并且可以在RPS单元210中的处理腔室205外部执行等离子体生成。在实施例中,可以诸如通过电容耦接等离子体在处理腔室205内执行附加的等离子体处理,尽管也可以使用其他等离子体源。例如,气箱220和气体分配组件225的一个或多个部件可以用作电极,通过这些电极可以产生电容耦接等离子体。通过减少从等离子体产生到与基板相互作用的路径长度,可以使用腔室内的附加或替代等离子体组成物来帮助等离子体流出物的重新组合。
被等离子体解离的前驱物将在一定的驻留时间后重新组合。例如,在基于氯的前驱物在RPS单元210内解离之后,前驱物或等离子体流出物可以透过输送管216流入处理腔室205,然后与基座235上的基板相互作用。取决于自由基流出物行进路径的长度,流出物或自由基可以重新组合并且至少部分地失去自由基前驱物的反应性。此外,行进路径越复杂(诸如通过各种管道或通道),系统中可包括的保护就越多,因为与等离子体流出物接触的每个部件都可以被处理或涂覆以防止腐蚀。因此,处理腔室205可包括从RPS单元210进入处理腔室205,然后通过排气室230的相对直线。此外,一旦在处理腔室205内,前驱物或等离子体流出物就可以行进通过气体分配组件225的一个或多个同线方面以接触基板。气体分配组件225的部件可用于改善朝向基板的流均匀性,但另外保持前驱物流动路径的长度减少,以减少等离子体流出物的重新组合以及在处理腔室205内的驻留时间。
图3示出了根据本技术的一些实施例的示例性半导体处理腔室300的局部横截面示意图。图3可包括以上关于图2讨论的一个或多个部件,并且可示出与腔室有关的进一步细节。在一些实施例中,腔室300被理解为包括前述的系统200的任何特征或方面。腔室300可用于执行半导体处理操作,包括如先前描述的硬掩模材料的沉积、以及其他沉积、移除和清洁操作。腔室300可示出半导体处理系统的处理区域的局部视图,并且可以不包括所有部件,这些部件应理解为并入腔室300的一些实施例中。
如上所述,图3可示出处理腔室300的一部分。腔室300可包括多个盖堆叠部件,盖堆叠部件可促进材料通过处理腔室300输送或分布到处理区域305中,例如诸如基板306可以定位在基座310上的区域中。腔室盖板315可以跨盖堆叠的一个或多个板延伸,并且可以为部件提供结构支撑,诸如远程等离子体源(“RPS”)单元370,RPS单元370可提供用于腔室清洁或其他处理操作的前驱物或等离子体流出物。RPS单元370可以稳定在腔室盖板315上。一些实施例可利用附加的支撑构件(未示出),支撑构件可在处理腔室300周围的一个或多个位置处与处理腔室300耦接,以适当地分配RPS单元370的重量,从而保护部件免受与RPS单元370的重量相关的剪切应力或其他应力的影响。RPS单元370可包括至少一个出口372,前驱物或等离子体流出物可通过此出口372输送至腔室300。
输出歧管320可以安置在盖板315上和/或内。例如,输出歧管320可包括凸缘和中心主体部分,凸缘位于盖板315顶部,中心主体部分部分延伸到盖板315中形成的孔中。输出歧管320可限定一个或多个气体入口322,气体入口322与RPS单元370的出口流体耦接。输出歧管320可包括一个或多个与气体入口322流体耦接的气体出口324。例如,输出歧管320可限定递归流动路径,流动路径将气体入口322与气体出口324流体耦接。递归流动路径可由多个通道形成,这些通道将来自气体入口322的气流分成更多数量的气体出口324。仅作为一个示例,一个气体入口322可以被递归流动路径分成四个气体出口324。输出歧管320可限定中心孔328,中心孔328可与RPS单元370的出口372流体耦接。中心孔328可用作输出歧管320的入口和出口。
处理腔室300还可包括定位在输出歧管320下方的气箱330。气箱330可由第一表面331和第二表面332表征,第一表面331在入口侧上,第二表面332在可与第一表面相对的出口侧上。气箱330可包括限定中心流体管腔335的内壁334。内壁334的全部或一部分可从入口侧到出口侧向外逐渐变细,使得中心流体管腔335为从RPS单元370和/或输出歧管320流动的气体提供膨胀容积。内壁334的锥度可以沿着内壁334的整个长度是恒定的,使得中心流体管腔335具有大致圆锥截头体形状。例如,内壁334相对于垂直方向的锥度可以是大于或约45°、大于或约50°、大于或约55°、大于或约60°、大于或约65°、大于或约70°、大于或约75°、大于或约80°、或更大。内壁334的锥度可以仅沿着壁的一部分是恒定的。例如,内壁334可包括具有不同锥度的两个或更多个部分。仅作为一个示例,内壁334的顶部可具有相对于垂直更陡的锥度,而内壁334的下部可以具有较小的锥度。例如,内壁334的顶部可以具有相对于垂直方向的小于或约70°、小于或约65°、小于或约60°、大于或约55°、或较小的锥度。内壁334的下部可具有相对于垂直方向大于或约55°、大于或约60°、大于或约65°、大于或约70°、大于或约75°,大于或约80°、或更大的锥度。内壁334可以线性向外逐渐变细和/或可以以弯曲方式向外逐渐变细。
中心流体管腔335可与中心孔328流体耦接,使得从RPS单元370的出口372流出的清洁等离子体和/或处理气体可以经由中心孔328输送到中心流体管腔335。中心流体管腔335的顶部可在输出歧管320的气体出口324径向向内定位。可在气箱330的第一表面331中形成凹部337,凹部337可在气体出口324与中心流体管腔335之间延伸并且将气体出口324与中心流体管腔335流体耦接。凹部337和/或与凹部337流体连通的通道可从等离子体出口324径向向内延伸到中心流体管腔335的顶部。凹部337的底座可限定突出部339,突出部339有助于阻挡来自气体出口324的气流,并且将气流横向向内引导到中心流体管腔335的顶部,这可以帮助更均匀地将气体分布在中心流体管腔335内。当气体向下流过由中心流体管腔335提供的膨胀容积时,气体可以向外膨胀。
气箱330还可以限定一个或多个通道,这些通道可以通过气箱330以流体方式进出,并且可以允许多种前驱物通过盖堆叠以各种流动分布进行输送。例如,气箱330可限定在气箱330内延伸的环形通道340,并且环形通道340可从第一表面331凹进。如下文将进一步解释的,环形通道340可通过入口孔以流体方式进出,入口孔可定位在气箱330周围的任何位置,并且可为要从气体面板或歧管输送的一种或多种前驱物提供耦接。入口孔可延伸穿过第一表面331,用于将前驱物提供到气箱330中。在一些实施例中,环形通道340可与气箱330的中心流体管腔335同心。气箱330还可限定一个或多个出口孔342。出口孔342可被限定通过环形通道340,并且可从环形通道340延伸通过气箱330的第二表面332。因此,通过气箱330输送到环形通道340中的一种或多种前驱物可绕过RPS单元370并输送到气箱330的一个或多个外部区域。
气箱330可包括附加特征。例如,气箱330可限定冷却通道344,冷却通道344可允许冷却流体绕气箱330流动,并且可允许附加的温度控制。如图所示,冷却通道344可以被限定在气箱330的第一表面331中,并且盖可以围绕冷却通道延伸以形成气密密封。冷却通道344可以围绕中心流体管腔335延伸,并且也可以与中心流体管腔335同心。如图所示,环形通道340可以被形成或限定在气箱330内,在冷却通道344与气箱330的第二表面之间。在一些实施例中,环形通道340可以与冷却通道344垂直对齐,并且可以在气箱330的深度内从冷却通道344偏移。为了形成环形通道340,在一些实施例中,气箱330可包括一个或多个堆叠板。板可以被接合、焊接或以其他方式耦接在一起以形成完整的结构。
例如,气箱330可包括至少一个板,并且可包括两个、三个、四个或更多个板,这取决于所形成的特征。如图所示,气箱330可包括两个或三个板,这可允许形成多个路径以进一步将前驱物分配到环形通道340。例如,对于单点输送,可通过调节通道内相对于出口孔的传导率来实现均匀性。然而,透过利用限定在气箱330内的一个或多个传导路径,前驱物可以被输送到环形通道340内的多个位置,这可以增加通过气箱330的输送的均匀性,并且可以允许更大直径的出口孔而不牺牲输送均匀性。
在一些实施例中,半导体处理腔室300还可包括附加部件,诸如环形间隔件350和面板355。面板355可限定多个孔,这些孔延伸穿过面板355的厚度,使得前驱物和/或等离子体流出物能够被输送到处理区域305,处理区域305可以至少部分地由面板355从上方限定。环形间隔件350的内径可以定位在面板355的孔的径向外侧,以便不阻碍通过面板355的气体流动。环形间隔件350可限定与中心流体管腔335流体耦接的容积352。容积352可以是穿过盖堆叠的第一位置,在第一位置处输送到气箱330的中心流体管腔335的前驱物和输送到气箱330的环形通道340的前驱物可以混合。容积352可从中心流体管腔335和出口孔342两者以流体方式进出。输送到容积352中的前驱物然后可以在继续通过盖堆叠之前至少部分地混合或重叠。通过在接触基板表面之前允许一定量的混合,可提供一定量的重叠,这可在基板处产生更平滑的过渡,并且可限制界面在膜或基板表面上形成。
环形间隔件350的内壁可从中心流体管腔335的底端径向向外定位。这可导致中心流体管腔335的底端和容积352之间的阶梯式过渡,这允许气流在进入容积352时膨胀到面板355的完全暴露区域。例如,容积352可具有大致矩形的横截面,使得引入中心流体管腔335的气体在膨胀到容积352之前最初由截头形内壁334约束,容积352由环形间隔件350的内壁约束,环形间隔件350的内壁的直径大于气箱330的内壁334的底部的直径。
腔室300可包括锥形插入件360,锥形插入件360定位在由环形间隔件350的内壁限定的容积352内。例如,锥形插入件360的尺寸和形状可以被确定为可被接收在环形间隔件350内并邻接环形间隔件350的内壁。锥形插入件360可包括内壁,内壁从气箱330的出口侧到在面板355的孔之外的径向位置向外逐渐变细。锥形插入件360的内壁的顶部边缘可以与气箱330的内壁334的底部边缘对齐,使得由中心流体管腔335和容积352限定的膨胀容积通常是连续的和不间断的。在一些实施例中,锥形插入件360的内壁的锥度可以匹配气箱330的内壁334的锥度,而在其他实施例中,内壁的锥度可以不同。在各种实施例中,锥形插入件360和环形间隔件350可以是单独的部件或可以是单个单元。
通过在气箱330内和/或下方提供锥形膨胀容积,可以实现更好的仅RPS清洁均匀性和更宽的覆盖范围。特定而言,提供离面板355更远的膨胀容积为前驱物和等离子体流出物提供更多的空间和距离以径向向外膨胀,从而更有效地将清洁气体分配到面板355的外周边和其他腔室部件,诸如基座310的边缘和/或泵送衬垫。清洁气体向面板外周边的增加分布也可以帮助防止在某些沉积过程期间发生电弧,诸如那些使用导电元素(诸如碳)的沉积过程。此外,这样的气箱设计可帮助通过面板355更均匀地分布沉积气体以在晶片上产生更均匀的膜。
图4示出了根据本技术的一些实施例的示例性基板处理腔室400的局部横截面示意图。图4可包括以上关于图2与图3讨论的一个或多个部件,并且可示出与腔室有关的进一步细节。腔室400被理解为包括系统200和/或腔室300的任何特征或方面。腔室400可示出半导体处理系统的处理区域的局部视图,并且可以不包括所有部件,这些部件应理解为并入腔室400的一些实施例中。腔室400可包括处理区域405,诸如基板406可以定位在基座410、RPS单元470和输出歧管420上的处理区域405。在一些实施例中,半导体处理腔室400还可包括附加部件,诸如环形间隔件450和面板455。在一些实施例中,腔室400可包括与上述锥形插入件360类似的锥形插入件。
图5示出了输出歧管420的示意性透视图。输出歧管420可限定一个或多个气体入口422,气体入口422与RPS单元470的出口472流体耦接。输出歧管420可包括与气体入口422流体耦接的一个或多个气体出口424。例如,输出歧管420可限定递归流动路径,流动路径将气体入口422与气体出口424流体耦接。递归流动路径可由多个通道426形成,这些通道426将来自气体入口422的气流分成更多数量的气体出口424。如图所示,单个等离子体入口422限定在输出歧管420的横向侧内。等离子体入口422与通道426流体耦接,通道426将进入的气流分成两个分支。两个分支中的每一个都具有将气体引导至两个附加通道426的出口,通道426进一步将每个分支分成两部分。以这种方式,来自单个等离子体入口422的流可以被限定递归流动路径的通道426分成四个气体出口424。输出歧管420可限定中心孔428,中心孔428可与RPS单元470的出口流体耦接。中心孔428可用作输出歧管420的入口和出口。
如图4中所示,处理腔室400还可包括定位在输出歧管420下方的气箱430。气箱430可由第一表面431和第二表面332表征,第一表面431在入口侧上,第二表面332在可与第一表面相对的出口侧上。气箱430可包括限定中心流体管腔435的内壁434。内壁434的全部或一部分可从入口侧到出口侧向外逐渐变细,使得由内壁434限定的中心流体管腔435为从RPS单元470和/或输出歧管420流动的气体提供膨胀容积。如图所示,内壁434包括具有不同锥度的两个部分。例如,如图所示,内壁434的顶部具有比内壁434的下部更陡的锥度。应当理解,内壁434的多于两个部分可具有不同的锥度,并且可以以任何顺序布置更陡和/或更平的锥度的相对位置。在各种实施例中,内壁434的锥度可以沿着内壁434的全部或部分长度是恒定的。内壁434可以线性向外逐渐变细和/或可以以弯曲方式向外逐渐变细。
处理腔室400可包括设置在输出歧管420与气箱430之间的间隔件480。例如,间隔件480可以安置在气箱430的顶部。间隔件480可将来自输出歧管420的气流分配到气箱430中。例如,间隔件480可包括内壁482,内壁482限定锥形管腔485,来自输出歧管420的气体可通过锥形管腔485流入气箱430。锥形管腔485可以设置在间隔件480的中心内并且可以与气箱430的中心流体管腔435对齐。内壁482的全部或一部分可以从歧管侧到气箱侧向外逐渐变细,使得锥形管腔485作为从RPS单元470和/或输出歧管420流出的气体的膨胀容积的起始点。内壁482的锥度可以沿着内壁482的整个长度是恒定的,使得锥形管腔485具有大致圆锥截头体形状。内壁482的锥度可以沿着壁的全部或一部分是恒定的。内壁482可以线性向外逐渐变细和/或可以以弯曲方式向外逐渐变细。内壁482的底端可具有至少与内壁434的顶端直径实质匹配的直径,这可以使得在间隔件480和气箱430的锥形内壁内提供的膨胀容积是连续的。在一些实施例中,间隔件480的内壁482的锥度可以匹配气箱430的内壁434的锥度,而在其他实施例中锥度可以不同。例如,内壁482的全部或部分可以以比内壁434的全部或部分更陡峭的方式逐渐变细,这可以使向下流过间隔件480和气箱430的气体更快速地径向膨胀。
图6是在一些实施例中可由陶瓷材料形成的间隔件480的示意性等距视图。间隔件480可限定至少一个流体入口484,流体入口484可与输出歧管420的气体出口424流体耦接。流体入口484可设置在锥形通道485的径向外侧并且可将气流从输出歧管420引导到锥形管腔485中。例如,流体入口484可包括通道(诸如环形通道),通道经由由间隔件480限定的一个或多个通道486将气流向内引导至锥形管腔485。例如,通道486可包括径向布置的通道,这些通道在流体入口484与锥形管腔485的顶端之间延伸并且流体耦接流体入口484和锥形管腔485的顶端。除了将气体引导到锥形管腔485中,通道486还可以将来自流体入口484的气流分成更多数量的流体路径,这可以更均匀地将气体分布在由间隔件480和气箱430的锥形内壁形成的膨胀容积内。例如,间隔件480可包括多于或约4个径向通道486、多于或约6个径向通道486、多于或约8个径向通道486、多于或约10个径向通道486、多于或约12个径向通道486、多于或约14个径向通道486、多于或约16个径向通道486、多于或约18个径向通道486、多于或约20个径向通道486或更多,其中更多数量的通道486使气体更均匀地分布到锥形管腔485中。
通过提供距面板455更远的锥形膨胀容积,可以实现更好的仅RPS清洁均匀性。具体地,膨胀容积的高位置使得清洁等离子体/气体能够更均匀地分布到面板455的外周和相邻区域(诸如基座边缘和/或泵送衬垫)。清洁气体/等离子体的增加分布还可以帮助防止在某些沉积过程中发生电弧放电(诸如那些利用碳等导电元素的沉积过程),并防止在沉积循环中的二次落下缺陷。此外,这样的间隔件/气箱设计可帮助通过面板455更均匀地分布沉积气体以在晶片上产生更均匀的膜。
图7示出了根据本技术的一些实施例的将气体分配到面板的方法700。方法700可包括,在操作710处,将气体和/或等离子体从输出歧管的至少一个出口流入气箱的中心流体管腔。可经由RPS单元将气体和/或等离子体引入输出歧管。例如,清洁等离子体可直接从RPS单元的等离子体出口流入中心流体管腔,而不通过任何递归流动路径。从RPS单元的主要出口直接注入到中心流体管腔的清洁等离子体可在至少部分地由中心流体管腔限定的膨胀容积内径向向外膨胀。处理气体可直接流入中心流体管腔和/或可经由在输出歧管的入口和出口之间延伸的递归流动路径流入中心流体管腔。一旦到达中心流体管腔,处理气体就可在至少部分地由气箱的中心流体管腔限定的膨胀容积内向外膨胀。在其他实施例中,气体可经由定位在输出歧管和气箱之间的间隔件流入中心流体管腔。间隔件可将来自输出歧管的气流分成更多数量的流体通道,这些流体通道将气体引导到间隔件的锥形管腔中,锥形管腔与气箱的中心流体管腔流体耦接。锥形管腔可进一步限定膨胀容积。中心流体管腔和/或锥形管腔可包括从相应部件的入口侧到出口侧向外逐渐变细的内壁,以提供膨胀容积。
在操作720处,气体可以流过设置在气箱下方的面板内限定的多个孔。气体可包括前驱物、等离子体流出物和/或可作为沉积和/或其他晶片处理应用的一部分流动的其他处理气体,和/或可包括流动以移除膜和/或在腔室部件(诸如面板)上的其他残留物沉积的清洁气体。通过使气体流入至少部分地由间隔件和/或气箱的内部限定的膨胀容积,气体可以更均匀地分布在面板的孔上,这可导致晶片上的更好的膜均匀性和/或更好地清洁面板,尤其是在面板周边区域。
在上文说明中,为了解释的目的,阐述了多种细节,从而提供对本技术的各种实施例的理解。然而对本领域技术人员将显而易见的是,某些实施例可在没有这些细节中的一些细节或具有附加细节的情况下实践。
已经公开了若干实施例,本领域技术人员将认识到,可使用各种修改、替代性构造和等价物,而不脱离所实施例的精神。此外,并未描述一些公知的工艺和要素,以避免不必要地混淆本技术。因此,上文的描述不应被认为是限制本技术的范围。
在提供值的范围的情况下,应当理解,除非上下文另有明确规定,否则还具体公开了此范围的上限和下限之间的每个中间值,至下限单位的最小部分。在所述范围内的任何陈述值或未陈述的中间值与所述范围内的任何其他陈述或中间值之间的任何较窄范围都包括在内。这些较小范围的上限和下限可以独立地包括在此范围内或排除在此范围外,且包括上下限中的一者、两者、或皆不包括的较小范围中的每一范围也被涵盖在本技术内,且受制于所陈述范围中任何特别排除的限制。在所陈述的范围包括上下限中的一者或两者的情况下,也包括了排除了这些上下限中的任一者或两者的范围。
如本文和所附权利要求书中所使用的,单数形式“一(a/an)”和“该(the)”包括复数引用,除非上下文清楚指示并非如此。因此,例如,对“一孔”的引用包括多个这样的孔,且对于“该板”的引用包括对一个或多个板以及对本领域技术人员已知的等价物的引用,诸如此类。
此外,本说明书和下列权利要求书中使用的词“包括(comprise(s))”、“包括有(comprising)”、“包含(contain(s))”、“包含有(containing)”、“包括(include(s))”和“包括有(including)”旨在指明所陈述的特征、整数、部件、或操作的存在,但他们不排除一个或多个其他特征、整数、部件、操作、动作、或组的存在或添加。

Claims (20)

1.一种半导体处理系统,包括:
输出岐管,所述输出岐管限定至少一个气体出口;
气箱,所述气箱设置在所述输出岐管下方,所述气箱包括面向所述输出岐管的入口侧和与所述入口侧相对的出口侧,所述气箱包括内壁,所述内壁限定中心流体管腔,其中所述内壁从所述入口侧朝向所述出口侧向外逐渐变细;
环形间隔件,所述环形间隔件设置在所述气箱下方,其中所述环形间隔件的内径大于所述中心流体管腔的最大内径;以及
面板,所述面板设置在所述环形间隔件下方,所述面板限定多个孔,所述多个孔延伸穿过所述面板的厚度。
2.如权利要求1所述的半导体处理系统,其中:
所述至少一个气体出口设置在所述中心流体管腔的顶部的径向外侧;
所述气箱的所述入口侧限定凹部,所述凹部与所述至少一个气体出口流体耦接;并且
所述凹部的底部限定突出部,所述突出部延伸至所述中心流体管腔的所述顶部的外边缘。
3.如权利要求1所述的半导体处理系统,其中:
所述输出歧管限定递归流动路径,所述递归流动路径将一个或多个气体入口与所述至少一个气体出口流体耦接;并且
所述至少一个气体出口的数量大于所述一个或多个气体入口的数量。
4.如权利要求1所述的半导体处理系统,进一步包括:
锥形插入件,所述锥形插入件设置在所述环形间隔件内,所述锥形插入件从所述气箱的所述出口侧到超过所述多个孔的径向位置向外逐渐变细。
5.如权利要求1所述的半导体处理系统,进一步包括:
间隔件,所述间隔件设置在所述输出歧管与所述气箱之间,其中:
所述间隔件限定至少一个入口,所述至少一个入口与所述至少一个气体出口流体耦接;并且
所述间隔件的内壁限定锥形管腔,所述锥形管腔流体耦接在所述至少一个入口与所述气箱的所述中心流体管腔之间,所述锥形管腔在所述气箱的所述入口侧的方向上向外逐渐变细。
6.如权利要求5所述的半导体处理系统,其中:
所述间隔件限定了在所述至少一个入口与所述锥形管腔之间延伸的多个通道,所述多个通道将来自所述至少一个入口的流动路径扩展成更多数量的流体路径。
7.如权利要求6所述的半导体处理系统,其中:
所述至少一个入口包括环形凹部;并且
所述多个通道包括从所述环形通道向内延伸到所述锥形通道的径向布置的通道。
8.如权利要求5所述的半导体处理系统,其中:
所述锥形管腔的锥度在所述间隔件和所述气箱的界面处与所述中心流体管腔的所述锥度相匹配。
9.如权利要求1所述的半导体处理系统,其中:
所述环形间隔件的内径定位在所述多个孔的径向外侧。
10.如权利要求1所述的半导体处理系统,进一步包括:
远程等离子体源,所述远程等离子体源限定出口,所述出口与所述输出歧管的入口流体耦接。
11.一种半导体处理系统,包括:
远程等离子体源,所述远程等离子体源限定至少一个出口;
输出歧管,所述输出岐管限定至少一个气体入口和至少一个气体出口,所述至少一个等离子体入口与所述远程等离子体源的所述至少一个出口流体耦接;
气箱,所述气箱设置在所述输出岐管下方,所述气箱包括面向所述输出岐管的入口侧和与所述入口侧相对的出口侧,所述气箱包括内壁,所述内壁限定中心流体管腔,其中所述内壁从所述入口侧朝向所述出口侧向外逐渐变细;以及
面板,所述面板设置在所述气箱下方,所述面板限定多个孔,所述多个孔延伸穿过所述面板的厚度。
12.如权利要求11所述的半导体处理系统,其中:
所述中心流体管腔的所述内壁的锥度沿所述中心流体管腔的长度是恒定的。
13.如权利要求11所述的半导体处理系统,其中:
所述中心流体管腔的所述内壁的锥度沿所述中心流体管腔的长度变化。
14.如权利要求11所述的半导体处理系统,进一步包括:
间隔件,所述间隔件设置在所述输出歧管与所述气箱之间,其中:
所述间隔件限定至少一个入口,所述至少一个入口与所述至少一个等离子体出口流体耦接;和
所述间隔件的内壁限定锥形管腔,所述锥形管腔流体耦接在所述至少一个入口和所述气箱的所述中心流体管腔之间。
15.如权利要求14所述的半导体处理系统,其中:
所述间隔件限定了在所述至少一个入口和所述锥形管腔之间延伸的多个通道。
16.如权利要求11所述的半导体处理系统,其中:
所述输出歧管限定递归流动路径,所述递归流动路径将所述至少一个气体入口与所述至少一个气体出口流体耦接。
17.一种向面板分配气体的方法,包括:
使气体和等离子体中的一者或两者从输出歧管的至少一个出口流入气箱的中心流体管腔,其中所述中心流体管腔由所述气箱的内壁限定,所述内壁从所述气箱的入口侧朝向所述气箱的出口侧向外逐渐变细;以及
使所述气体和所述等离子体中的所述一者或多者流过限定在面板内的多个孔,所述面板设置在所述气箱下方。
18.如权利要求17所述的向面板分配气体的方法,其中:
使所述气体和所述等离子体中的所述一种或两种流入所述气箱的所述中心流体管腔包括:将所述气体和所述等离子体中的所述一种或两种的流从至少一个出口分流到间隔件内的更多数量的流体通道中,所述间隔件设置在所述输出歧管与所述气箱之间。
19.如权利要求17所述的向面板分配气体的方法,进一步包括:
使所述气体和所述等离子体中的所述一者或两者从远程等离子体源流到所述输出歧管的入口。
20.如权利要求19所述的向面板分配气体的方法,进一步包括:
使所述气体和所述等离子体中的所述一者或两者流过在所述输出歧管的所述入口和所述至少一个出口之间延伸的递归流动路径。
CN202280030924.9A 2021-03-26 2022-03-18 均匀的原位清洗和沉积 Pending CN117203749A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/213,947 US11742185B2 (en) 2021-03-26 2021-03-26 Uniform in situ cleaning and deposition
US17/213,947 2021-03-26
PCT/US2022/020863 WO2022203946A1 (en) 2021-03-26 2022-03-18 Uniform in situ cleaning and deposition

Publications (1)

Publication Number Publication Date
CN117203749A true CN117203749A (zh) 2023-12-08

Family

ID=83363601

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202280030924.9A Pending CN117203749A (zh) 2021-03-26 2022-03-18 均匀的原位清洗和沉积

Country Status (6)

Country Link
US (2) US11742185B2 (zh)
JP (1) JP2024511201A (zh)
KR (1) KR20230159579A (zh)
CN (1) CN117203749A (zh)
TW (1) TWI814291B (zh)
WO (1) WO2022203946A1 (zh)

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002305179A (ja) * 2001-04-05 2002-10-18 Matsushita Electric Ind Co Ltd プラズマ処理方法
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
CN101657565A (zh) * 2007-04-17 2010-02-24 株式会社爱发科 成膜装置
US20120312234A1 (en) 2011-06-11 2012-12-13 Tokyo Electron Limited Process gas diffuser assembly for vapor deposition system
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
WO2014178160A1 (ja) * 2013-04-30 2014-11-06 東京エレクトロン株式会社 成膜装置
US10083818B2 (en) 2014-09-24 2018-09-25 Applied Materials, Inc. Auto frequency tuned remote plasma source
JP5951095B1 (ja) * 2015-09-08 2016-07-13 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US11094511B2 (en) 2018-11-13 2021-08-17 Applied Materials, Inc. Processing chamber with substrate edge enhancement processing

Also Published As

Publication number Publication date
US20230402261A1 (en) 2023-12-14
WO2022203946A1 (en) 2022-09-29
TW202245029A (zh) 2022-11-16
US20220310360A1 (en) 2022-09-29
TWI814291B (zh) 2023-09-01
TW202403859A (zh) 2024-01-16
US11742185B2 (en) 2023-08-29
JP2024511201A (ja) 2024-03-12
KR20230159579A (ko) 2023-11-21

Similar Documents

Publication Publication Date Title
US11735441B2 (en) Systems and methods for improved semiconductor etching and component protection
CN109155250B (zh) 用于改良的半导体蚀刻及部件保护的系统与方法
US20180258531A1 (en) Diffuser design for flowable cvd
US11742185B2 (en) Uniform in situ cleaning and deposition
TW202213426A (zh) 遠程電漿清潔(rpc)方向流裝置
US11862475B2 (en) Gas mixer to enable RPS purging
US20230120710A1 (en) Downstream residue management hardware
CN111799143B (zh) 半导体处理腔室多阶段混合设备
US20220108872A1 (en) Bevel backside deposition elimination
KR20200078383A (ko) 기판 프로세싱 챔버들 및 기판 프로세싱 챔버들을 배기시키는 방법들

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination