TWI465294B - 具有多氣體直通道之噴頭 - Google Patents

具有多氣體直通道之噴頭 Download PDF

Info

Publication number
TWI465294B
TWI465294B TW97139813A TW97139813A TWI465294B TW I465294 B TWI465294 B TW I465294B TW 97139813 A TW97139813 A TW 97139813A TW 97139813 A TW97139813 A TW 97139813A TW I465294 B TWI465294 B TW I465294B
Authority
TW
Taiwan
Prior art keywords
gas
gas injection
showerhead
passage
precursor
Prior art date
Application number
TW97139813A
Other languages
English (en)
Other versions
TW200927296A (en
Inventor
Brian H Burrows
Alexander Tam
Ronald Stevens
Kenric T Choi
James D Felsch
Jacob Grayson
Sumedh Acharya
Sandeep Nijhawan
Lori D Washington
Nyi O Myo
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200927296A publication Critical patent/TW200927296A/zh
Application granted granted Critical
Publication of TWI465294B publication Critical patent/TWI465294B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C30B29/403AIII-nitrides
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87153Plural noncommunicating flow paths

Description

具有多氣體直通道之噴頭
本發明的實施例一般涉及用於在基材上化學氣相沉積(CVD)的方法和設備,更具體地說,涉及一種在金屬有機化學氣相沉積和/或氫化物氣相磊晶(HVPE)中使用的噴頭設計。
III-V族膜在諸如短波長光發射二極體(LED)、鐳射二極體(LD)的多種半導體裝置,以及包括高功率、高頻、高溫電晶體和積體電路的電子裝置的發展和製造中越來越重要。例如,利用III族氮化半導體處理氮化鎵(GaN)來製造短波長(例如,藍/綠到紫外)LED。已經觀察到利用GaN製造的短波長LED能夠提供比利用諸如II-VI族材料的非氮化半導體材料製造的短波長LED明顯更大的效率和更長的操作壽命。
已經用於沉積諸如GaN的III族氮化物的一種方法是金屬有機化學氣相沉積(MOCVD)。這種化學氣相沉積方法一般在具有溫度可控的環境的反應器中執行以確保包含來自III組的諸如鎵(Ga)的至少一種元素的第一前驅物氣體的穩定性。諸如氨(NH3 )的第二前驅氣體,提供形成III族氮化物所需的氮。這兩種前驅物氣體被注入到反應器內的處理區域,在反應器內他們混合並朝處理區域中的加熱基材運動。或氣可以用於輔助前驅物氣體朝基材的傳輸。前驅物在加熱的基材的表面上反應以在基材表面上形成諸如GaN的III組氮化物層。膜的質量部分取決於沉積均勻性,反過來,取決於跨過基材表面的前驅物的均勻混合。
多個基材可以佈置在基材支架上並且每個基材可以具有從50mm到100nm或更大的直徑。期望在更大基材和/或更多基材和更大的沉積區域上前驅物的均勻混合,以便增加產率和產量。由於這些因素直接影響生產電子裝置的成本,因而影響裝置製造商在市場中的競爭力,因此它們很重要。
隨著對LED、LD、電晶體和積體電路的需求增加,沉積高質量III族氮化物膜的效率更加重要。因此,需要有能夠提供在較大的基材和較大的沉積區域上和均勻前驅物混合和均勻膜質量的一種改進的沉積設備和製程。
本發明一般提供用於利用MOCVD和/或HVPE沉積III族氮化物膜的改進的方法和設備。
一個實施例提供一種用於在基材上沉積的氣體傳送設備。該設備一般包括用於第一前驅物氣體的多個直的和平行的氣流通道以及用於第二前驅物氣體的多個直的和平行的氣流通道,其中用於第一前驅物氣體的氣流通道平行於用於第二期前物氣體的氣流通道。
另一實施例提供用於一種在基材上沉積的氣體傳送設備。該設備一般包括第一氣流通道、第二氣流通道、與第一氣流通道流體相通的多個氣體注入孔、與第二氣流通道流體相通的多個第二氣體注入孔、以及設置在遠離第一和第二氣體注入孔的下游的混合通道,用於將通過第一氣體注入孔注入的第一氣體和通過第二氣體注入孔注入的第二氣體混合。
在又一實施例中,公開了用於一種在基材上沉積的氣體傳送設備。該設備包括第一氣流通道、第二氣流通道、與第一和第二氣流通道中的每個流體相通的氣體注入孔、以及熱交換通道,其設置在氣體注入孔之間,並形成在經過氣體注入孔朝基材處理容積的氣體注入的方向延伸的壁內,其中壁的外部界定混合通道,第一氣體和第二氣體通過氣體注入孔注入到混合通道中以在其中進行混合。
在另一實施例中,公開了一種用於在基材上沉積的氣體傳送設備。該設備包括第一氣流通道、第二氣流通道、與第一和第二氣流通道中的每個流體相通的氣體注入孔,其中氣體注入孔被佈置為界定多個實質上楔形形狀的氣體注入區域,具有用於注入氣體的氣體注入孔的每個氣體注入區域不同於相鄰的氣體注入區域。
在一個實施例中,公開了用於一種在基材上沉積的方法。該方法包括使用具有混合區域的噴頭設備,該方法還包括在形成於噴頭中的通道中流入第一和第二氣體,以及將在通道中流動的第一和第二氣體注入混合區域中。
本發明的實施例一般涉及可以用於利用MOCVD和/或HVPE沉積III族氮化物膜的一種方法和設備。圖1A是根據本發明的一個實施例的可以用於實施本發明的沉積設備的示意圖。在2006年4月14日提交的美國專利申請序列號No. 11/404,516和11/429,022中描述了可以適用于實施本發明的示例性系統和室,在此引入兩者的全部內容作為參考。
圖1A所示的設備100包括室102、氣體傳送設備125、遠端電漿源126和真空系統112。室102包括包圍處理容積108的腔室主體103。噴頭元件104設置在處理容積108的一端,基材支架114設置在處理容積108的另一端。下部圓頂119設置在下部容積110的一端,而基材支架114設置在下部容積110的另一端。基材支架114在處理位置示出,還可以移動到例如,可以裝載或卸載基材140的下部位置。排氣環120可以圍繞基材支架114的週邊設置以有助於防止沉積發生在下部容積110中,並還幫助將廢棄從室102直接引導到排氣埠109。下部圓頂119可以由諸如高純度石英的透明材料製成,以允許光通過,便於基材140的輻射加熱。可以利用設置在下部圓頂119下方的多個內部燈121A和外部燈121B提供輻射加熱,反射器166可以用於幫助控制室102暴露於由內部和外部燈121A、121B提供的輻射能量中。還可以使用燈的附加環用於基材140的更精確的溫度控制。
基材支架114可以包括一個或多個凹槽116,其中在處理期間一個或多個基材140可以設置在凹槽內。基材支架114可以承載6個或更多基材140。在一個實施例中,基材支架114承載8個基材140。需要理解更多或更少基材140可以承載在基材支架114上。典型基材140可以包括藍寶石、碳化矽(SiC)、矽、或氮化鎵(GaN)。需要理解可以處理諸如玻璃基材140的其他類型基材140。基材140的直徑尺寸可以為從50mm-100mm的範圍或更大。基材支架114尺寸可以為從200mm-750mm的範圍。基材支架114可以由包括SiC或SiC覆蓋的石墨形成。需要理解具有其他尺寸的基材140可以在室102內並根據在此描述的製程來處理。如在此描述的,與傳統MOCVD室比較,噴頭元件104可以允許在跨過更多數量的基材140和/或更大基材140表面上的更均勻沉積,從而增加產量並降低每個基材140的處理成本。
在處理期間基材支架114可以圍繞軸旋轉。在一個實施例中,基材支架114可以以約2RPM到約100RPM旋轉。在另一實施例中,基材支架114可以以約30RPM旋轉。旋轉基材支架114有助於提供基材140的更均勻加熱和處理氣體更均勻暴露給每個基材140。
多個內部和外部燈121A、121B可以佈置在同心環或區域(未示出)中,並且每個燈區域可以獨立供電。在一個實施例中,諸如高溫計的一個或多個溫度感測器,可以設置在噴頭元件104內以測量基材140和基材支架114溫度,並且溫度資料可以發送給控制器(未示出),該控制器可以調節提供給單獨燈區域的電力以維持跨過基材支架114的表面的預定溫度分佈。在另一實施例中,可以調節提供給單獨燈區域的電力以對前驅物流或前驅物濃度不均勻性進行補償。例如,如果在靠近外部燈區域的基材支架114的前驅物濃度較低,則可以調節提供給外部燈區域的電力以幫助對在該區域中損耗的前驅物進行補償。
內部和外部燈121A、121B可以將基材140加熱到約400攝氏度到約1200攝氏度的溫度。需要理解本發明不限於使用內部和外部燈121A、121B陣列。可以採用任何合適的加熱源來保證適當的溫度足以施加給室102和在其中的基材140。例如,在另一實施例中,熱源可以包括與基材支架114熱接觸的電阻加熱元件(未示出)。
氣體傳送系統125可以包括多個氣體源,或取決於執行的製程,一些源可以是液體源,而不是氣體,在這種情況中,氣體傳送系統可以包括液體注入系統或蒸發該液體的其他設備(例如,起泡器)。然後,蒸汽在傳送到室102之前可以與載氣混合。不同氣體,諸如前驅物氣體、載氣、淨化氣體、清潔/蝕刻氣體或其他可以由氣體傳送系統125提供給單獨的供應線路131、132和133至噴頭元件104。供應線路131、132和133可以包括截止閥門和質量流量計或其他類型的控制器來控制和調節或截斷每條線路中的氣流。
導管(conduit)129可以接收來自遠端電漿源126的清潔/蝕刻氣體。遠端電漿源126可以接收來自氣體傳送系統125經過供應線路124的氣體,閥門130可以設置在噴頭元件104和遠端電漿源126之間。可以打開閥門130以允許清潔和/或蝕刻氣體或電漿經由供應線路133流入噴頭元件104,所述供應線路133可以適用於起對於電漿的導管的作用。在另一實施例中,設備100可以不包括遠端電漿源126,以及清潔/蝕刻氣體可以利用對於噴頭元件104的交替供應線路由氣體傳送系統125傳送,便於非電漿清潔和/或蝕刻。
遠端電漿源126可以是適用于室102清潔和/或基材140蝕刻的射頻或微波電漿源。清潔和/或蝕刻氣體可以經由供應線路124提供給遠端電漿源126以產生電漿物種,該電漿物種可以經由導管129和供應線路133傳送,以便通過噴頭元件104的擴散到室102中。用於清潔應用的氣體可以包括氟、氯或其他反應元素。
在另一實施例中,氣體傳送系統125和遠端電漿源126可以相配適應以便前驅物氣體可以提供給遠端電漿源126以產生電漿物種,該電漿物質可以通過噴頭元件104傳送以例如,在基材140上沉積諸如III-V膜CVD層。
淨化氣體(例如,氮氣)可以從噴頭元件104和/或從設置在基材支架114下方和靠近腔室主體103的底部的氣體進氣口或管子(未示出)傳送到室102中。淨化氣體進入室102的下部容積110並向上流過基材支架114和排氣環120,並進入圍繞環形排氣通道105設置的多個排氣口109。排氣導管106使環形排氣通道105連接到真空系統112,該真空系統包括真空泵(未示出)。可以利用閥門系統107控制室102壓力,所述閥門系統107控制排放氣體從環形排氣通道105抽吸的速率。
圖1B是圖1A所示的沉積室的詳細視圖。噴頭元件104在基材140處理期間靠近基材支架114設置。在一個實施例中,在處理期間從噴頭面153到基材支架114的距離可以是從約4mm到約40mm的範圍。在一個實施例中,噴頭面153可以包括噴頭元件104的多個表面,所述多個表面近似共面並在處理期間面向基材。
在基材140處理期間,根據本發明的一個實施例,處理氣體152從噴頭元件104流向基材140表面。處理氣體152可以包括一種或多種前驅物氣體,以及可以與前驅物混合的載氣和摻雜劑氣體。環形排氣通道105的抽吸可以影響氣流,從而處理氣體152實質上上正切於基材140流動,並可以以層流徑向均勻分佈在跨過基材140沉積表面上。處理容積108可以維持在約760Torr下至約80Torr的壓力下。
在基材140表面或其附近的處理氣體152前驅物的反應可以在基材140上沉積包括GaN、氮化鋁(AIN)和氮化銦(InN)的各種金屬氮化物。多種金屬還可以用於諸如AlGaN和/或InGaN的其他化合物膜的沉積。另外,諸如矽(Si)或鎂(Mg)的摻雜劑可以添加到膜中。在沉積製程期間通過添加小量摻雜劑氣體可以對膜進行摻雜。對於矽摻雜來說,可以使用例如矽烷(SiH4 )或乙矽烷(Si2 H6 )氣體,對於鎂摻雜,摻雜劑氣體可以包括二(環戊二烯基)鎂(Cp2 Mg或(C5 H5 )2 Mg)。
在一個實施例中,噴頭元件104包括第一氣室144、第二氣室145、氣體導管146、147、第一氣體通道142、第二氣體通道143、熱交換通道141、混合通道150和中心導管148。第一和第二氣體通道142、143可以包括多個彼此平行的直的通道,以及具有在通道的底部並沿每個通道的長度設置的多個第一氣體注入孔156和第二氣體注入孔157。在一個實施例中,氣體導管146、147可以包括石英或諸如316L不銹鋼、Inconel、Hastelloy、無電鍍鎳鋁、純鎳的其他材料,以及耐化學腐蝕的其他金屬和合金。
噴頭元件104可以經由供應線路131、132和133接受氣體。第一前驅物氣體154和第二前驅物氣體155通過供應線路131和132流入第一和第二氣室144和145,而非反應性氣體151,其可以是諸如氫氣(H2 )、氮氣(N2 )、氦(He)、氬(Ar)或其他氣體和其組合,可以經過耦接到位於或靠近噴頭元件104的中心設置的中心導管148的供應線路133流動。中心導管148可以起中心惰性氣體擴散器的作用,其將非反應氣體151流入處理容積108的中心區域中,以有助於防止在中心區域中的氣體環流。在另一實施例中,中心導管148可以傳送前驅物氣體。
在再一實施例中,清潔氣體和/或蝕刻氣體可以通過中心導管148傳送到室102中。中心導管148可以適用於在室102內擴散清潔和/或蝕刻氣體或電漿以提供更有效蝕刻。在其他實施例中,設備100可以適用于通過諸如第一和第二氣體注入孔156、157將清潔和/或蝕刻氣體或電漿傳送到室102中。在一個實施例中,氟基或氯基電漿可以用於蝕刻或清潔。在其他實施例中,諸如Cl2 、Br和I2 的鹵素氣體或諸如HCl、HBr和HI的鹵化氫可以用於非電漿蝕刻。
在另一實施例中,中心導管148可以起測量埠的作用,並且計量工具(未示出)可以耦合到中心導管148。測量工具可以用於測量諸如厚度、粗糙度、組分的膜特性或其他特性。在另一實施例中,中心導管148可以適用于起對於諸如高溫計或熱電偶的溫度感測器的埠的作用。
第一和第二前驅物氣體154、155從第一和第二氣室144、145流入氣體導管146和147,所述導管146和147與第一和第二氣體通道142和143流體相通。第一和第二前驅物氣體154、155從第一和第二氣體通道142、143流入第一和第二氣體注入孔156、157,然後流入混合通道150,其中第一和第二前驅物氣體154、155在此混合以形成然後流入處理容積108中的處理氣體。在一個實施例中,可以包括氮氣(N2 )或氫氣(H2 )或惰性氣體的載氣在傳送到噴頭元件104之前,可以與第一和第二前驅物氣體154、155混合。
在一個實施例中,傳送到第一氣室144的第一前驅物氣體154可以包括III族前驅物,而傳送到第二氣室145的第二前驅物氣體155可以包括V族前驅物。在另一實施例中,可以轉換前驅物的傳送,從而III族前驅物傳送到第二氣室145,V族前驅物傳送到第一氣室144。部分通過氣室離熱交換通道141的距離和對於每個氣室和其中的前驅物可能維持的所需溫度範圍,可以確定對於特定前驅物的第一或第二氣室144、145的選擇。
III族前驅物可以是諸如三甲基鎵(“TMG”)、三甲基鋁(“TMAI”)、和/或三甲基銦(“TMI”)的金屬有機(MO)前驅物,還可以使用其他合適的MO前驅物。V族前驅物可以是諸如氨(NH3 )的含氮氣體。在一個實施例中,諸如TMG的單一MO前驅物,可以傳送到任一第一或第二氣室144或145。在另一實施例中,諸如TMG和TMI的兩種或更多MO前驅物可以進行混合並傳送到任一第一或第二氣室144或145。
鄰近第一和第二氣體通道142、143和混合通道150的是可以熱交換通道141,熱交換流體通過該熱交換通道141流動以幫助調節噴頭元件104的溫度。合適的熱交換流體可以包括水、包含有水的乙烯乙二醇混合物、全氟聚醚(例如,Galden流體),包含有油的熱傳輸流體或類似流體。當需要將噴頭元件104的溫度維持在期望的溫度範圍之內時,熱交換流體可以迴圈穿過熱交換器(未示出)以升高或降低熱交換流體的溫度。在一個實施例中,熱交換流體可以維持在約20攝氏度到約120攝氏度的溫度範圍內。在另一實施例中,熱交換流體可以維持在約100攝氏度到約350攝氏度的溫度範圍內。在又一實施例中,熱交換流體可以維持在大於350攝氏度的溫度。還可以將熱交換流體加熱到沸點以上,以便噴頭元件104可以使用容易獲得的熱交換流體維持在更高的溫度。另外,熱交換流體可以是諸如鎵或鎵合金的液體金屬。
還可以調節熱交換流體的流率以幫助控制噴頭元件104的溫度。另外,熱交換通道141的壁厚度可以設計為輔助各種噴頭表面的溫度調節。例如,噴頭面153的壁厚度T(參見圖2A)可以製造得更薄以增加通過壁的熱傳遞速度,從而增加噴頭面153的冷卻和加熱速度。
對於諸如混合通道150和噴頭面153各種噴頭元件104部件的溫度控制,期望減少或消除在噴頭元件104上冷凝的形成,同時減少氣相顆粒形成,並防止不期望的前驅物反應產物的生成,其可能對在基材140上沉積的膜的組分有不利影響。在一個實施例中,一個或多個熱電偶或其他溫度感測器(未示出)可以靠近噴頭面153設置以測量噴頭溫度。一個或多個熱電偶或其他溫度感測器靠近中心導管148和/或噴頭元件104的外部周界504(參見圖5)設置。在另一實施例中,一個或多個熱電偶或其他溫度感測器靠近熱交換通道141入口和出口設置。在其他實施例中,溫度感測器靠近其他噴頭元件104部件定位。在其他實施例中,溫度感測器可以靠近其他噴頭元件104部件定位。
通過一個或多個熱電偶或其他溫度感測器測得的溫度資料可以發送給控制器(未示出),其可以調節熱交換流體溫度和流率以將噴頭溫度維持在預定範圍內。在一個實施例中,噴頭溫度可以維持在約50攝氏度到約350攝氏度。在另一實施例中,噴頭溫度可以維持在大於350攝氏度的溫度。
圖2A是根據本發明的一個實施例的圖1B所示的噴頭元件104的詳細剖面圖。第一和第二前驅物氣體154、155從第一和第二氣體通道142、143流入第一和第二氣體注入孔156、157,然後流入混合通道150。第一氣體注入孔156具有直徑D1,而第二氣體注入孔157具有直徑D2。在一個實施例中,直徑D1和D2相等,並可以為從約0.25mm到約1.5mm的範圍。在另一實施例中,第一和第二氣體注入孔156、157的直徑D1和D2可以不相等。例如,第二氣體注入孔157可以具有比第一氣體注入孔156的直徑D1大的直徑D2,所述第二氣體注入孔157提供諸如氨(NH3 )的氮前驅物,所述第一氣體注入孔156可以提供金屬有機前驅物。孔直徑D1和D2可以選擇為輔助層狀氣流,避免氣體回流,並可幫助提供通過第一和第二氣體注入孔156、157的第一和第二前驅物氣體154、155的預期流率。在一個實施例中,通過第一和第二氣體注入孔156、157每個的氣體流率可以大約相等。第一和第二氣體注入孔156、157具有間隔距離X,其可以選擇為輔助氣體混合和使氣體回流最小化。
第一和第二前驅物氣體154、155在混合通道150內混合以形成處理氣體152。混合通道150允許第一和第二前驅物氣體154、155在進入處理容積108之前部分或全部混合,當處理氣體152朝基材140流動時,附加前驅物混合可以在處理容積108內發生。第一和第二前驅物氣體154、155在混合通道150內的“預先混合”可以在處理氣體152到達基材140之前提供前驅物的更完全和均勻的混合,導致更高的沉積速率和改進的膜質量。
混合通道150的垂直壁201可以由與混合通道150相鄰的熱交換通道141的外部壁或表面壁形成。在一個實施例中,混合通道150包括由實質上上彼此平行的垂直壁201形成的外壁。混合通道150的高度H可以從通道表面202到角203進行測量,其中混合通道150在角203處終止,以及發散壁(diverging wall)200在角203開始,並從角203延伸到噴頭面153。在一個實施例中,混合通道150的高度H可以為從約7mm到約15mm的範圍。在另一實施例中,混合通道150的高度H可以超過15mm。在一個實施例中,混合通道150的寬度W1可以為從約5mm到約20mm的範圍,而熱交換通道141的寬度W2可以為從約7mm到約13mm的範圍。發散壁200之間的距離可以在基材140的方向增加,從而噴頭面153的表面積減小,並且氣流路徑隨著處理氣體152下游流動而變寬。噴頭面153的表面積減小可以有助於減少氣體冷凝,發散壁200可以有助於減少在處理氣體152流經熱交換通道141時的氣體回流。擴張角度α可以選擇為增加或減小噴頭面153的表面積,並幫助減少氣體回流。在一個實施例中,角度α是0度。在另一實施例中,角度α是45度。
圖2B-2D是關於混合通道150和熱交換通道141的不同實施例的剖面圖。圖2B示出具有多個角206且不具有發散壁200的熱交換通道141。在該實施例中,混合通道150具有從通道表面202延伸到噴頭面153的垂直壁201。發散壁200不存在可以導致對於混合通道150的更大高度H,因此,更大的混合路線長度,這可以輔助第一和第二前驅物氣體154、155的更完全混合。圖2C是前文已經描述的實施例,其可以在角206處放置斜面(chamfer)、斜角(bevel)、扇形(radius)或其他幾何部件以在具有高度H的混合通道150的一端產生發散壁200。圖2D示出另一實施例,其中垂直壁201和發散壁200都使用並關於熱交換通道141的中心平面205不對稱定位。這種不對稱壁構造可以使在處理氣體152從噴頭元件104流到基材140,並到環形排氣通道105時的回流最小。高度H1和H2是分別從通道表面202到角203和206測得。高度H1和H2可以用於表示混合通道150的有效長度的特徵。
圖2E是根據本發明的一個實施例的混合通道150和熱交換通道141的剖面透視剖視圖。第一和第二氣體通道142、143可以是直的、平行的通道,其在承載一個或多個基材140的基材支架114上方和跨過其表面延伸。在第一和第二氣體通道142、143的每個的底部是多個第一和第二氣體注入孔156、157,所述多個第一和第二氣體注入孔156、157與第一和第二氣體通道142、143到混合通道150流體相通。在一個實施例中,第一和第二氣體注入孔156、157可以包括靠近第一和第二氣體通道142、143的角設置的鑽孔。在一個實施例中,混合通道150具有實質上矩形的橫截面220。熱交換通道141設置在混合通道150的每側以形成垂直壁201和發散壁200。熱交換流體可以通過熱交換通道141流動以幫助控制混合通道150、噴頭面153、和其他噴頭元件104部件的溫度。
圖2F是根據本發明的一個實施例的噴頭元件104的剖面透視剖視圖。噴頭元件104包括在基材支架114上方並跨過其表面延伸的多個直的且平行的通道。第二氣室145設置在板210上,該板210包括多個氣體導管147,所述多個氣體通道147提供在每個第二氣體通道143與第二氣室145之間的流體連通。雖然對於圖2F中的每個氣體通道142僅示出一個氣體導管147,超過1個的氣體導管147可以連接到第二氣體通道143與第二氣室145之間,並提供這兩者之間的流體相通。氣體導管147可以包括在板210中形成的孔211和管子212,該管子212連接到每個第二氣體通道143與第二氣室145之間,並提供這兩者之間的流體連通,從而使第二氣體通道143與第一氣體通道142流體隔離。第一氣室144(參見圖2G)可以設置在板210下方,並可以在不使用氣體導管146的條件下與第一氣體通道142直接流體相通。在另一實施例中,氣體導管146可用於連接到第一氣體通道142與第一氣室144之間,並提供這兩者之間的流體連通,以及超過一個的氣體導管146可以將每個第一氣體通道142耦合到第一氣室144。圖2F示出垂直壁201延伸到噴頭面153的一個實施例。在另一實施例中,發散壁200可以從混合通道150延伸到噴頭面153。
圖2G是根據本發明的一個實施例的圖2F所示的氣體通道和氣室的剖面圖。第一氣體通道142直接朝第一氣室144打開,並且不使用氣體導管146,而第二氣體通道143通過管子212和在板210中形成的孔211耦合到第二氣室145。第二氣體通道143是封閉的,以防止與第一氣體通道142流體相通,從而防止在氣體注入前前驅物氣體的混合。
在一個實施例中,諸如三甲基鎵(“TMG”)、三甲基鋁(“TMAI”)、和/或三甲基銦(“TMI”)的金屬有機(MO)前驅物可以提供給第一氣室144和第一氣體通道142,還可以使用其他合適的MO前驅物。諸如氨(NH3 )的V族前驅物可以傳送到第二氣室145和第二氣體通道143。在另一實施例中,前驅物傳送可以變換,以便MO前驅物提供給第二氣室145,而V族前驅物提供給第一氣室144。
噴頭元件104可以設計為使得其可以拆卸以便於清潔和部件更換。可以與處理環境相容並可以用於噴頭元件104的材料包括316L不銹鋼、Inconel、Hastelloy、無電鍍鎳鋁、純鎳、鉬、鉭以及抵抗高溫、熱應力、和來自化學前驅物反應引起的變劣和變形的其他金屬和合金。為了幫助減小裝配複雜性並確保與流經該元件的不同氣體和液體的隔離,可以利用電鑄來製造噴頭元件104的各種部件。這種電鑄部件可以減少部件的數量和對元件內的不同氣體和液體隔離所需的密封的數量。另外,電鑄還可以幫助減少對於具有複雜幾何結構的那些部件的製造成本。
圖3A和3B是根據本發明的噴頭元件104的另外實施例的剖面圖。設備100可以適用於提供附加的氣體源和氣體供應線路以允許在此描述的噴頭元件104的另外實施例。圖3A示出了噴頭元件104,其具有通過氣體導管307連接的第三氣室306和第三氣體通道304,以便另一氣體可以傳送到混合通道150。所述氣體可以是不同的前驅物氣體或惰性氣體(例如,諸如N2 、He、Ar)。該氣體可以經由第三氣體注入孔305注入到混合通道150中。
另外,氣體可以傳送到第一、第二和第三氣室144、145、306中的任何一個以形成多個可能氣體注入順序。例如,對於MO-NH3 -(第三前驅物)-重復的氣體注入順序,其中“重復”表示跨過噴頭元件104重復所述氣體注入順序,第一氣體注入孔156可以注入MO前驅物,第二氣體注入孔157可以注入諸如NH3 的氮前驅物,以及第三氣體注入孔305可以注入第三前驅物。在另一實施例中,氣體可以傳送到第一、第二和第三氣室144、145、306以產生氣體注入順序NH3 -MO-(第三前驅物)-重復。需要理解氣體被同時注入,以及術語“氣體注入順序”指空間順序而不是時間順序。在其他實施例中,噴頭元件104可以包括任何數量的氣室和氣體通道從而以任何所需氣體注入順序將多種氣體傳送到室102。
圖3B是噴頭元件104的另一實施例。混合通道150已經被移除以形成噴頭面153的實質上平坦的表面,該噴頭面153包括多個第一、第二和第三氣體注入孔302、311和312,其連接到第一、第二和第三氣體通道308、309和310,並與之流體相通,反過來,第一、第二和第三氣體通道308、309和310連接到氣體導管316、317、318和第一、第二和第三氣室313、314和315,並與之流體相通。在一個實施例中,第一、第二和第三氣體注入孔302、311和312可以都具有相同直徑D1。在其他實施例中,第一、第二和第三氣體注入孔302、311、312可以具有不同直徑。此處氣體注入孔直徑D1的不同實施例在前面已經描述。
熱交換通道141可以設置在第一、第二和第三通道308、309與310之間。在一個實施例中,熱交換通道141可以設置在如圖3B所示的關於四個通道順序310-308-310-309-重復的氣體通道順序之間。在一個實施例中,熱交換通道141可以設置在各氣體通道之間。在另一實施例中,噴頭元件104可以不具有在氣體通道之間設置的熱交換通道141。在其他實施例中,噴頭元件104可以包括任何數量的氣室、熱交換通道、氣體通道、和氣體注入孔以將多種氣體傳送到室102。
對於一些類型的前驅物和在特定製程條件來說,可能期望在前驅物到達基材140沉積表面之前防止其混合,以防止前驅物的提前反應和不期望的顆粒和反應產物的生成。在一個實施例中,例如,諸如N2 、He、Ar或其組合的惰性氣體的“氣幕”可以用於幫助前驅物在到達基材140之前保持其分開。例如,氣體可以傳送到適當的第一、第二和第三氣室313、314、315以便第三氣體注入孔312可以注入惰性氣體N2 ,第一氣體注入孔302可以注入金屬有機(MO)前驅物,而第二氣體注入孔311可以注入諸如NH3 的氮前驅物,以形成氣體注入順序N2 -MO-N2 -NH3 -重復。惰性氣體,N2 在前驅物氣體MO與NH3 之間流動以保持所述氣體分開,並防止所述前驅物的提前反應。另一可能的氣體注入順序是N2 -NH3 -N2 -MO-重復。需要理解噴頭元件104可以適用於包括任何數量的氣室和氣體通道以允許預期的氣體注入順序。可以使用的其他氣體注入順序是MO-N2 -NH3 -重復;N2 -MO-N2 -NH3 -N2 -重復;以及MO-NH3 -重復。還需要理解可以使用其他前驅物氣體和其他惰性氣體以允許預期的氣體注入順序。在另一實施例中,沒有惰性氣體可以分開前驅物。
圖4A是根據本發明的一個實施例的圖2C和2E所示的噴頭元件的示意仰視圖。噴頭元件104的直的通道幾何結構通過設置在第一和第二氣體通道142、143的底部的第一和第二氣體注入孔156和157的線性佈置來體現。第一和第二氣體注入孔156、157形成重復並對應於重復兩個氣體通道順序142-143-重復的兩列。混合通道150包括從噴頭面153凹槽並具有垂直壁201和發散壁200的直的且平行的通道。熱交換通道141包括具有寬度W2的直的且平行的通道,並設置在具有寬度W1的混合通道150之間。混合通道150平行於熱交換通道141。
中心導管148在噴頭元件104的中心或靠近噴頭元件104的中心定位,此處中心導管148的幾個實施例在前文已經描述。一個或多個埠400和401圍繞中心導管148設置,並且取決於每個埠400和401的指定功能,埠400和401直徑可以相同或不同。在一個實施例中,埠400和/或401可以用於容納諸如高溫計或熱電偶的溫度感測器以測量基材溫度和/或諸如噴頭面153的溫度其他溫度。在一個實施例中,埠400和401可以設置在噴頭元件104上以避免與熱交換通道141交叉。
在另一實施例中,埠400和/或401可以被用作計量埠以及可以耦合到一個或多個計量工具(未示出)。計量工具可以用於測量諸如即時膜生長、厚度、粗糙度、組分的各種膜特性或其他特性。一個或多個埠400和401還可以成角度以允許使用計量工具,諸如用於反射係數測量,其可能需要用於例如,反射的雷射光束的傾斜發射器和接收器。
每個埠400和401還可以適用於流動淨化氣體(其可以是惰性氣體)以防止在埠400和401內的裝置上冷凝,並允許精確的原位測量。淨化氣體可以具有圍繞感測器、探針或設置在管子感測器301和與埠400、401的其他裝置的環形流動。在另一實施例中,埠400、401可以具有發散噴嘴設計,以便當氣體朝基材140下游運動時淨化氣流路徑變寬。發散噴嘴可以是埋頭孔、斜面、扇形或使氣流路徑變寬的其他部件。在一個實施例中,淨化氣體可以具有約50sccm(標準立方釐米每分鐘)到約500sccm的流率。
圖4B是根據本發明的一個實施例的圖3B所示的噴頭元件104的示意仰視圖。多個第一、第二和第三氣體注入孔302、311和312設置在實質上平坦的噴頭面153上,並通過熱交換通道141分開。第一、第二和第三氣體注入孔302、311和312形成重復並對應於重復四個氣體通道順序310-308-310-309-重復的四列。氣體注入孔列可以具有總寬度W3,而熱交換通道141可以具有近似寬度W2。在一個實施例中,埠400和401可以設置在噴頭面153上以避免與熱交換通道141交叉。此外埠400、401的各種實施例在前面已經描述。
圖5是根據本發明的噴頭元件104的另外實施例的示意仰視圖。多個氣體注入孔502與諸如第一和第二氣體通道142、143流體相通,儘管在該實施例中對於每個氣體通道順序使用四個通道。熱交換通道141可以設置在氣體通道順序之間。
在一個實施例中,如象限IV所示,在跨過噴頭面153上可以使用相同尺寸的氣體注入孔502。每個氣體通道可以向耦接到氣體通道提供例如,諸如MO前驅物、氮前驅物或惰性氣體的不同氣體。對於各氣體通道的氣體通道尺寸(諸如長度和寬度)以及氣體導管316、317、318的數量和位置可以選擇為有助於獲得成比例的氣流,以便近似相同量的氣體隨著時間傳送到各氣體通道,所述各氣體通道傳送相同前驅物(或惰性氣體)。氣體注入孔502的直徑可以設計為合適尺寸以幫助確保沿流動相同前驅物的各氣體通道通過氣體注入孔502的氣體流率大約相同。質量流量計(未示出)可以設置在噴頭元件104的下游,以便可以調節流入氣體通道的各前驅物的流率,從而控制處理氣體152的前驅物化學配比。然而,在特定條件下,可能還期望增加或降低沿噴頭面153的不同位置的處理氣體152流率。
在一個實施例中,如象限I所示,靠近噴頭元件104的外周504可以使用具有直徑大於氣體注入孔502的直徑的更大氣體注入孔503來增加氣體流率,以有助於對可能靠近環形排氣通道105和基材支架114的外邊緣存在的氣流不規則進行補償。例如,環形排氣通道105的真空可以使靠近外周504的處理氣體152耗盡,而更大氣體注入孔503可以有助於對氣體耗盡進行補償。
象限II示出使用靠近噴頭元件104的外周504的氣體注入孔502的更大孔密度(每單元面積孔的數量)的另一實施例,這可幫助在基材140上提供更均勻的氣體分佈。節距P是沿相同氣體通道501的氣體注入孔502之間的最短距離,以及間隔距離X是設置在相鄰氣體通道501中的氣體注入孔502之間的最短距離。節距P可以改變以增加或減少在噴頭元件104的預期區域上的孔密度。在本實施例中,減少節距P以增加靠近外周504的孔密度,而間隔距離X保持不變。在其他實施例中,間隔距離X和/或氣體通道501的尺寸也可以改變以增加或減小孔密度。
在又一實施例中,如象限III所示,更大的氣體注入孔503可以用於一種或多個前驅物和/或惰性氣體,以幫助獲得預期氣流、跨過噴頭面153上的氣體分佈和/或氣體化學配比。在其他實施例中,跨過噴頭元件104的氣體注入孔502直徑和孔密度視需要可以變化。圖5所示且在此描述的實施例可以與在此關於噴頭元件104描述的其他實施例組合以及一起使用。
這裏前面討論的實施例中,如圖2E、4A和4B所示,多個氣體注入孔已經沿直的氣體通道設置以沿多個直的線路注入氣體。氣體通道順序可以包括兩個或更多相鄰通道,其可以傳送前驅物氣體和惰性氣體以形成例如,諸如N2 -MO-N2 -NH3 的氣體注入順序,所述氣體注入順序跨過噴頭元件104重復。每個氣體通道的氣體注入孔形成線性氣體注入區域,其注入通過通道傳送的前驅物氣體或惰性氣體。氣體注入區域是直的線路,而氣體注入順序可以指氣體注入區域的氣體的順序,其可以跨過噴頭元件104重復。在其他實施例中,氣體注入區域可以具有其他形狀。
圖6A和6B是示出氣體注入區域的不同實施例的噴頭元件104的示意仰視圖。圖6A示出噴頭元件104的多個氣體注入孔603,其與第一、第二和第三氣體通道142、143、304流體相通。為簡潔,第一、第二和第三氣體通道142、143、304用直線表示,以及交叉陰影表示可包括熱交換通道141的區域。三個通道氣體通道順序是142-143-304一重復。在其他實施例中,氣體通道順序可以使用多個氣體通道。第二和第三氣體通道142、143、304中的每個可以向耦合到各氣體通道的氣體注入孔603提供例如,諸如MO前驅物、氮前驅物或惰性氣體的不同氣體。
氣體注入孔603可以沿第一、第二和第三氣體通道142、143、304的其中之一合適地定位以形成具有用虛線612表示的邊界的氣體注入區域600、601和602。通過沿氣體通道合適地定位氣體注入孔603,使用直的氣體通道的許多氣體注入區域形狀是可能的。另外,在每個氣體注入區域內對於氣體注入孔603位置的第一、第二或第三氣體通道142、143或304的選擇提供數個可能的氣體注入順序。此外,氣體注入孔603可以沿氣體通道合適地間隔開以使對於每個氣體注入區域的氣流分佈最佳。在該實例中,所述區域是楔形形狀,並只示出噴頭元件104的一個象限。
每個氣體注入區域600、601和602可以向處理室102提供不同氣體。例如,氣體注入區域602包括氣體注入孔603,該氣體注入孔603連接到第三氣體通道304並(例如,使用鑽孔)只與其流體相通;氣體注入區域600包括氣體注入孔603,該氣體注入孔603僅連接到第一氣體通道142並與其流體相通;以及氣體注入區域601包括氣體注入孔603,該氣體注入孔603僅連接到第二氣體通道143並與其流體相通。
在一個實施例中,第一氣體通道142可以提供MO前驅物,第二氣體通道143可以提供諸如氨(NH3 )的氮前驅物,以及第三氣體通道304可以提供諸如氮氣(N2 )的惰性氣體以形成氣體注入順序N2 -MO-N2 -NH3 -重復,其對應於氣體注入區域602-600-602-601-重復。在其他實施例中,可以通過氣體注入孔603位置、所使用的不同的氣體通道的數量,以及所使用的不同氣體的數量的合適選擇來形成任何數量的氣體注入區域和區域。對於預期數量的重復的氣體注入順序以及噴頭元件104的360度內的預期區域尺寸,可以合適地選擇對於每個楔形區域的角度β。在本發明的實施例中,氣體注入區域600、601和602是楔形形狀,而氣體注入孔603位置可以適用于形成許多其他區域形狀。
圖6B示出形狀為同心環的氣體注入區域600、601和602的另一實施例。氣體注入孔603可以沿第一、第二和第三氣體通道142、143、304中的每個合適地定位以形成具有用虛線612表示的邊界的同心氣體注入區域600、601和602。如上所述,可以形成對應於同心氣體注入區域602-600-602-601-重復的氣體注入順序N2 -MO-N2 -NH3 -重復(從中心區域到外部區域),而其他氣體注入順序是可能的。在此描述且在圖6A和6B中所示的實施例可以與這裏關於噴頭元件104秒的其他實施例組合並一起使用。另外,氣體注入孔603直徑和孔密度視需要可以在每個氣體注入區域內變化。
這裏描述的用於MOCVD應用的前面噴頭元件104實施例可以適用於公知為氫化物氣相磊晶(HVPE)的另一沉積技術中使用。HVPE製程在一些III-V族膜,尤其GaN的生長中具有諸如高生長速度、相對簡單以及成本高效的幾個優點。在這種技術中,GaN的生長歸因於氯化鎵(GaCl)和氨(NH3 )之間的高溫、氣相反應而進行。氨可以由標準氣體源提供而GaCl通過將諸如含氫化物氣體經過加熱的液態鎵源而產生。這兩種氣體,氨和GaCl,朝加熱的基材引導,它們在基材的表面上反應形成磊晶GaN膜。一般地,HVPE製程可以通過將含氫化物氣體(諸如HCl、HBr或HI)流過III族液體源以形成III族鹵化物,然後將III族鹵化物氣體與諸如氨的含氮氣體混合以形成III族氮化物膜,來用於生長其他III族氮化物膜。
在一個實施例中,氣體傳送系統125包括連到腔室102的外部熱源舟(未示出)。熱源舟包括加熱到液相的金屬源(例如,Ga),並且包含氯化物的氣體(例如,HCl)可以流過金屬源而形成III族-鹵化物氣體,例如GaCl。然後,III族鹵化物氣體和諸如NH3的含氮氣體,通過供應線路131、132傳送到噴頭元件104的第一和第二氣室,便於注入到處理容積108中以在基材140上澱積諸如GaN的III族氮化物薄膜。在另一實施例中,可以加熱一個或多個供應線路131、132以將來自外部熱舟的前驅物傳送到室102。在另一實施例中,惰性氣體,可能是氫、氮、氦、氬或他們的組合,在第一和第二HVPE前驅物氣體之間流動以保持前驅物在到達基材140之前分開。HVPE前驅物氣體還可以包括摻雜劑氣體。
除此處前面提到的III族前驅物之外,其他III族前驅物可用於噴頭元件104。有通式MX3 的前驅物,此處M為III族元素(例如,鎵、鋁或銦),且X為VII族元素(例如溴、氯或碘),也可以使用(例如,GaCl3 )。氣體傳送系統125的部件(例如,起泡器,供應線路)相稱地適合於向噴頭元件104傳送MX3 前驅物。
雖然前述針對本發明的實施例,不偏離本發明的實質上範圍的條件下可以設計本發明其他和進一步的實施例,並且本發明的範圍通過下述申請專利範圍確定。
100...設備
102...室
103...腔室主體
104...噴頭元件
105...環形排氣通道
106...排氣導管
107...閥門系統
108...處理容積
109...排氣埠
110...下部容積
112...真空系統
114...基材支架
116...凹槽
119...下部圓頂
120...排氣環
121A...內部燈
121B...外部燈
124...供應線路
125...氣體傳送系統
126...遠端電漿源
129...導管
130...閥門
131...供應線路
132...供應線路
133...供應線路
140...基材
141...熱交換通道
142...第一氣體通道
143...第二氣體通道
144...第一氣室
145...第二氣室
146...氣體導管
147...氣體導管
148...中心導管
150...混合通道
151...非反應氣體
152...處理氣體
153...噴頭面
154...第一前驅物氣體
155...第二前驅物氣體
156...第一氣體注入孔
157...第二氣體注入孔
200...發散壁
201...垂直壁
202...通道表面
203...角
302...第一氣體注入孔
304...第三氣體通道
305...第三氣體注入孔
306...第三氣室
307...氣體導管
308...第一氣體通道
309...第二氣體通道
310...第三氣體通道
311...第二氣體注入孔
312...第三氣體注入孔
313...第一氣室
314...第二氣室
315...第三氣室
316...氣體導管
317...氣體導管
318...氣體導管
400...埠
401...埠
502...氣體注入孔
503...較大氣體注入孔
504...外周
600...氣體注入區域
601...氣體注入區域
602...氣體注入區域
603...氣體注入孔
612...虛線
α...角度
β...角度
I...象限
II...象限
III...象限
IV...象限
D1...直徑
D2...直徑
H...高度
P...節距
X...間隔距離
W1...寬度
W2...寬度
W3...寬度
因此為了更詳細地理解本發明的以上所述特徵,將參照附圖中示出的實施例對以上簡要概括的本發明進行更具體描述。然而,應該注意,附圖中只示出了本發明典型的實施例,因此不能認為是對本發明範圍的界定,本發明可以允許其他等同的有效實施例。
圖1A是根據本發明的一個實施例的沉積設備的示意視圖;
圖1B是圖1A所示的沉積室的詳細視圖;
圖2A是根據本發明的一個實施例的圖1B所示的噴頭元件的詳細剖面視圖;
圖2B-2D是關於混合通道和熱交換通道的不同實施例的剖面圖;
圖2E是根據本發明的一個實施例的混合通道和熱交換通道的剖面透視剖視圖;
圖2F是根據本發明的一個實施例的噴頭元件的剖面透視剖視圖;
圖2G是根據本發明的一個實施例的圖2F所示的氣體通道和氣室的剖面圖;
圖3A和3B是根據本發明的噴頭元件的另外實施例的剖面圖;
圖4A是根據本發明的一個實施例的圖2C和2E所示的噴頭元件的示意仰視圖;
圖4B是根據本發明的一個實施例的圖3B所示的噴頭元件的示意仰視圖;
圖5是根據本發明的噴頭元件的另外實施例的示意仰視圖;
圖6A和6B是示出關於氣體注入區域的不同實施例的噴頭元件的示意仰視圖。
為了便於理解,盡可能使用相同的參考數位來指示圖中共同的相同元件。預期一個實施例的元件和部件可以有利地結合在其他實施例中,而不進一步敘述。
103...腔室主體
104...噴頭元件
105...環形排氣通道
106...排氣導管
107...閥門系統
108...處理容積
109...排氣埠
114...基材支架
131...供應線路
132...供應線路
133...供應線路
140...基材
141...熱交換通道
142...第一氣體通道
143...第二氣體通道
144...第一氣室
145...第二氣室
146...氣體導管
147...氣體導管
148...中心導管
150...混合通道
151...非反應氣體
152...處理氣體
153...噴頭面
154...第一前驅物氣體
155...第二前驅物氣體
156...第一氣體注入孔
157...第二氣體注入孔

Claims (34)

  1. 一種噴頭設備,包括:一第一氣室,該第一氣室設置於該噴頭內;一第二氣室,該第二氣室設置於該噴頭內;多個第一氣流通道,該等第一氣流通道形成於該噴頭內且與該第一氣室流體相通;多個第二氣流通道,該等第二氣流通道形成於該噴頭內且與該第二氣室流體相通,其中該第一氣室設置於該第二氣室與該第一氣流通道和該第二氣流通道之間;多個第一氣體導管,該等第一氣體導管形成於該噴頭中且將該第一氣室耦接至該等第一氣流通道;多個第二氣體導管,該等第二氣體導管形成於該噴頭中且將該第二氣室耦接至該等第二氣流通道;多個第一氣體注入孔,該等第一氣體注入孔形成於該噴頭內且與該第一氣流通道流體相通;多個第二氣體注入孔,該等第二氣體注入孔形成於該噴頭內且與該第二氣流通道流體相通;及形成於該噴頭的表面中並在該第一氣體注入孔和該第二氣體注入孔的下游設置的混合通道,該等混合通道用於將通過該等第一氣體注入孔和該等第二氣體注入孔注入的一第一氣體和一第二氣體進行混合。
  2. 如請求項1所述的設備,其中該等混合通道形成在面向一基材處理容積的該噴頭設備的一表面。
  3. 如請求項1所述的設備,其中該等混合通道包括跨過該噴頭的一表面延伸的直的且平行的通道。
  4. 如請求項3所述的設備,另包括多個在該混合通道之間形成的熱交換通道。
  5. 如請求項1所述的設備,另包括:一中心導管,該中心導管與該氣流通道、氣室、及混合通道流體隔離。
  6. 如請求項5所述的設備,其中該中心導管經調適以經由其中傳送一流體或一電漿。
  7. 如請求項5所述的設備,其中該中心導管係一測量工具的一埠。
  8. 如請求項5所述的設備,其中該中心導管係一溫度感測器的一埠。
  9. 如請求項4所述的設備,其中該熱交換通道形成在壁中,該等壁以通過該等第一和第二氣體注入孔而朝向一 基材處理容積的氣體注入方向延伸。
  10. 如請求項1所述的設備,更包含一或更多個溫度感測器,該等溫度感測器用於測量該噴頭的溫度,其中流通過該熱交換通道的熱交換流體的溫度和流率係基於該經測量之溫度而加以控制。
  11. 如請求項1所述的設備,更包含發散壁,該等發散壁耦接於該混合通道的對面側,其中介於該等發散壁之間的一距離隨著朝向一基材處理容積的一方向而增加。
  12. 一種噴頭設備,包括:一第一氣室,該第一氣室設置於該噴頭內;一第二氣室,該第二氣室設置於該噴頭內;多個第一氣流通道,該等第一氣流通道形成於該噴頭內且與該第一氣室流體相通;多個第二氣流通道,該等第二氣流通道形成於該噴頭內且與該第二氣室流體相通,其中該第一氣室設置於該第二氣室與該等第一氣流通道和該等第二氣流通道之間;多個第一氣體導管,該等第一氣體導管形成於該噴頭中且將該第一氣室耦接至該等第一氣流通道;多個第二氣體導管,該等第二氣體導管形成於該噴頭 中且將該第二氣室耦接至該等第二氣流通道;形成於該噴頭內且與該等第一氣流通道流體相通的多個第一氣體注入孔;形成於該噴頭內且與該等第二氣流通道流體相通的多個第二氣體注入孔;以及熱交換通道,該等熱交換通道設置在數組該等第一氣體注入孔和該等第二氣體注入孔之間,並形成在壁中,該等壁以通過該等第一和第二氣體注入孔而朝向一基材處理容積的氣體注入方向延伸,其中該壁的外部界定混合通道,其中一第一氣體和一第二氣體通過該等第一氣體注入孔和該等第二氣體注入孔注入到該混合通道中以在其中進行混合。
  13. 如請求項12所述的設備,其中該等混合通道被界定在面向一基材處理容積的該噴頭設備的一表面上。
  14. 如請求項12所述的設備,其中該等熱交換通道具有實質上平行的外壁,該等外壁在該氣體注入方向延伸。
  15. 如請求項12所述的設備,其中靠近該噴頭設備的外界的該氣體注入孔比靠近該噴頭設備的中心的該氣體注入孔大。
  16. 如請求項12所述的設備,其中靠近該噴頭設備的外界的該等第一氣體注入孔和該等第二氣體注入孔的密度比靠近該噴頭設備的中心的該等第一氣體注入孔和該等第二氣體注入孔的密度大。
  17. 如請求項15所述的設備,其中該等第一氣體注入孔和該等第二氣體注入孔被佈置為界定多個實質上楔形形狀的氣體注入區域,每個氣體注入區域所注入的氣體不同於一相鄰的氣體注入區域所注入的氣體。
  18. 如請求項17所述的設備,另包括第三氣流通道,其中該等氣體注入區域包括第一氣體注入區域、第二氣體注入區域和第三氣體注入區域,並且其中該等第三氣體注入區域中的每個是在該等第一氣體注入區域的其中之一與該等第二氣體注入區域的其中之一之間。
  19. 如請求項12所述的設備,其中該等壁的一部份往朝向一基材處理容積的一方向漸增地發散(diverge)。
  20. 如請求項12所述的設備,另包括:一中心導管,該中心導管與該等氣流通道、氣室、熱交換通道、及混合 通道流體隔離。
  21. 如請求項20所述的設備,其中該中心導管經調適以經由其中傳送一流體或一電漿。
  22. 如請求項20所述的設備,其中該中心導管係一測量工具的一埠。
  23. 如請求項20所述的設備,其中該中心導管係一溫度感測器的一埠。
  24. 如請求項12所述的設備,其中該混合通道與該熱交換通道是直通道且延伸橫跨該噴頭的一表面。
  25. 如請求項18所述的設備,其中該第一氣體包含一第一金屬前驅物,該第二氣體包含一氮前驅物,且第三氣體包含一惰性氣體。
  26. 一種使用一噴頭以進行化學氣相沉積的方法,該方法包括以下步驟:將一第一氣體與一第二氣體流進一噴頭,該噴頭包含:一第一氣室,該第一氣室設置於該噴頭內;一第二氣室,該第二氣室設置於該噴頭內;多個第一氣流通道,該等第一氣流通道形成於該噴頭內且與該第一氣室流體相通; 多個第二氣流通道,該等第二氣流通道形成於該噴頭內且與該第二氣室流體相通,其中該第一氣室設置於該第二氣室與該第一氣流通道和該第二氣流通道之間;多個第一氣體導管,該等第一氣體導管形成於該噴頭中且將該第一氣室耦接至該等第一氣流通道;及多個第二氣體導管,該等第二氣體導管形成於該噴頭中且將該第二氣室耦接至該等第二氣流通道;多個第一氣體注入孔,該等第一氣體注入孔形成於該噴頭內且與該第一氣流通道流體相通;以及多個第二氣體注入孔,該等第二氣體注入孔形成於該噴頭內且與該第二氣流通道流體相通;將該第一氣體流進該第一氣室且將該第一氣體從該第一氣室流通過該等多個第一氣體導管而進入該等多個第一氣體流通道;以及將該第二氣體流進該第二氣室且將該第二氣體從該第二氣室流通過該等多個第二氣體導管而進入該等多個第二氣體流通道。
  27. 如請求項26所述的方法,其中該噴頭更具有:混合通道,該等混合通道形成在該噴頭的一表面且設置在遠離該等第一氣體和第二氣體注入孔的下游,而 用於將通過該等第一氣體注入孔注入的第一氣體和通過第二氣體注入孔注入的第二氣體混合。
  28. 如請求項26所述的方法,更包含以下步驟:將一熱交換流體流通過形成在該噴頭中的一熱交換通道。
  29. 如請求項26所述的方法,更包含以下步驟:將一第三氣體流進入形成在該噴頭中的多個第三氣體流通道,其中該等第一氣體與第二體氣體包含前驅物氣體,且該第三氣體包含一惰性氣體。
  30. 如請求項26所述的方法,其中該第一氣體與該第二氣體包含前驅物氣體,且該第一前驅物氣體包含一III族前驅物,該第二前驅物氣體包括一V族前驅物。
  31. 如請求項30所述的方法,其中該等前驅物氣體具有通式MX3 ,並且M包括鎵、鋁或銦的其中之一,以及X包括氯、溴或碘的其中之一。
  32. 如請求項30所述的方法,其中該等第一前驅物氣體和第二前驅物氣體包括電漿物種。
  33. 如請求項26所述的方法,其中該第一氣體流通過多個直的且平行的第一氣體通道,且該第二氣體流通過多個直的且平行的第二氣體通道,且其中該等第一氣體通道與該等第二氣體通道彼此相平行。
  34. 如請求項26所述的方法,更包含以下步驟:將一流體或電漿流通過形成在噴頭中的一中心導管,其中該中心導管與該等多個第一氣體流通道、該等多個第二氣體流通道、該第一氣室,以及該第二氣室相隔離。
TW97139813A 2007-10-16 2008-10-16 具有多氣體直通道之噴頭 TWI465294B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/873,132 US7976631B2 (en) 2007-10-16 2007-10-16 Multi-gas straight channel showerhead

Publications (2)

Publication Number Publication Date
TW200927296A TW200927296A (en) 2009-07-01
TWI465294B true TWI465294B (zh) 2014-12-21

Family

ID=40534482

Family Applications (1)

Application Number Title Priority Date Filing Date
TW97139813A TWI465294B (zh) 2007-10-16 2008-10-16 具有多氣體直通道之噴頭

Country Status (4)

Country Link
US (3) US7976631B2 (zh)
CN (1) CN101413112B (zh)
TW (1) TWI465294B (zh)
WO (1) WO2009052212A1 (zh)

Families Citing this family (250)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101501426B1 (ko) * 2006-06-02 2015-03-11 어플라이드 머티어리얼스, 인코포레이티드 차압 측정들에 의한 가스 유동 제어
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US7879401B2 (en) * 2006-12-22 2011-02-01 The Regents Of The University Of Michigan Organic vapor jet deposition using an exhaust
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
US9105449B2 (en) * 2007-06-29 2015-08-11 Lam Research Corporation Distributed power arrangements for localizing power delivery
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
US8440259B2 (en) * 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
US8778079B2 (en) 2007-10-11 2014-07-15 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
US8333839B2 (en) * 2007-12-27 2012-12-18 Synos Technology, Inc. Vapor deposition reactor
KR20090078538A (ko) * 2008-01-15 2009-07-20 삼성전기주식회사 샤워 헤드와 이를 구비하는 화학 기상 증착 장치
JP5233734B2 (ja) * 2008-02-20 2013-07-10 東京エレクトロン株式会社 ガス供給装置、成膜装置及び成膜方法
JP2010027868A (ja) * 2008-07-18 2010-02-04 Toshiba Corp 気相成長装置及び気相成長方法
CN102099505A (zh) * 2008-07-30 2011-06-15 京瓷株式会社 沉积膜形成装置及沉积膜形成方法
US8470718B2 (en) * 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
JP2012504873A (ja) * 2008-10-03 2012-02-23 ビーコ プロセス イクイップメント, インコーポレイテッド 気相エピタキシーシステム
US20100096569A1 (en) * 2008-10-21 2010-04-22 Applied Materials, Inc. Ultraviolet-transmitting microwave reflector comprising a micromesh screen
WO2010048165A2 (en) * 2008-10-24 2010-04-29 Applied Materials Inc. Multiple gas feed apparatus and method
US8895107B2 (en) 2008-11-06 2014-11-25 Veeco Instruments Inc. Chemical vapor deposition with elevated temperature gas injection
EP3471130A1 (en) * 2008-12-04 2019-04-17 Veeco Instruments Inc. Chemical vapor deposition flow inlet elements and methods
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
US8110889B2 (en) * 2009-04-28 2012-02-07 Applied Materials, Inc. MOCVD single chamber split process for LED manufacturing
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
JP5777615B2 (ja) * 2009-07-15 2015-09-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Cvdチャンバの流れ制御機構
WO2011017222A2 (en) * 2009-08-04 2011-02-10 Applied Materials, Inc. Method and apparatus for dry cleaning a cooled showerhead
US20110185969A1 (en) * 2009-08-21 2011-08-04 Varian Semiconductor Equipment Associates, Inc. Dual heating for precise wafer temperature control
DE102009043840A1 (de) * 2009-08-24 2011-03-03 Aixtron Ag CVD-Reaktor mit streifenförmig verlaufenden Gaseintrittszonen sowie Verfahren zum Abscheiden einer Schicht auf einem Substrat in einem derartigen CVD-Reaktor
WO2011024995A1 (ja) * 2009-08-28 2011-03-03 京セラ株式会社 堆積膜形成装置および堆積膜形成方法
US20110076421A1 (en) * 2009-09-30 2011-03-31 Synos Technology, Inc. Vapor deposition reactor for forming thin film on curved surface
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
TWI430714B (zh) * 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
KR20110054840A (ko) * 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
TWI417984B (zh) 2009-12-10 2013-12-01 Orbotech Lt Solar Llc 自動排序之多方向性直線型處理裝置
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US9169562B2 (en) 2010-05-25 2015-10-27 Singulus Mocvd Gmbh I. Gr. Parallel batch chemical vapor deposition system
US8986451B2 (en) * 2010-05-25 2015-03-24 Singulus Mocvd Gmbh I. Gr. Linear batch chemical vapor deposition system
US9869021B2 (en) 2010-05-25 2018-01-16 Aventa Technologies, Inc. Showerhead apparatus for a linear batch chemical vapor deposition system
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
WO2011159690A2 (en) * 2010-06-15 2011-12-22 Applied Materials, Inc. Multiple precursor showerhead with by-pass ports
TW201204868A (en) * 2010-07-12 2012-02-01 Applied Materials Inc Compartmentalized chamber
US20120024478A1 (en) * 2010-07-29 2012-02-02 Hermes-Epitek Corporation Showerhead
CN101921996B (zh) * 2010-08-17 2012-02-15 彭继忠 一种mocvd设备喷淋头装置
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
CN101974736B (zh) * 2010-11-19 2013-07-31 理想能源设备有限公司 一种化学气相沉积装置及其喷头组件
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
KR101893471B1 (ko) * 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
US20120227665A1 (en) * 2011-03-11 2012-09-13 Applied Materials, Inc. Apparatus for monitoring and controlling substrate temperature
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US9175391B2 (en) * 2011-05-26 2015-11-03 Intermolecular, Inc. Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead
DE102011056589A1 (de) * 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
CN103014668B (zh) * 2011-09-23 2014-12-24 理想能源设备(上海)有限公司 化学气相沉积装置
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9109754B2 (en) 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US8955547B2 (en) 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US20130145989A1 (en) * 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
CN102560429B (zh) * 2012-03-13 2014-12-03 中微半导体设备(上海)有限公司 金属有机气相沉积装置
US9328419B2 (en) 2012-04-18 2016-05-03 Hermes-Epitek Corporation Gas treatment apparatus with surrounding spray curtains
CN103388132B (zh) * 2012-05-11 2015-11-25 中微半导体设备(上海)有限公司 气体喷淋头、其制造方法及薄膜生长反应器
US8992684B1 (en) * 2012-06-15 2015-03-31 Ostendo Technologies, Inc. Epitaxy reactor internal component geometries for the growth of superior quality group III-nitride materials
US9577143B1 (en) 2012-06-15 2017-02-21 Ostendo Technologies, Inc. Backflow reactor liner for protection of growth surfaces and for balancing flow in the growth liner
US9023673B1 (en) 2012-06-15 2015-05-05 Ostendo Technologies, Inc. Free HCL used during pretreatment and AlGaN growth to control growth layer orientation and inclusions
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
WO2014051909A1 (en) * 2012-09-25 2014-04-03 Applied Materials, Inc. Chamber clean with in gas heating source
TWI480417B (zh) 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10316409B2 (en) * 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
DE102013101534A1 (de) * 2013-02-15 2014-08-21 Aixtron Se Gasverteiler für einen CVD-Reaktor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
TWI683382B (zh) * 2013-03-15 2020-01-21 應用材料股份有限公司 具有光學測量的旋轉氣體分配組件
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9252024B2 (en) * 2013-05-17 2016-02-02 Applied Materials, Inc. Deposition chambers with UV treatment and methods of use
JP6157942B2 (ja) * 2013-06-13 2017-07-05 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
JP6199619B2 (ja) * 2013-06-13 2017-09-20 株式会社ニューフレアテクノロジー 気相成長装置
JP6153401B2 (ja) * 2013-07-02 2017-06-28 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
JP6406811B2 (ja) * 2013-11-20 2018-10-17 国立大学法人名古屋大学 Iii 族窒化物半導体装置の製造装置および製造方法ならびに半導体ウエハの製造方法
CN104674190B (zh) * 2013-11-28 2017-10-20 中微半导体设备(上海)有限公司 一种半导体处理装置及应用于半导体处理装置的气体分布板
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US20150176124A1 (en) * 2013-12-19 2015-06-25 Intermolecular, Inc. Methods for Rapid Generation of ALD Saturation Curves Using Segmented Spatial ALD
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
TWI643971B (zh) * 2014-01-05 2018-12-11 美商應用材料股份有限公司 使用空間原子層沉積或脈衝化學氣相沉積之薄膜沉積
JP2017503079A (ja) * 2014-01-05 2017-01-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的原子層堆積又はパルス化学気相堆積を使用する膜堆積
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
JP6219179B2 (ja) * 2014-01-20 2017-10-25 東京エレクトロン株式会社 プラズマ処理装置
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
KR102306612B1 (ko) 2014-01-31 2021-09-29 램 리써치 코포레이션 진공-통합된 하드마스크 프로세스 및 장치
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
TWI545224B (zh) * 2014-02-26 2016-08-11 國立中央大學 用於有機金屬化學氣相沉積設備之進氣系統
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
JP6320824B2 (ja) * 2014-03-31 2018-05-09 株式会社東芝 ガス供給管、およびガス処理装置
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US10465288B2 (en) 2014-08-15 2019-11-05 Applied Materials, Inc. Nozzle for uniform plasma processing
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10273578B2 (en) * 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
JP2016081945A (ja) * 2014-10-09 2016-05-16 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
JP6516436B2 (ja) * 2014-10-24 2019-05-22 東京エレクトロン株式会社 成膜装置及び成膜方法
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10490429B2 (en) * 2014-11-26 2019-11-26 Applied Materials, Inc. Substrate carrier using a proportional thermal fluid delivery system
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US20160237570A1 (en) * 2015-02-13 2016-08-18 Applied Materials, Inc. Gas delivery apparatus for process equipment
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN104789943A (zh) * 2015-04-01 2015-07-22 沈阳拓荆科技有限公司 控温型双气体通道均匀喷气喷淋板
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
JP6718730B2 (ja) * 2016-04-19 2020-07-08 株式会社ニューフレアテクノロジー シャワープレート、気相成長装置及び気相成長方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
TWI612176B (zh) * 2016-11-01 2018-01-21 漢民科技股份有限公司 應用於沉積系統的氣體分配裝置
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10607817B2 (en) 2016-11-18 2020-03-31 Applied Materials, Inc. Thermal repeatability and in-situ showerhead temperature monitoring
US10934620B2 (en) * 2016-11-29 2021-03-02 Applied Materials, Inc. Integration of dual remote plasmas sources for flowable CVD
CN110050333B (zh) * 2016-12-08 2023-06-09 应用材料公司 时间性原子层沉积处理腔室
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11694911B2 (en) 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
CN106435528B (zh) * 2016-12-21 2019-02-19 江苏微导纳米装备科技有限公司 化学气相沉积设备
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
CN107326341B (zh) * 2017-07-14 2019-10-25 君泰创新(北京)科技有限公司 Lpcvd工艺腔匀气装置
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
KR20200091491A (ko) * 2017-12-20 2020-07-30 램 리써치 코포레이션 합금 원자 층 증착에서 전구체들의 균질 혼합을 위한 시스템들 및 방법들
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10472716B1 (en) * 2018-05-17 2019-11-12 Lam Research Corporation Showerhead with air-gapped plenums and overhead isolation gas distributor
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
TW202020218A (zh) 2018-09-14 2020-06-01 美商應用材料股份有限公司 用於多流前驅物配分劑量的裝置
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11834743B2 (en) * 2018-09-14 2023-12-05 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN111101117B (zh) * 2018-10-29 2022-07-22 北京北方华创微电子装备有限公司 匀气装置和半导体处理设备
KR102620219B1 (ko) * 2018-11-02 2024-01-02 삼성전자주식회사 기판 처리 방법 및 기판 처리 장치
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN109457236A (zh) * 2019-01-04 2019-03-12 中晟光电设备(上海)股份有限公司 一种进气顶盘及金属有机物化学气相沉积反应器
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
SG11202107817XA (en) * 2019-03-11 2021-09-29 Applied Materials Inc Lid assembly apparatus and methods for substrate processing chambers
EP3990984A4 (en) * 2019-06-27 2023-07-26 Lam Research Corporation DEVICE FOR DRY DEPOSITION OF PHOTOVARNISH
EP4067532A4 (en) * 2019-11-27 2023-08-16 Sino Nitride Semiconductor Co, Ltd GAN MATERIAL GROWTH LINEAR SPRAY HEAD
CN113122823B (zh) * 2019-12-31 2023-03-07 中微半导体设备(上海)股份有限公司 金属有机物化学气相沉积反应器
US11314168B2 (en) 2020-01-15 2022-04-26 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
CN112626496B (zh) * 2020-11-24 2022-04-05 鑫天虹(厦门)科技有限公司 喷头组件与原子层沉积设备
CN114622182A (zh) * 2020-12-10 2022-06-14 中国科学院微电子研究所 喷头及具有其的化学气相沉积装置
CN117062945A (zh) * 2020-12-24 2023-11-14 华为技术有限公司 反应器及生长装置

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3381114A (en) * 1963-12-28 1968-04-30 Nippon Electric Co Device for manufacturing epitaxial crystals
TW512183B (en) * 2000-03-16 2002-12-01 Applied Materials Inc One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US20060021574A1 (en) * 2004-08-02 2006-02-02 Veeco Instruments Inc. Multi-gas distribution injector for chemical vapor deposition reactors
TWM290304U (en) * 2004-07-29 2006-05-01 Applied Materials Inc Dual gas faceplate for a showerhead in a semiconductor wafer processing system
TWI269818B (en) * 2002-04-10 2007-01-01 Jusung Eng Co Ltd Showerhead of a CVD apparatus for manufacturing a semiconductor device
TWI283437B (en) * 2002-01-25 2007-07-01 Applied Materials Inc Gas distribution showerhead
TW200727987A (en) * 2006-01-19 2007-08-01 Atto Co Ltd Gas separation type showerhead

Family Cites Families (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5325889A (en) * 1993-03-30 1994-07-05 Millipore Corporation Laminated conduit plate for fluid delivery system
JPH0799162A (ja) * 1993-06-21 1995-04-11 Hitachi Ltd Cvdリアクタ装置
US5525159A (en) * 1993-12-17 1996-06-11 Tokyo Electron Limited Plasma process apparatus
TW359943B (en) * 1994-07-18 1999-06-01 Silicon Valley Group Thermal Single body injector and method for delivering gases to a surface
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
JP4147608B2 (ja) * 1998-03-06 2008-09-10 東京エレクトロン株式会社 熱処理装置
TW393786B (en) * 1998-03-26 2000-06-11 Min Shr Method for manufacturing an epitaxial chip
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6289842B1 (en) * 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
JP2000138168A (ja) * 1998-10-29 2000-05-16 Shin Etsu Handotai Co Ltd 半導体ウェーハ及び気相成長装置
DE29905885U1 (de) * 1999-02-25 1999-08-05 Fan Chen Yueh Rotationsvorrichtung für einen Duschkopf
US6245278B1 (en) * 1999-06-03 2001-06-12 Husky Injection Molding Systems Ltd. Injection nozzle and method
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
KR100360401B1 (ko) * 2000-03-17 2002-11-13 삼성전자 주식회사 슬릿형 공정가스 인입부와 다공구조의 폐가스 배출부를포함하는 공정튜브 및 반도체 소자 제조장치
KR100406174B1 (ko) * 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
KR101004199B1 (ko) * 2001-02-09 2010-12-24 도쿄엘렉트론가부시키가이샤 성막 장치
US7744957B2 (en) * 2003-10-23 2010-06-29 The Trustees Of Princeton University Method and apparatus for depositing material
KR100450068B1 (ko) * 2001-11-23 2004-09-24 주성엔지니어링(주) Cvd 장치의 멀티섹터 평판형 샤워헤드
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US20030159653A1 (en) * 2002-02-28 2003-08-28 Dando Ross S. Manifold assembly for feeding reactive precursors to substrate processing chambers
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US7018940B2 (en) * 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
KR20060011887A (ko) * 2003-05-30 2006-02-03 에비자 테크놀로지, 인크. 가스 분산 시스템
JP4306403B2 (ja) * 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
KR100513920B1 (ko) * 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
GB2415707A (en) * 2004-06-30 2006-01-04 Arima Optoelectronic Vertical hydride vapour phase epitaxy deposition using a homogenising diaphragm
JP2006080374A (ja) * 2004-09-10 2006-03-23 Sharp Corp 窒化物半導体の製造装置および窒化物半導体レーザ素子
US20060137608A1 (en) * 2004-12-28 2006-06-29 Choi Seung W Atomic layer deposition apparatus
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
JP4344949B2 (ja) * 2005-12-27 2009-10-14 セイコーエプソン株式会社 シャワーヘッド、シャワーヘッドを含む成膜装置、ならびに強誘電体膜の製造方法
US20070240631A1 (en) * 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US7674352B2 (en) * 2006-11-28 2010-03-09 Applied Materials, Inc. System and method for depositing a gaseous mixture onto a substrate surface using a showerhead apparatus
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
CN102174693B (zh) * 2007-01-12 2014-10-29 威科仪器有限公司 气体处理系统
WO2008118483A1 (en) * 2007-03-27 2008-10-02 Structured Materials Inc. Showerhead for chemical vapor deposition (cvd) apparatus
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
US7939450B2 (en) * 2007-09-21 2011-05-10 Tokyo Electron Limited Method and apparatus for spacer-optimization (S-O)
US7765077B2 (en) * 2007-09-21 2010-07-27 Tokyo Electron Limited Method and apparatus for creating a Spacer-Optimization (S-O) library
US7972898B2 (en) * 2007-09-26 2011-07-05 Eastman Kodak Company Process for making doped zinc oxide
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090162262A1 (en) * 2007-12-19 2009-06-25 Applied Material, Inc. Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090162261A1 (en) * 2007-12-19 2009-06-25 Kallol Baera Plasma reactor gas distribution plate having a vertically stacked path splitting manifold
US8009938B2 (en) * 2008-02-29 2011-08-30 Applied Materials, Inc. Advanced process sensing and control using near infrared spectral reflectometry
US8449679B2 (en) * 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
EP3471130A1 (en) * 2008-12-04 2019-04-17 Veeco Instruments Inc. Chemical vapor deposition flow inlet elements and methods
US8183132B2 (en) * 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
US8110889B2 (en) * 2009-04-28 2012-02-07 Applied Materials, Inc. MOCVD single chamber split process for LED manufacturing
US20110117728A1 (en) * 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US8272347B2 (en) * 2009-09-14 2012-09-25 Tokyo Electron Limited High temperature gas heating device for a vapor deposition system
US20110204376A1 (en) * 2010-02-23 2011-08-25 Applied Materials, Inc. Growth of multi-junction led film stacks with multi-chambered epitaxy system
US8409352B2 (en) * 2010-03-01 2013-04-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US8910644B2 (en) * 2010-06-18 2014-12-16 Applied Materials, Inc. Method and apparatus for inducing turbulent flow of a processing chamber cleaning gas
US20120015502A1 (en) * 2010-07-14 2012-01-19 Jie Cui p-GaN Fabrication Process Utilizing a Dedicated Chamber and Method of Minimizing Magnesium Redistribution for Sharper Decay Profile
US20120118225A1 (en) * 2010-09-16 2012-05-17 Applied Materials, Inc. Epitaxial growth temperature control in led manufacture
FI123320B (en) * 2012-02-17 2013-02-28 Beneq Oy Nozzle and nozzle head
US20130269612A1 (en) * 2012-04-16 2013-10-17 Hermes-Epitek Corporation Gas Treatment Apparatus with Surrounding Spray Curtains
US9328419B2 (en) * 2012-04-18 2016-05-03 Hermes-Epitek Corporation Gas treatment apparatus with surrounding spray curtains
KR102371535B1 (ko) * 2014-04-18 2022-03-04 어플라이드 머티어리얼스, 인코포레이티드 서셉터 온도 확인을 위한 장치 및 사용 방법들
US20150361582A1 (en) * 2014-06-17 2015-12-17 Veeco Instruments, Inc. Gas Flow Flange For A Rotating Disk Reactor For Chemical Vapor Deposition

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3381114A (en) * 1963-12-28 1968-04-30 Nippon Electric Co Device for manufacturing epitaxial crystals
TW512183B (en) * 2000-03-16 2002-12-01 Applied Materials Inc One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
TWI283437B (en) * 2002-01-25 2007-07-01 Applied Materials Inc Gas distribution showerhead
TWI269818B (en) * 2002-04-10 2007-01-01 Jusung Eng Co Ltd Showerhead of a CVD apparatus for manufacturing a semiconductor device
TWM290304U (en) * 2004-07-29 2006-05-01 Applied Materials Inc Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US20060021574A1 (en) * 2004-08-02 2006-02-02 Veeco Instruments Inc. Multi-gas distribution injector for chemical vapor deposition reactors
TW200727987A (en) * 2006-01-19 2007-08-01 Atto Co Ltd Gas separation type showerhead

Also Published As

Publication number Publication date
US20090098276A1 (en) 2009-04-16
US20140014745A1 (en) 2014-01-16
US7976631B2 (en) 2011-07-12
US8481118B2 (en) 2013-07-09
US20120024388A1 (en) 2012-02-02
US9644267B2 (en) 2017-05-09
CN101413112A (zh) 2009-04-22
TW200927296A (en) 2009-07-01
WO2009052212A1 (en) 2009-04-23
CN101413112B (zh) 2013-04-17

Similar Documents

Publication Publication Date Title
TWI465294B (zh) 具有多氣體直通道之噴頭
TWI478771B (zh) 多氣體同心注入噴頭
US20090095222A1 (en) Multi-gas spiral channel showerhead
US9449859B2 (en) Multi-gas centrally cooled showerhead design
US10130958B2 (en) Showerhead assembly with gas injection distribution devices
CN101328579B (zh) Hvpe喷头设计
KR101906355B1 (ko) 가스 주입 분배 장치들을 갖는 샤워헤드 조립체
US20090194024A1 (en) Cvd apparatus
US20120000490A1 (en) Methods for enhanced processing chamber cleaning
US8491720B2 (en) HVPE precursor source hardware
JP2005528777A (ja) 反転型cvdのための装置
CN101423930A (zh) 具有前驱物源的喷头设计