CN101413112A - 多种气体直通道喷头 - Google Patents
多种气体直通道喷头 Download PDFInfo
- Publication number
- CN101413112A CN101413112A CNA2008101706030A CN200810170603A CN101413112A CN 101413112 A CN101413112 A CN 101413112A CN A2008101706030 A CNA2008101706030 A CN A2008101706030A CN 200810170603 A CN200810170603 A CN 200810170603A CN 101413112 A CN101413112 A CN 101413112A
- Authority
- CN
- China
- Prior art keywords
- gas
- precursor
- gas injection
- injection holes
- channel
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 239000007789 gas Substances 0.000 claims abstract description 479
- 239000002243 precursor Substances 0.000 claims abstract description 121
- 239000000758 substrate Substances 0.000 claims abstract description 92
- 238000000034 method Methods 0.000 claims abstract description 21
- 238000012545 processing Methods 0.000 claims abstract description 21
- 238000002156 mixing Methods 0.000 claims abstract description 15
- 229910052751 metal Inorganic materials 0.000 claims abstract description 14
- 239000002184 metal Substances 0.000 claims abstract description 14
- 238000005229 chemical vapour deposition Methods 0.000 claims abstract description 9
- 150000004678 hydrides Chemical class 0.000 claims abstract description 6
- 238000002347 injection Methods 0.000 claims description 158
- 239000007924 injection Substances 0.000 claims description 158
- 239000012530 fluid Substances 0.000 claims description 45
- 238000004891 communication Methods 0.000 claims description 22
- 239000000203 mixture Substances 0.000 claims description 10
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 claims description 5
- 239000000460 chlorine Substances 0.000 claims description 5
- 229910052733 gallium Inorganic materials 0.000 claims description 5
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims description 4
- 229910052782 aluminium Inorganic materials 0.000 claims description 4
- 239000004411 aluminium Substances 0.000 claims description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 4
- 229910052801 chlorine Inorganic materials 0.000 claims description 4
- 229910052794 bromium Inorganic materials 0.000 claims description 3
- 238000000407 epitaxy Methods 0.000 claims description 3
- 229910052740 iodine Inorganic materials 0.000 claims description 3
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 claims description 2
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 claims description 2
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 claims description 2
- 229910052738 indium Inorganic materials 0.000 claims description 2
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 claims description 2
- 239000011630 iodine Substances 0.000 claims description 2
- 230000001105 regulatory effect Effects 0.000 claims 1
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 abstract description 22
- 238000000151 deposition Methods 0.000 abstract description 18
- 230000008021 deposition Effects 0.000 abstract description 14
- 229910021529 ammonia Inorganic materials 0.000 abstract description 10
- 238000006243 chemical reaction Methods 0.000 abstract description 5
- 238000009833 condensation Methods 0.000 abstract description 4
- 230000005494 condensation Effects 0.000 abstract description 4
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 abstract description 4
- JLTRXTDYQLMHGR-UHFFFAOYSA-N trimethylaluminium Chemical compound C[Al](C)C JLTRXTDYQLMHGR-UHFFFAOYSA-N 0.000 abstract description 3
- XCZXGTMEAKBVPV-UHFFFAOYSA-N trimethylgallium Chemical compound C[Ga](C)C XCZXGTMEAKBVPV-UHFFFAOYSA-N 0.000 abstract description 3
- IBEFSUTVZWZJEL-UHFFFAOYSA-N trimethylindium Chemical compound C[In](C)C IBEFSUTVZWZJEL-UHFFFAOYSA-N 0.000 abstract description 3
- 239000012808 vapor phase Substances 0.000 abstract 1
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 21
- 238000005530 etching Methods 0.000 description 11
- 150000004767 nitrides Chemical class 0.000 description 11
- 238000004140 cleaning Methods 0.000 description 10
- 238000010438 heat treatment Methods 0.000 description 9
- 229910052757 nitrogen Inorganic materials 0.000 description 9
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 8
- 238000002248 hydride vapour-phase epitaxy Methods 0.000 description 8
- 238000007514 turning Methods 0.000 description 8
- 238000005516 engineering process Methods 0.000 description 7
- 239000002699 waste material Substances 0.000 description 7
- 239000012159 carrier gas Substances 0.000 description 5
- 239000002019 doping agent Substances 0.000 description 5
- 239000007788 liquid Substances 0.000 description 5
- 239000011777 magnesium Substances 0.000 description 5
- 239000000463 material Substances 0.000 description 5
- 238000010926 purge Methods 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- 229910052786 argon Inorganic materials 0.000 description 4
- UPWPDUACHOATKO-UHFFFAOYSA-K gallium trichloride Chemical compound Cl[Ga](Cl)Cl UPWPDUACHOATKO-UHFFFAOYSA-K 0.000 description 4
- 230000012010 growth Effects 0.000 description 4
- 229910052734 helium Inorganic materials 0.000 description 4
- 229910052759 nickel Inorganic materials 0.000 description 4
- 239000012071 phase Substances 0.000 description 4
- 230000005619 thermoelectricity Effects 0.000 description 4
- LYCAIKOWRPUZTN-UHFFFAOYSA-N Ethylene glycol Chemical compound OCCO LYCAIKOWRPUZTN-UHFFFAOYSA-N 0.000 description 3
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 238000013461 design Methods 0.000 description 3
- 238000009826 distribution Methods 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 230000014509 gene expression Effects 0.000 description 3
- 239000001257 hydrogen Substances 0.000 description 3
- 150000002431 hydrogen Chemical class 0.000 description 3
- 229910052739 hydrogen Inorganic materials 0.000 description 3
- 238000005259 measurement Methods 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 239000010703 silicon Substances 0.000 description 3
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 3
- 229910017083 AlN Inorganic materials 0.000 description 2
- PIGFYZPCRLYGLF-UHFFFAOYSA-N Aluminum nitride Chemical compound [Al]#N PIGFYZPCRLYGLF-UHFFFAOYSA-N 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- FYYHWMGAXLPEAU-UHFFFAOYSA-N Magnesium Chemical compound [Mg] FYYHWMGAXLPEAU-UHFFFAOYSA-N 0.000 description 2
- 229910045601 alloy Inorganic materials 0.000 description 2
- 239000000956 alloy Substances 0.000 description 2
- 239000007795 chemical reaction product Substances 0.000 description 2
- 238000005323 electroforming Methods 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 150000004820 halides Chemical class 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 238000002955 isolation Methods 0.000 description 2
- 229910052749 magnesium Inorganic materials 0.000 description 2
- 239000012528 membrane Substances 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 230000002093 peripheral effect Effects 0.000 description 2
- 238000007747 plating Methods 0.000 description 2
- 239000010453 quartz Substances 0.000 description 2
- 230000005855 radiation Effects 0.000 description 2
- 239000013049 sediment Substances 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 239000010935 stainless steel Substances 0.000 description 2
- 229910001220 stainless steel Inorganic materials 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- RXMRGBVLCSYIBO-UHFFFAOYSA-M tetramethylazanium;iodide Chemical compound [I-].C[N+](C)(C)C RXMRGBVLCSYIBO-UHFFFAOYSA-M 0.000 description 2
- 238000013022 venting Methods 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- 229910002704 AlGaN Inorganic materials 0.000 description 1
- MHYQBXJRURFKIN-UHFFFAOYSA-N C1(C=CC=C1)[Mg] Chemical compound C1(C=CC=C1)[Mg] MHYQBXJRURFKIN-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 229910000807 Ga alloy Inorganic materials 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- 230000003466 anti-cipated effect Effects 0.000 description 1
- NWAIGJYBQQYSPW-UHFFFAOYSA-N azanylidyneindigane Chemical compound [In]#N NWAIGJYBQQYSPW-UHFFFAOYSA-N 0.000 description 1
- 230000033228 biological regulation Effects 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 238000009835 boiling Methods 0.000 description 1
- 239000012707 chemical precursor Substances 0.000 description 1
- XOYLJNJLGBYDTH-UHFFFAOYSA-M chlorogallium Chemical compound [Ga]Cl XOYLJNJLGBYDTH-UHFFFAOYSA-M 0.000 description 1
- 230000002860 competitive effect Effects 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- NTQGILPNLZZOJH-UHFFFAOYSA-N disilicon Chemical compound [Si]#[Si] NTQGILPNLZZOJH-UHFFFAOYSA-N 0.000 description 1
- 238000010574 gas phase reaction Methods 0.000 description 1
- 239000007792 gaseous phase Substances 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 229910002804 graphite Inorganic materials 0.000 description 1
- 239000010439 graphite Substances 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 239000013529 heat transfer fluid Substances 0.000 description 1
- 239000012433 hydrogen halide Substances 0.000 description 1
- 229910000039 hydrogen halide Inorganic materials 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 230000001788 irregular Effects 0.000 description 1
- 239000007791 liquid phase Substances 0.000 description 1
- 229910001338 liquidmetal Inorganic materials 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 230000004807 localization Effects 0.000 description 1
- 230000033001 locomotion Effects 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 1
- 239000003921 oil Substances 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 239000000523 sample Substances 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 238000007789 sealing Methods 0.000 description 1
- 238000004062 sedimentation Methods 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- 230000008646 thermal stress Effects 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 239000012780 transparent material Substances 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45514—Mixing in close vicinity to the substrate
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45519—Inert gas curtains
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45574—Nozzles for more than one gas
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45578—Elongated nozzles, tubes with holes
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B25/00—Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
- C30B25/02—Epitaxial-layer growth
- C30B25/14—Feed and outlet means for the gases; Modifying the flow of the reactive gases
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B29/00—Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
- C30B29/10—Inorganic compounds or compositions
- C30B29/40—AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
- C30B29/403—AIII-nitrides
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T137/00—Fluid handling
- Y10T137/0318—Processes
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T137/00—Fluid handling
- Y10T137/8593—Systems
- Y10T137/87153—Plural noncommunicating flow paths
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Materials Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Mechanical Engineering (AREA)
- Crystallography & Structural Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
本发明提供了多种气体直通道喷头,更具体地提供了一种可以用于化学气相沉积和/或氢化物气相外延(HVPE)的方法和装置。在一个实施例中,金属有机化学气相沉积(MOCVD)工艺用于在多个衬底上沉积III族氮化物膜。诸如三甲基镓、三甲基铝、和三甲基铟的III族前驱物以及诸如氨的含氮前驱物被输送到多个对所述前驱物气体进行隔离的多个直的通道。前驱物气体被注入到混合通道,其中所述气体在进入包含衬底的处理容积之前在该混合通道处混合。提供热交换通道用于混合通道的温度控制以防止前驱物的不期望冷凝和反应。
Description
技术领域
本发明的实施例一般涉及用于在衬底上化学气相沉积(CVD)的方法和装置,更具体地说,涉及一种在金属有机化学气相沉积和/或氢化物气相外延(HVPE)中使用的喷头设计。
背景技术
III-V族膜在诸如短波长光发射二极管(LED)、激光二极管(LD)的多种半导体器件,以及包括高功率、高频、高温晶体管和集成电路的电子器件的发展和制造中越来越重要。例如,利用III族氮化半导体处理氮化镓(GaN)来制造短波长(例如,蓝/绿到紫外)LED。已经观察到利用GaN制造的短波长LED能够提供比利用诸如II-VI族材料的非氮化半导体材料制造的短波长LED明显更大的效率和更长的操作寿命。
已经用于沉积诸如GaN的III族氮化物的一种方法是金属有机化学气相沉积(MOCVD)。这种化学气相沉积方法一般在具有温度可控的环境的反应器中执行以确保包含来自III组的诸如镓(Ga)的至少一种元素的第一前驱物气体的稳定性。诸如氨(NH3)的第二前驱气体,提供形成III族氮化物所需的氮。这两种前驱物气体被注入到反应器内的处理区域,在反应器内他们混合并朝处理区域中的加热衬底运动。载气可以用于辅助前驱物气体朝衬底的传输。前驱物在加热的衬底的表面上反应以在衬底表面上形成诸如GaN的III组氮化物层。膜的质量部分取决于沉积均匀性,反过来,取决于跨过衬底表面的前驱物的均匀混合。
多个衬底可以布置在衬底载体上并且每个衬底可以具有从50mm到100nm或更大的直径。期望在更大衬底和/或更多衬底和更大的沉积区域上前驱物的均匀混合,以便增加产率和产量。由于这些因素直接影响生产电子器件的成本,因而影响器件制造商在市场中的竞争力,因此它们很重要。
随着对LED、LD、晶体管和集成电路的需求增加,沉积高质量III族氮化物膜的效率更加重要。因此,需要有能够提供在较大的衬底和较大的沉积区域上和均匀前驱物混合和均匀膜质量的一种改进的沉积装置和工艺。
发明内容
本发明一般提供用于利用MOCVD和/或HVPE沉积III族氮化物膜的改进的方法和装置。
一个实施例提供一种用于在衬底上沉积的气体输送装置。该装置一般包括用于第一前驱物气体的多个直的和平行的气流通道以及用于第二前驱物气体的多个直的和平行的气流通道,其中用于第一前驱物气体的气流通道平行于用于第二期前物气体的气流通道。
另一实施例提供用于一种在衬底上沉积的气体输送装置。该装置一般包括第一气流通道、第二气流通道、与第一气流通道流体相通的多个气体注射孔、与第二气流通道流体相通的多个第二气体注射孔、以及设置在远离第一和第二气体注射孔的下游的混合通道,用于将通过第一气体注射孔注入的第一气体和通过第二气体注射孔注入的第二气体混合。
在又一实施例中,公开了用于一种在衬底上沉积的气体输送装置。该装置包括第一气流通道、第二气流通道、与第一和第二气流通道中的每个流体相通的气体注射孔、以及热交换通道,其设置在气体注射孔之间,并形成在经过气体注射孔朝衬底处理容积的气体注入的方向延伸的壁内,其中壁的外部限定混合通道,第一气体和第二气体通过气体注射孔注入到混合通道中以在其中进行混合。
在另一实施例中,公开了一种用于在衬底上沉积的气体输送装置。该装置包括第一气流通道、第二气流通道、与第一和第二气流通道中的每个流体相通的气体注射孔,其中气体注射孔被布置为限定多个基本楔形形状的气体注射区域,具有用于注入气体的气体注射孔的每个气体注射区域不同于相邻的气体注射区域。
在一个实施例中,公开了用于一种在衬底上沉积的方法。该方法包括使用具有混合区域的喷头装置,该方法还包括在形成于喷头中的通道中流入第一和第二气体,以及将在通道中流动的第一和第二气体注入混合区域中。
附图说明
因此为了更详细地理解本发明的以上所述特征,将参照附图中示出的实施例对以上简要概括的本发明进行更具体描述。然而,应该注意,附图中只示出了本发明典型的实施例,因此不能认为是对本发明范围的限定,本发明可以允许其他等同的有效实施例。
图1A是根据本发明的一个实施例的沉积装置的示意视图;
图1B是图1A所示的沉积室的详细视图;
图2A是根据本发明的一个实施例的图1B所示的喷头组件的详细剖面视图;
图2B—2D是关于混合通道和热交换通道的不同实施例的剖面图;
图2E是根据本发明的一个实施例的混合通道和热交换通道的剖面透视剖视图;
图2F是根据本发明的一个实施例的喷头组件的剖面透视剖视图;
图2G是根据本发明的一个实施例的图2F所示的气体通道和气室的剖面图;
图3A和3B是根据本发明的喷头组件的另外实施例的剖面图;
图4A是根据本发明的一个实施例的图2C和2E所示的喷头组件的示意仰视图;
图4B是根据本发明的一个实施例的图3B所示的喷头组件的示意仰视图;
图5是根据本发明的喷头组件的另外实施例的示意仰视图;
图6A和6B是示出关于气体注射区域的不同实施例的喷头组件的示意仰视图。
为了便于理解,尽可能使用相同的参考数字来指示图中共同的相同元件。预期一个实施例的元件和部件可以有利地结合在其他实施例中,而不进一步叙述。
具体实施方式
本发明的实施例一般涉及可以用于利用MOCVD和/或HVPE沉积III族氮化物膜的一种方法和装置。图1A是根据本发明的一个实施例的可以用于实施本发明的沉积装置的示意图。在2006年4月14日提交的美国专利申请序列号No.11/404,516和11/429,022中描述了可以适用于实施本发明的示例性系统和室,在此引入两者的全部内容作为参考。
图1A所示的装置100包括室102、气体输送装置125、远程等离子体源126和真空系统112。室102包括包围处理容积108的室体103。喷头组件104设置在处理容积108的一端,衬底载体114设置在处理容积108的另一端。下部圆顶119设置在下部容积110的一端,而衬底载体114设置在下部容积110的另一端。衬底载体114在处理位置示出,还可以移动到例如,可以装载或卸载衬底140的下部位置。排气环120可以围绕衬底载体114的外围设置以有助于防止沉积发生在下部容积110中,并还帮助将废弃从室102直接引导到排气孔109。下部圆顶119可以由诸如高纯度石英的透明材料制成,以允许光通过,便于衬底140的辐射加热。可以利用设置在下部圆顶119下方的多个内部灯121A和外部灯121B提供辐射加热,反射器166可以用于帮助控制室102暴露于由内部和外部灯121A、121B提供的辐射能量中。还可以使用灯的附加环用于衬底140的更精确的温度控制。
衬底载体114可以包括一个或多个凹进116,其中在处理期间一个或多个衬底140可以设置在凹进内。衬底载体114可以承载6个或更多衬底140。在一个实施例中,衬底载体114承载8个衬底140。需要理解更多或更少衬底140可以承载在衬底载体114上。典型衬底140可以包括蓝宝石、碳化硅(SiC)、硅、或氮化镓(GaN)。需要理解可以处理诸如玻璃衬底140的其他类型衬底140。衬底140的直径尺寸可以为从50mm—100mm的范围或更大。衬底载体114尺寸可以为从200mm—750mm的范围。衬底载体114可以由包括SiC或SiC覆盖的石墨形成。需要理解具有其他尺寸的衬底140可以在室102内并根据在此描述的工艺来处理。如在此描述的,与传统MOCVD室比较,喷头组件104可以允许在跨过更多数量的衬底140和/或更大衬底140表面上的更均匀沉积,从而增加产量并降低每个衬底140的处理成本。
在处理期间衬底载体114可以围绕轴旋转。在一个实施例中,衬底载体114可以以约2RPM到约100RPM旋转。在另一实施例中,衬底载体114可以以约30RPM旋转。旋转衬底载体114有助于提供衬底140的更均匀加热和处理气体更均匀暴露给每个衬底140。
多个内部和外部灯121A、121B可以布置在同心环或区域(未示出)中,并且每个灯区域可以独立供电。在一个实施例中,诸如高温计的一个或多个温度传感器,可以设置在喷头组件104内以测量衬底140和衬底载体114温度,并且温度数据可以发送给控制器(未示出),该控制器可以调节提供给单独灯区域的电力以维持跨过衬底载体114的表面的预定温度分布。在另一实施例中,可以调节提供给单独灯区域的电力以对前驱物流或前驱物浓度不均匀性进行补偿。例如,如果在靠近外部灯区域的衬底载体114的前驱物浓度较低,则可以调节提供给外部灯区域的电力以帮助对在该区域中损耗的前驱物进行补偿。
内部和外部灯121A、121B可以将衬底140加热到约400摄氏度到约1200摄氏度的温度。需要理解本发明不限于使用内部和外部灯121A、121B阵列。可以采用任何合适的加热源来保证适当的温度足以施加给室102和在其中的衬底140。例如,在另一实施例中,热源可以包括与衬底载体114热接触的电阻加热元件(未示出)。
气体输送系统125可以包括多个气体源,或取决于执行的工艺,一些源可以是液体源,而不是气体,在这种情况中,气体输送系统可以包括液体注入系统或蒸发该液体的其他装置(例如,起泡器)。然后,蒸汽在输送到室102之前可以与载气混合。不同气体,诸如前驱物气体、载气、净化气体、清洁/蚀刻气体或其他可以由气体输送系统125提供给单独的供应线路131、132和133至喷头组件104。供应线路131、132和133可以包括截止阀和质量流量计或其他类型的控制器来控制和调节或截断每条线路中的气流。
导管(conduit)129可以接收来自远程等离子体源126的清洁/蚀刻气体。远程等离子体源126可以接收来自气体输送系统125经过供应线路124的气体,阀130可以设置在喷头组件104和远程等离子体源126之间。可以打开阀130以允许清洁和/或蚀刻气体或等离子体经由供应线路133流入喷头组件104,所述供应线路133可以适用于起对于等离子体的导管的作用。在另一实施例中,装置100可以不包括远程等离子体源126,以及清洁/蚀刻气体可以利用对于喷头组件104的交替供应线路由气体输送系统125输送,便于非等离子体清洁和/或蚀刻。
远程等离子体源126可以是适用于室102清洁和/或衬底140蚀刻的射频或微波等离子体源。清洁和/或蚀刻气体可以经由供应线路124提供给远程等离子体源126以产生等离子体物种,该等离子体物种可以经由导管129和供应线路133输送,以便通过喷头组件104的扩散到室102中。用于清洁应用的气体可以包括氟、氯或其他反应元素。
在另一实施例中,气体输送系统125和远程等离子体源126可以相配适应以便前驱物气体可以提供给远程等离子体源126以产生等离子体物种,该等离子体物质可以通过喷头组件104输送以例如,在衬底140上沉积诸如III-V膜CVD层。
净化气体(例如,氮气)可以从喷头组件104和/或从设置在衬底载体114下方和靠近室体103的底部的气体进气口或管子(未示出)输送到室102中。净化气体进入室102的下部容积110并向上流过衬底载体114和排气环120,并进入围绕环形排气通道105设置的多个排气口109。排气导管106使环形排气通道105连接到真空系统112,该真空系统包括真空泵(未示出)。可以利用阀系统107控制室102压力,所述阀系统107控制排放气体从环形排气通道105抽吸的速率。
图1B是图1A所示的沉积室的详细视图。喷头组件104在衬底140处理期间靠近衬底载体114设置。在一个实施例中,在处理期间从喷头正面153到衬底载体114的距离可以是从约4mm到约40mm的范围。在一个实施例中,喷头正面153可以包括喷头组件104的多个表面,所述多个表面近似共面并在处理期间面向衬底。
在衬底140处理期间,根据本发明的一个实施例,处理气体152从喷头组件104流向衬底140表面。处理气体152可以包括一种或多种前驱物气体,以及可以与前驱物混合的载气和掺杂剂气体。环形排气通道105的抽吸可以影响气流,从而处理气体152基本上正切于衬底140流动,并可以以层流径向均匀分布在跨过衬底140沉积表面上。处理容积108可以维持在约760Torr下至约80Torr的压力下。
在衬底140表面或其附近的处理气体152前驱物的反应可以在衬底140上沉积包括GaN、氮化铝(AIN)和氮化铟(InN)的各种金属氮化物。多种金属还可以用于诸如AlGaN和/或InGaN的其他化合物膜的沉积。另外,诸如硅(Si)或镁(Mg)的掺杂剂可以添加到膜中。在沉积工艺期间通过添加小量掺杂剂气体可以对膜进行掺杂。对于硅掺杂来说,可以使用例如硅烷(SiH4)或乙硅烷(Si2H6)气体,对于镁掺杂,掺杂剂气体可以包括二(环戊二烯基)镁(Cp2Mg或(C5H5)2Mg)。
在一个实施例中,喷头组件104包括第一气室144、第二气室145、气体导管146、147、第一气体通道142、第二气体通道143、热交换通道141、混合通道150和中心导管148。第一和第二气体通道142、143可以包括多个彼此平行的直的通道,以及具有在通道的底部并沿每个通道的长度设置的多个第一气体注射孔156和第二气体注射孔157。在一个实施例中,气体导管146、147可以包括石英或诸如316L不锈钢、无电镀镍铝、纯镍的其他材料,以及耐化学腐蚀的其他金属和合金。
喷头组件104可以经由供应线路131、132和133接受气体。第一前驱物气体154和第二前驱物气体155通过供应线路131和132流入第一和第二气室144和145,而非反应性气体151,其可以是诸如氢气(H2)、氮气(N2)、氦(He)、氩(Ar)或其他气体和其组合,可以经过耦接到位于或靠近喷头组件104的中心设置的中心导管148的供应线路133流动。中心导管148可以起中心惰性气体扩散器的作用,其将非反应气体151流入处理容积108的中心区域中,以有助于防止在中心区域中的气体环流。在另一实施例中,中心导管148可以输送前驱物气体。
在再一实施例中,清洁气体和/或蚀刻气体可以通过中心导管148输送到室102中。中心导管148可以适用于在室102内扩散清洁和/或蚀刻气体或等离子体以提供更有效蚀刻。在其他实施例中,装置100可以适用于通过诸如第一和第二气体注射孔156、157将清洁和/或蚀刻气体或等离子体输送到室102中。在一个实施例中,氟基或氯基等离子体可以用于蚀刻或清洁。在其他实施例中,诸如Cl2、Br和I2的卤素气体或诸如HCl、HBr和HI的卤化氢可以用于非等离子体蚀刻。
在另一实施例中,中心导管148可以起测量端口的作用,并且计量工具(未示出)可以耦合到中心导管148。测量工具可以用于测量诸如厚度、粗糙度、组分的膜特性或其他特性。在另一实施例中,中心导管148可以适用于起对于诸如高温计或热电偶的温度传感器的端口的作用。
第一和第二前驱物气体154、155从第一和第二气室144、145流入气体导管146和147,所述导管146和147与第一和第二气体通道142和143流体相通。第一和第二前驱物气体154、155从第一和第二气体通道142、143流入第一和第二气体注射孔156、157,然后流入混合通道150,其中第一和第二前驱物气体154、155在此混合以形成然后流入处理容积108中的处理气体。在一个实施例中,可以包括氮气(N2)或氢气(H2)或惰性气体的载气在输送到喷头组件104之前,可以与第一和第二前驱物气体154、155混合。
在一个实施例中,输送到第一气室144的第一前驱物气体154可以包括III族前驱物,而输送到第二气室145的第二前驱物气体155可以包括V族前驱物。在另一实施例中,可以转换前驱物的输送,从而III族前驱物输送到第二气室145,V族前驱物输送到第一气室144。部分通过气室离热交换通道141的距离和对于每个气室和其中的前驱物可能维持的所需温度范围,可以确定对于特定前驱物的第一或第二气室144、145的选择。
III族前驱物可以是诸如三甲基镓(“TMG”)、三甲基铝(“TMAI”)、和/或三甲基铟(“TMI”)的金属有机(MO)前驱物,还可以使用其他合适的MO前驱物。V族前驱物可以是诸如氨(NH3)的含氮气体。在一个实施例中,诸如TMG的单一MO前驱物,可以输送到任一第一或第二气室144或145。在另一实施例中,诸如TMG和TMI的两种或更多MO前驱物可以进行混合并输送到任一第一或第二气室144或145。
邻近第一和第二气体通道142、143和混合通道150的是可以热交换通道141,热交换流体通过该热交换通道141流动以帮助调节喷头组件104的温度。合适的热交换流体可以包括水、包含有水的乙烯乙二醇混合物、全氟聚醚(例如,流体),包含有油的热传输流体或类似流体。当需要将喷头组件104的温度维持在期望的温度范围之内时,热交换流体可以循环穿过热交换器(未示出)以升高或降低热交换流体的温度。在一个实施例中,热交换流体可以维持在约20摄氏度到约120摄氏度的温度范围内。在另一实施例中,热交换流体可以维持在约100摄氏度到约350摄氏度的温度范围内。在又一实施例中,热交换流体可以维持在大于350摄氏度的温度。还可以将热交换流体加热到沸点以上,以便喷头组件104可以使用容易获得的热交换流体维持在更高的温度。另外,热交换流体可以是诸如镓或镓合金的液体金属。
还可以调节热交换流体的流率以帮助控制喷头组件104的温度。另外,热交换通道141的壁厚度可以设计为辅助各种喷头表面的温度调节。例如,喷头正面153的壁厚度T(参见图2A)可以制造得更薄以增加通过壁的热传递速度,从而增加喷头正面153的冷却和加热速度。
对于诸如混合通道150和喷头正面153各种喷头组件104部件的温度控制,期望减少或消除在喷头组件104上冷凝的形成,同时减少气相颗粒形成,并防止不期望的前驱物反应产物的生成,其可能对在衬底140上沉积的膜的组分有不利影响。在一个实施例中,一个或多个热电偶或其他温度传感器(未示出)可以靠近喷头正面153设置以测量喷头温度。一个或多个热电偶或其他温度传感器靠近中心导管148和/或喷头组件104的外部周界504(参见图5)设置。在另一实施例中,一个或多个热电偶或其他温度传感器靠近热交换通道141入口和出口设置。在其他实施例中,温度传感器靠近其他喷头组件104部件定位。在其他实施例中,温度传感器可以靠近其他喷头组件104部件定位。
通过一个或多个热电偶或其他温度传感器测得的温度数据可以发送给控制器(未示出),其可以调节热交换流体温度和流率以将喷头温度维持在预定范围内。在一个实施例中,喷头温度可以维持在约50摄氏度到约350摄氏度。在另一实施例中,喷头温度可以维持在大于350摄氏度的温度。
图2A是根据本发明的一个实施例的图1B所示的喷头组件104的详细剖面图。第一和第二前驱物气体154、155从第一和第二气体通道142、143流入第一和第二气体注射孔156、157,然后流入混合通道150。第一气体注射孔156具有直径D1,而第二气体注射孔157具有直径D2。在一个实施例中,直径D1和D2相等,并可以为从约0.25mm到约1.5mm的范围。在另一实施例中,第一和第二气体注射孔156、157的直径D1和D2可以不相等。例如,第二气体注射孔157可以具有比第一气体注射孔156的直径D1大的直径D2,所述第二气体注射孔157提供诸如氨(NH3)的氮前驱物,所述第一气体注射孔156可以提供金属有机前驱物。孔直径D1和D2可以选择为辅助层状气流,避免气体回流,并可帮助提供通过第一和第二气体注射孔156、157的第一和第二前驱物气体154、155的预期流率。在一个实施例中,通过第一和第二气体注射孔156、157每个的气体流率可以大约相等。第一和第二气体注射孔156、157具有间隔距离X,其可以选择为辅助气体混合和使气体回流最小化。
第一和第二前驱物气体154、155在混合通道150内混合以形成处理气体152。混合通道150允许第一和第二前驱物气体154、155在进入处理容积108之前部分或全部混合,当处理气体152朝衬底140流动时,附加前驱物混合可以在处理容积108内发生。第一和第二前驱物气体154、155在混合通道150内的“预先混合”可以在处理气体152到达衬底140之前提供前驱物的更完全和均匀的混合,导致更高的沉积速率和改进的膜质量。
混合通道150的垂直壁201可以由与混合通道150相邻的热交换通道141的外部壁或表面壁形成。在一个实施例中,混合通道150包括由基本上彼此平行的垂直壁201形成的外壁。混合通道150的高度H可以从通道表面202到拐角203进行测量,其中混合通道150在拐角203处终止,以及发散壁(divergingwall)200在拐角203开始,并从拐角203延伸到喷头正面153。在一个实施例中,混合通道150的高度H可以为从约7mm到约15mm的范围。在另一实施例中,混合通道150的高度H可以超过15mm。在一个实施例中,混合通道150的宽度W1可以为从约5mm到约20mm的范围,而热交换通道141的宽度W2可以为从约7mm到约13mm的范围。发散壁200之间的距离可以在衬底140的方向增加,从而喷头正面153的表面积减小,并且气流路径随着处理气体152下游流动而变宽。喷头正面153的表面积减小可以有助于减少气体冷凝,发散壁200可以有助于减少在处理气体152流经热交换通道141时的气体回流。扩张角度α可以选择为增加或减小喷头正面153的表面积,并帮助减少气体回流。在一个实施例中,角度α是0度。在另一实施例中,角度α是45度。
图2B—2D是关于混合通道150和热交换通道141的不同实施例的剖面图。图2B示出具有多个拐角206且不具有发散壁200的热交换通道141。在该实施例中,混合通道150具有从通道表面202延伸到喷头正面153的垂直壁201。发散壁200不存在可以导致对于混合通道150的更大高度H,因此,更大的混合路线长度,这可以辅助第一和第二前驱物气体154、155的更完全混合。图2C是前文已经描述的实施例,其可以在拐角206处放置斜面(chamfer)、斜角(bevel)、扇形(radius)或其他几何部件以在具有高度H的混合通道150的一端产生发散壁200。图2D示出另一实施例,其中垂直壁201和发散壁200都使用并关于热交换通道141的中心平面205不对称定位。这种不对称壁构造可以使在处理气体152从喷头组件104流到衬底140,并到环形排气通道105时的回流最小。高度H1和H2是分别从通道表面202到拐角203和206测得。高度H1和H2可以用于表示混合通道150的有效长度的特征。
图2E是根据本发明的一个实施例的混合通道150和热交换通道141的剖面透视剖视图。第一和第二气体通道142、143可以是直的、平行的通道,其在承载一个或多个衬底140的衬底载体114上方和跨过其表面延伸。在第一和第二气体通道142、143的每个的底部是多个第一和第二气体注射孔156、157,所述多个第一和第二气体注射孔156、157与第一和第二气体通道142、143到混合通道150流体相通。在一个实施例中,第一和第二气体注射孔156、157可以包括靠近第一和第二气体通道142、143的拐角设置的钻孔。在一个实施例中,混合通道150具有基本矩形的横截面220。热交换通道141设置在混合通道150的每侧以形成垂直壁201和发散壁200。热交换流体可以通过热交换通道141流动以帮助控制混合通道150、喷头正面153、和其他喷头组件104部件的温度。
图2F是根据本发明的一个实施例的喷头组件104的剖面透视剖视图。喷头组件104包括在衬底载体114上方并跨过其表面延伸的多个直的且平行的通道。第二气室145设置在板210上,该板210包括多个气体导管147,所述多个气体通道147提供在每个第二气体通道143与第二气室145之间的流体连通。虽然对于图2F中的每个气体通道142仅示出一个气体导管147,超过1个的气体导管147可以连接到第二气体通道143与第二气室145之间,并提供这两者之间的流体相通。气体导管147可以包括在板210中形成的孔211和管子212,该管子212连接到每个第二气体通道143与第二气室145之间,并提供这两者之间的流体连通,从而使第二气体通道143与第一气体通道142流体隔离。第一气室144(参见图2G)可以设置在板210下方,并可以在不使用气体导管146的条件下与第一气体通道142直接流体相通。在另一实施例中,气体导管146可用于连接到第一气体通道142与第一气室144之间,并提供这两者之间的流体连通,以及超过一个的气体导管146可以将每个第一气体通道142耦合到第一气室144。图2F示出垂直壁201延伸到喷头正面153的一个实施例。在另一实施例中,发散壁200可以从混合通道150延伸到喷头正面153。
图2G是根据本发明的一个实施例的图2F所示的气体通道和气室的剖面图。第一气体通道142直接朝第一气室144打开,并且不使用气体导管146,而第二气体通道143通过管子212和在板210中形成的孔211耦合到第二气室145。第二气体通道143是封闭的,以防止与第一气体通道142流体相通,从而防止在气体注入前前驱物气体的混合。
在一个实施例中,诸如三甲基镓(“TMG”)、三甲基铝(“TMAI”)、和/或三甲基铟(“TMI”)的金属有机(MO)前驱物可以提供给第一气室144和第一气体通道142,还可以使用其他合适的MO前驱物。诸如氨(NH3)的V族前驱物可以输送到第二气室145和第二气体通道143。在另一实施例中,前驱物输送可以变换,以便MO前驱物提供给第二气室145,而V族前驱物提供给第一气室144。
喷头组件104可以设计为使得其可以拆卸以便于清洁和部件更换。可以与处理环境兼容并可以用于喷头组件104的材料包括316L不锈钢、 无电镀镍铝、纯镍、钼、钽以及抵抗高温、热应力、和来自化学前驱物反应引起的变劣和变形的其他金属和合金。为了帮助减小装配复杂性并确保与流经该组件的不同气体和液体的隔离,可以利用电铸来制造喷头组件104的各种部件。这种电铸部件可以减少部件的数量和对组件内的不同气体和液体隔离所需的密封的数量。另外,电铸还可以帮助减少对于具有复杂几何结构的那些部件的制造成本。
图3A和3B是根据本发明的喷头组件104的另外实施例的剖面图。装置100可以适用于提供附加的气体源和气体供应线路以允许在此描述的喷头组件104的另外实施例。图3A示出了喷头组件104,其具有通过气体导管307连接的第三气室306和第三气体通道304,以便另一气体可以输送到混合通道150。所述气体可以是不同的前驱物气体或惰性气体(例如,诸如N2、He、Ar)。该气体可以经由第三气体注射孔305注入到混合通道150中。
另外,气体可以输送到第一、第二和第三气室144、145、306中的任何一个以形成多个可能气体注入顺序。例如,对于MO—NH3—(第三前驱物)—重复的气体注入顺序,其中“重复”表示跨过喷头组件104重复所述气体注入顺序,第一气体注射孔156可以注入MO前驱物,第二气体注射孔157可以注入诸如NH3的氮前驱物,以及第三气体注射孔305可以注入第三前驱物。在另一实施例中,气体可以输送到第一、第二和第三气室144、145、306以产生气体注入顺序NH3—MO—(第三前驱物)—重复。需要理解气体被同时注入,以及术语“气体注入顺序”指空间顺序而不是时间顺序。在其他实施例中,喷头组件104可以包括任何数量的气室和气体通道从而以任何所需气体注入顺序将多种气体输送到室102。
图3B是喷头组件104的另一实施例。混合通道150已经被移除以形成喷头正面153的基本平坦的表面,该喷头正面153包括多个第一、第二和第三气体注射孔302、311和312,其连接到第一、第二和第三气体通道308、309和310,并与之流体相通,反过来,第一、第二和第三气体通道308、309和310连接到气体导管316、317、318和第一、第二和第三气室313、314和315,并与之流体相通。在一个实施例中,第一、第二和第三气体注射孔302、311和312可以都具有相同直径D1。在其他实施例中,第一、第二和第三气体注射孔302、311、312可以具有不同直径。此处气体注射孔直径D1的不同实施例在前面已经描述。
热交换通道141可以设置在第一、第二和第三通道308、309与310之间。在一个实施例中,热交换通道141可以设置在如图3B所示的关于四个通道顺序310—308—310—309—重复的气体通道顺序之间。在一个实施例中,热交换通道141可以设置在各气体通道之间。在另一实施例中,喷头组件104可以不具有在气体通道之间设置的热交换通道141。在其他实施例中,喷头组件104可以包括任何数量的气室、热交换通道、气体通道、和气体注射孔以将多种气体输送到室102。
对于一些类型的前驱物和在特定工艺条件来说,可能期望在前驱物到达衬底140沉积表面之前防止其混合,以防止前驱物的提前反应和不期望的颗粒和反应产物的生成。在一个实施例中,例如,诸如N2、He、Ar或其组合的惰性气体的“气幕”可以用于帮助前驱物在到达衬底140之前保持其分开。例如,气体可以输送到适当的第一、第二和第三气室313、314、315以便第三气体注射孔312可以注入惰性气体N2,第一气体注射孔302可以注入金属有机(MO)前驱物,而第二气体注射孔311可以注入诸如NH3的氮前驱物,以形成气体注入顺序N2—MO—N2—NH3—重复。惰性气体,N2在前驱物气体MO与NH3之间流动以保持所述气体分开,并防止所述前驱物的提前反应。另一可能的气体注入顺序是N2—NH3—N2—MO—重复。需要理解喷头组件104可以适用于包括任何数量的气室和气体通道以允许预期的气体注入顺序。可以使用的其他气体注入顺序是MO—N2—NH3—重复;N2—MO—N2—NH3—N2—重复;以及MO—NH3—重复。还需要理解可以使用其他前驱物气体和其他惰性气体以允许预期的气体注入顺序。在另一实施例中,没有惰性气体可以分开前驱物。
图4A是根据本发明的一个实施例的图2C和2E所示的喷头组件的示意仰视图。喷头组件104的直的通道几何结构通过设置在第一和第二气体通道142、143的底部的第一和第二气体注射孔156和157的线性布置来体现。第一和第二气体注射孔156、157形成重复并对应于重复两个气体通道顺序142—143—重复的两列。混合通道150包括从喷头正面153凹进并具有垂直壁201和发散壁200的直的且平行的通道。热交换通道141包括具有宽度W2的直的且平行的通道,并设置在具有宽度W1的混合通道150之间。混合通道150平行于热交换通道141。
中心导管148在喷头组件104的中心或靠近喷头组件104的中心定位,此处中心导管148的几个实施例在前文已经描述。一个或多个端口400和401围绕中心导管148设置,并且取决于每个端口400和401的指定功能,端口400和401直径可以相同或不同。在一个实施例中,端口400和/或401可以用于容纳诸如高温计或热电偶的温度传感器以测量衬底温度和/或诸如喷头正面153的温度其他温度。在一个实施例中,端口400和401可以设置在喷头组件104上以避免与热交换通道141交叉。
在另一实施例中,端口400和/或401可以被用作计量端口以及可以耦合到一个或多个计量工具(未示出)。计量工具可以用于测量诸如实时膜生长、厚度、粗糙度、组分的各种膜特性或其他特性。一个或多个端口400和401还可以成角度以允许使用计量工具,诸如用于反射系数测量,其可能需要用于例如,反射的激光束的倾斜发射器和接收器。
每个端口400和401还可以适用于流动净化气体(其可以是惰性气体)以防止在端口400和401内的器件上冷凝,并允许精确的原位测量。净化气体可以具有围绕传感器、探针或设置在管子传感器301和与端口400、401的其他器件的环形流动。在另一实施例中,端口400、401可以具有发散喷嘴设计,以便当气体朝衬底140下游运动时净化气流路径变宽。发散喷嘴可以是埋头孔、斜面、扇形或使气流路径变宽的其他部件。在一个实施例中,净化气体可以具有约50sccm(标准立方厘米每分钟)到约500sccm的流率。
图4B是根据本发明的一个实施例的图3B所示的喷头组件104的示意仰视图。多个第一、第二和第三气体注射孔302、311和312设置在基本平坦的喷头正面153上,并通过热交换通道141分开。第一、第二和第三气体注射孔302、311和312形成重复并对应于重复四个气体通道顺序310—308—310—309—重复的四列。气体注射孔列可以具有总宽度W3,而热交换通道141可以具有近似宽度W2。在一个实施例中,端口400和401可以设置在喷头正面153上以避免与热交换通道141交叉。此外端口400、401的各种实施例在前面已经描述。
图5是根据本发明的喷头组件104的另外实施例的示意仰视图。多个气体注射孔502与诸如第一和第二气体通道142、143流体相通,尽管在该实施例中对于每个气体通道顺序使用四个通道。热交换通道141可以设置在气体通道顺序之间。
在一个实施例中,如象限IV所示,在跨过喷头正面153上可以使用相同尺寸的气体注射孔502。每个气体通道可以向耦接到气体通道提供例如,诸如MO前驱物、氮前驱物或惰性气体的不同气体。对于各气体通道的气体通道尺寸(诸如长度和宽度)以及气体导管316、317、318的数量和位置可以选择为有助于获得成比例的气流,以便近似相同量的气体随着时间输送到各气体通道,所述各气体通道输送相同前驱物(或惰性气体)。气体注射孔502的直径可以设计为合适尺寸以帮助确保沿流动相同前驱物的各气体通道通过气体注射孔502的气体流率大约相同。质量流量计(未示出)可以设置在喷头组件104的下游,以便可以调节流入气体通道的各前驱物的流率,从而控制处理气体152的前驱物化学配比。然而,在特定条件下,可能还期望增加或降低沿喷头正面153的不同位置的处理气体152流率。
在一个实施例中,如象限I所示,靠近喷头组件104的外围504可以使用具有直径大于气体注射孔502的直径的更大气体注射孔503来增加气体流率,以有助于对可能靠近环形排气通道105和衬底载体114的外边缘存在的气流不规则进行补偿。例如,环形排气通道105的真空可以使靠近外围504的处理气体152耗尽,而更大气体注射孔503可以有助于对气体耗尽进行补偿。
象限II示出使用靠近喷头组件104的外围504的气体注射孔502的更大孔密度(每单元面积孔的数量)的另一实施例,这可帮助在衬底140上提供更均匀的气体分布。孔距P是沿相同气体通道501的气体注射孔502之间的最短距离,以及间隔距离X是设置在相邻气体通道501中的气体注射孔502之间的最短距离。孔距P可以改变以增加或减少在喷头组件104的预期区域上的孔密度。在本实施例中,减少孔距P以增加靠近外围504的孔密度,而间隔距离X保持不变。在其他实施例中,间隔距离X和/或气体通道501的尺寸也可以改变以增加或减小孔密度。
在又一实施例中,如象限III所示,更大的气体注射孔503可以用于一种或多个前驱物和/或惰性气体,以帮助获得预期气流、跨过喷头正面153上的气体分布和/或气体化学配比。在其他实施例中,跨过喷头组件104的气体注射孔502直径和孔密度视需要可以变化。图5所示且在此描述的实施例可以与在此关于喷头组件104描述的其他实施例组合以及一起使用。
这里前面讨论的实施例中,如图2E、4A和4B所示,多个气体注射孔已经沿直的气体通道设置以沿多个直的线路注入气体。气体通道顺序可以包括两个或更多相邻通道,其可以输送前驱物气体和惰性气体以形成例如,诸如N2—MO—N2—NH3的气体注入顺序,所述气体注入顺序跨过喷头组件104重复。每个气体通道的气体注射孔形成线性气体注射区域,其注入通过通道输送的前驱物气体或惰性气体。气体注射区域是直的线路,而气体注入顺序可以指气体注射区域的气体的顺序,其可以跨过喷头组件104重复。在其他实施例中,气体注射区域可以具有其他形状。
图6A和6B是示出气体注射区域的不同实施例的喷头组件104的示意仰视图。图6A示出喷头组件104的多个气体注射孔603,其与第一、第二和第三气体通道142、143、304流体相通。为简洁,第一、第二和第三气体通道142、143、304用直线表示,以及交叉阴影表示可包括热交换通道141的区域。三个通道气体通道顺序是142—143—304—重复。在其他实施例中,气体通道顺序可以使用多个气体通道。第二和第三气体通道142、143、304中的每个可以向耦合到各气体通道的气体注射孔603提供例如,诸如MO前驱物、氮前驱物或惰性气体的不同气体。
气体注射孔603可以沿第一、第二和第三气体通道142、143、304的其中之一合适地定位以形成具有用虚线612表示的边界的气体注射区域600、601和602。通过沿气体通道合适地定位气体注射孔603,使用直的气体通道的许多气体注射区域形状是可能的。另外,在每个气体注射区域内对于气体注射孔603位置的第一、第二或第三气体通道142、143或304的选择提供数个可能的气体注入顺序。此外,气体注射孔603可以沿气体通道合适地间隔开以使对于每个气体注射区域的气流分布最佳。在该实例中,所述区域是楔形形状,并只示出喷头组件104的一个象限。
每个气体注射区域600、601和602可以向处理室102提供不同气体。例如,气体注射区域602包括气体注射孔603,该气体注射孔603连接到第三气体通道304并(例如,使用钻孔)只与其流体相通;气体注射区域600包括气体注射孔603,该气体注射孔603仅连接到第一气体通道142并与其流体相通;以及气体注射区域601包括气体注射孔603,该气体注射孔603仅连接到第二气体通道143并与其流体相通。
在一个实施例中,第一气体通道142可以提供MO前驱物,第二气体通道143可以提供诸如氨(NH3)的氮前驱物,以及第三气体通道304可以提供诸如氮气(N2)的惰性气体以形成气体注入顺序N2—MO—N2—NH3—重复,其对应于气体注射区域602—600—602—601—重复。在其他实施例中,可以通过气体注射孔603位置、所使用的不同的气体通道的数量,以及所使用的不同气体的数量的合适选择来形成任何数量的气体注射区域和区域。对于预期数量的重复的气体注入顺序以及喷头组件104的360度内的预期区域尺寸,可以合适地选择对于每个楔形区域的角度β。在本发明的实施例中,气体注射区域600、601和602是楔形形状,而气体注射孔603位置可以适用于形成许多其他区域形状。
图6B示出形状为同心环的气体注射区域600、601和602的另一实施例。气体注射孔603可以沿第一、第二和第三气体通道142、143、304中的每个合适地定位以形成具有用虚线612表示的边界的同心气体注射区域600、601和602。如上所述,可以形成对应于同心气体注射区域602—600—602—601—重复的气体注入顺序N2—MO—N2—NH3—重复(从中心区域到外部区域),而其他气体注入顺序是可能的。在此描述且在图6A和6B中所示的实施例可以与这里关于喷头组件104秒的其他实施例组合并一起使用。另外,气体注射孔603直径和孔密度视需要可以在每个气体注射区域内变化。
这里描述的用于MOCVD应用的前面喷头组件104实施例可以适用于公知为氢化物气相外延(HVPE)的另一沉积技术中使用。HVPE工艺在一些III-V族膜,尤其GaN的生长中具有诸如高生长速度、相对简单以及成本高效的几个优点。在这种技术中,GaN的生长归因于氯化镓(GaCl)和氨(NH3)之间的高温、气相反应而进行。氨可以由标准气体源提供而GaCl通过将诸如含氢化物气体经过加热的液态镓源而产生。这两种气体,氨和GaCl,朝加热的衬底引导,它们在衬底的表面上反应形成外延GaN膜。一般地,HVPE工艺可以通过将含氢化物气体(诸如HCl、HBr或HI)流过III族液体源以形成III族卤化物,然后将III族卤化物气体与诸如氨的含氮气体混合以形成III族氮化物膜,来用于生长其他III族氮化物膜。
在一个实施例中,气体传送系统125包括连到腔室102的外部热源舟(未示出)。热源舟包括加热到液相的金属源(例如,Ga),并且包含氯化物的气体(例如,HCl)可以流过金属源而形成III族-卤化物气体,例如GaCl。然后,III族卤化物气体和诸如NH3的含氮气体,通过供应线路131、132输送到喷头组件104的第一和第二气室,便于注入到处理容积108中以在衬底140上淀积诸如GaN的III族氮化物薄膜。在另一实施例中,可以加热一个或多个供应线路131、132以将来自外部热舟的前驱物输送到室102。在另一实施例中,惰性气体,可能是氢、氮、氦、氩或他们的组合,在第一和第二HVPE前驱物气体之间流动以保持前驱物在到达衬底140之前分开。HVPE前驱物气体还可以包括掺杂剂气体。
除此处前面提到的III族前驱物之外,其他III族前驱物可用于喷头组件104。有通式MX3的前驱物,此处M为III族元素(例如,镓、铝或铟),且X为VII族元素(例如溴、氯或碘),也可以使用(例如,GaCl3)。气体输送系统125的部件(例如,起泡器,供应线路)相称地适合于向喷头组件104输送MX3前驱物。
虽然前述针对本发明的实施例,不偏离本发明的基本范围的条件下可以设计本发明其他和进一步的实施例,并且本发明的范围通过下述权利要求确定。
Claims (25)
1.一种喷头装置,包括:
用于第一前驱物气体的多个直的且平行的气流通道;以及
用于第二前驱物气体的多个直的且平行的气流通道,
其中用于所述第一前驱物气体的所述气流通道平行于用于所述第二前驱物气体的所述气流通道。
2.根据权利要求1所述的装置,其特征在于,还包括用于惰性气体的多个直的且平行的气流通道,其中用于所述惰性气体的所述气流通道平行于用于所述第一前驱物气体的所述气流通道和用于所述第二前驱物气体的气流通道。
3.根据权利要求1所述的装置,其特征在于,还包括:
多个第一气体注射孔,与用于所述第一前驱物气体的所述气流通道流体相通;
多个第二气体注射孔,与用于所述第二前驱物气体的所述气流通道流体相通;以及
在远离所述第一气体注射孔和所述第二气体注射孔的下游设置的混合通道,用于将通过所述第一气体注射孔注入的所述第一气体和通过所述第二气体注射孔注入的所述第二气体进行混合。
4.根据权利要求3所述的装置,其特征在于,还包括延伸跨过所述喷头的表面的多个直的且平行的热交换通道,其中所述混合通道和所述热交换通道平行并跨过所述喷头的表面交替布置。
5.一种喷头装置,包括:
第一气流通道;
第二气流通道;
多个第一气体注射孔,与所述第一气流通道流体相通;
多个第二气体注射孔,与所述第二气流通道流体相通;
在远离所述第一气体注射孔和所述第二气体注射孔的下游设置的混合通道,用于将通过所述第一气体注射孔注入的第一气体和通过所述第二气体注射孔注入的第二气体进行混合。
6.根据权利要求5所述的装置,其特征在于,所述混合通道形成在面向衬底处理容积的所述喷头装置的表面中。
7.根据权利要求5所述的装置,其特征在于,所述混合通道包括跨过所述喷头的表面延伸的直的且平行的通道。
8.根据权利要求7所述的装置,其特征在于,还包括跨过所述喷头的表面延伸的多个直的且平行的热交换通道,其中所述混合通道和所述热交换通道平行并跨过所述喷头的表面交替布置。
9.一种喷头装置,包括:
第一气流通道;
第二气流通道;
与所述第一气流通道和所述第二气流通道中的每个流体相通的气体注射孔;以及
热交换通道,其设置在所述气体注射孔之间,并形成在经过气体注射孔朝衬底处理容积的气体注入的方向延伸的壁内,其中所述壁的外部限定混合通道,所述第一气体和所述第二气体通过所述气体注射孔注入到所述混合通道中以在其中进行混合。
10.根据权利要求9所述的装置,其特征在于,所述混合通道被限定穿过面向衬底处理容积的所述喷头装置的表面。
11.根据权利要求9所述的装置,其特征在于,所述热交换装置具有在所述气体注入的方向延伸的基本平行的外壁。
12.根据权利要求9所述的装置,其特征在于,靠近所述喷头装置的外界的所述气体注射孔比靠近所述喷头装置的中心的所述气体注射孔大。
13.根据权利要求9所述的装置,其特征在于,靠近所述喷头装置的外界的所述气体注射孔的密度比靠近所述喷头装置的中心的气体注射孔的密度大。
14.一种喷头装置,包括:
第一气流通道;
第二气流通道;以及
与所述第一气流通道和所述第二气流通道中的每个流体相通的气体注射孔,
其中所述气体注射孔被布置为限定多个基本楔形形状的气体注射区域,具有用于注入气体的气体注射孔的每个气体注射区域不同于相邻的气体注射区域。
15.根据权利要求14所述的装置,其特征在于,还包括第三气流通道,其中所述气体注射区域包括第一气体注射区域、第二气体注射区域和第三气体注射区域,并且其中第三气体注射区域中的每个是在所述第一气体注射区域的其中之一与所述第二气体注射区域的其中之一之间。
16.一种用于使用具有混合区域的喷头的化学气相沉积的方法,包括:
将第一和第二气体流入在所述喷头中形成的通道中;以及
注入所述第一和第二气体,所述第一和第二气体在通道中进行流动并流入所述混合区域中。
17.根据权利要求16所述的方法,其特征在于,所述第一气体流经第一通道,而所述第二气体流经第二通道。
18.根据权利要求16所述的方法,其特征在于,还包括经过所述喷头中形成的热交换通道流动热交换流体。
19.根据权利要求16所述的方法,其特征在于,还包括将第三气体流入在所述喷头中形成的通道中,其中所述第一和第二气体包括前驱物气体而所述第三气体包括惰性气体。
20.根据权利要求16所述的方法,其特征在于,所述第一和第二气体包括前驱物气体,以及所述第三前驱物气体包括III族前驱物,而所述第二前驱物包括V族前驱物。
21.根据权利要求20所述的方法,其特征在于,所述前驱物气体包括金属有机化学气相沉积前驱物。
22.根据权利要求20所述的方法,其特征在于,所述前驱物气体包括氢化物气相外延前驱物。
23.根据权利要求20所述的方法,其特征在于,所述前驱物气体具有通式MX3,并且M包括镓、铝或铟的其中之一以及X包括氯、溴或碘的其中之一。
24.根据权利要求20所述的方法,其特征在于,所述第一和第二前驱物气体包括等离子体物种。
25.根据权利要求16所述的方法,其特征在于,还包括:
测量所述喷头温度;以及
通过调节热交换流体温度和流率来控制所述喷头温度。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/873,132 US7976631B2 (en) | 2007-10-16 | 2007-10-16 | Multi-gas straight channel showerhead |
US11/873,132 | 2007-10-16 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN101413112A true CN101413112A (zh) | 2009-04-22 |
CN101413112B CN101413112B (zh) | 2013-04-17 |
Family
ID=40534482
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2008101706030A Active CN101413112B (zh) | 2007-10-16 | 2008-10-16 | 多种气体直通道喷头 |
Country Status (4)
Country | Link |
---|---|
US (3) | US7976631B2 (zh) |
CN (1) | CN101413112B (zh) |
TW (1) | TWI465294B (zh) |
WO (1) | WO2009052212A1 (zh) |
Cited By (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101974736A (zh) * | 2010-11-19 | 2011-02-16 | 理想能源设备有限公司 | 一种化学气相沉积装置及其喷头组件 |
CN102051600A (zh) * | 2009-10-15 | 2011-05-11 | 奥博泰克Lt太阳能公司 | 用于等离子处理腔的喷头组件 |
CN103098175A (zh) * | 2010-08-16 | 2013-05-08 | 应用材料公司 | 具有气体注射分配装置的喷头组件 |
US9287152B2 (en) | 2009-12-10 | 2016-03-15 | Orbotech LT Solar, LLC. | Auto-sequencing multi-directional inline processing method |
TWI548774B (zh) * | 2011-07-12 | 2016-09-11 | Aixtron Se | Cvd反應器之進氣機構 |
WO2016155152A1 (zh) * | 2015-04-01 | 2016-10-06 | 沈阳拓荆科技有限公司 | 控温型双气体通道均匀喷气喷淋板 |
US9462921B2 (en) | 2011-05-24 | 2016-10-11 | Orbotech LT Solar, LLC. | Broken wafer recovery system |
CN107366014A (zh) * | 2016-04-19 | 2017-11-21 | 纽富来科技股份有限公司 | 喷头、气相生长装置以及气相生长方法 |
CN108118312A (zh) * | 2016-11-29 | 2018-06-05 | 应用材料公司 | 用于可流动式cvd的双远程等离子体源的集成 |
US10130958B2 (en) | 2010-04-14 | 2018-11-20 | Applied Materials, Inc. | Showerhead assembly with gas injection distribution devices |
WO2019011317A1 (zh) * | 2017-07-14 | 2019-01-17 | 君泰创新(北京)科技有限公司 | 匀流板和工艺腔匀气装置 |
CN111101117A (zh) * | 2018-10-29 | 2020-05-05 | 北京北方华创微电子装备有限公司 | 匀气装置和半导体处理设备 |
CN112626496A (zh) * | 2020-11-24 | 2021-04-09 | 鑫天虹(厦门)科技有限公司 | 喷头组件与原子层沉积设备 |
CN114622182A (zh) * | 2020-12-10 | 2022-06-14 | 中国科学院微电子研究所 | 喷头及具有其的化学气相沉积装置 |
WO2022133943A1 (zh) * | 2020-12-24 | 2022-06-30 | 华为技术有限公司 | 反应器及生长装置 |
Families Citing this family (237)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101460659B (zh) * | 2006-06-02 | 2011-12-07 | 应用材料股份有限公司 | 利用压差测量的气流控制 |
KR100849929B1 (ko) * | 2006-09-16 | 2008-08-26 | 주식회사 피에조닉스 | 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치 |
US7879401B2 (en) * | 2006-12-22 | 2011-02-01 | The Regents Of The University Of Michigan | Organic vapor jet deposition using an exhaust |
US9157152B2 (en) * | 2007-03-29 | 2015-10-13 | Tokyo Electron Limited | Vapor deposition system |
US8528498B2 (en) * | 2007-06-29 | 2013-09-10 | Lam Research Corporation | Integrated steerability array arrangement for minimizing non-uniformity |
US9105449B2 (en) * | 2007-06-29 | 2015-08-11 | Lam Research Corporation | Distributed power arrangements for localizing power delivery |
US8334015B2 (en) * | 2007-09-05 | 2012-12-18 | Intermolecular, Inc. | Vapor based combinatorial processing |
JP2011500961A (ja) | 2007-10-11 | 2011-01-06 | バレンス プロセス イクウィップメント,インコーポレイテッド | 化学気相成長反応器 |
US20090095221A1 (en) * | 2007-10-16 | 2009-04-16 | Alexander Tam | Multi-gas concentric injection showerhead |
US7976631B2 (en) | 2007-10-16 | 2011-07-12 | Applied Materials, Inc. | Multi-gas straight channel showerhead |
US8668775B2 (en) * | 2007-10-31 | 2014-03-11 | Toshiba Techno Center Inc. | Machine CVD shower head |
US8333839B2 (en) * | 2007-12-27 | 2012-12-18 | Synos Technology, Inc. | Vapor deposition reactor |
KR20090078538A (ko) * | 2008-01-15 | 2009-07-20 | 삼성전기주식회사 | 샤워 헤드와 이를 구비하는 화학 기상 증착 장치 |
KR101204614B1 (ko) * | 2008-02-20 | 2012-11-23 | 도쿄엘렉트론가부시키가이샤 | 가스 공급 장치, 성막 장치, 및 성막 방법 |
JP2010027868A (ja) * | 2008-07-18 | 2010-02-04 | Toshiba Corp | 気相成長装置及び気相成長方法 |
US20110135843A1 (en) * | 2008-07-30 | 2011-06-09 | Kyocera Corporation | Deposited Film Forming Device and Deposited Film Forming Method |
US8470718B2 (en) * | 2008-08-13 | 2013-06-25 | Synos Technology, Inc. | Vapor deposition reactor for forming thin film |
US20100037820A1 (en) * | 2008-08-13 | 2010-02-18 | Synos Technology, Inc. | Vapor Deposition Reactor |
CN102171795A (zh) * | 2008-10-03 | 2011-08-31 | 维易科加工设备股份有限公司 | 气相外延系统 |
US20100096569A1 (en) * | 2008-10-21 | 2010-04-22 | Applied Materials, Inc. | Ultraviolet-transmitting microwave reflector comprising a micromesh screen |
CN102197458A (zh) * | 2008-10-24 | 2011-09-21 | 应用材料公司 | 多处气体馈送装置与方法 |
US8895107B2 (en) | 2008-11-06 | 2014-11-25 | Veeco Instruments Inc. | Chemical vapor deposition with elevated temperature gas injection |
WO2010065695A2 (en) * | 2008-12-04 | 2010-06-10 | Veeco Instruments Inc. | Chemical vapor deposition flow inlet elements and methods |
US8293013B2 (en) * | 2008-12-30 | 2012-10-23 | Intermolecular, Inc. | Dual path gas distribution device |
US20100273291A1 (en) * | 2009-04-28 | 2010-10-28 | Applied Materials, Inc. | Decontamination of mocvd chamber using nh3 purge after in-situ cleaning |
US8758512B2 (en) * | 2009-06-08 | 2014-06-24 | Veeco Ald Inc. | Vapor deposition reactor and method for forming thin film |
CN102754190B (zh) * | 2009-07-15 | 2015-09-02 | 应用材料公司 | Cvd腔室的流体控制特征结构 |
WO2011017222A2 (en) * | 2009-08-04 | 2011-02-10 | Applied Materials, Inc. | Method and apparatus for dry cleaning a cooled showerhead |
US20110185969A1 (en) * | 2009-08-21 | 2011-08-04 | Varian Semiconductor Equipment Associates, Inc. | Dual heating for precise wafer temperature control |
DE102009043840A1 (de) * | 2009-08-24 | 2011-03-03 | Aixtron Ag | CVD-Reaktor mit streifenförmig verlaufenden Gaseintrittszonen sowie Verfahren zum Abscheiden einer Schicht auf einem Substrat in einem derartigen CVD-Reaktor |
EP2471973A1 (en) * | 2009-08-28 | 2012-07-04 | Kyocera Corporation | Apparatus for forming deposited film and method for forming deposited film |
US20110076421A1 (en) * | 2009-09-30 | 2011-03-31 | Synos Technology, Inc. | Vapor deposition reactor for forming thin film on curved surface |
WO2011044451A2 (en) * | 2009-10-09 | 2011-04-14 | Applied Materials, Inc. | Multi-gas centrally cooled showerhead design |
KR20110054840A (ko) * | 2009-11-18 | 2011-05-25 | 주식회사 아토 | 샤워헤드 어셈블리 및 이를 구비한 박막증착장치 |
US9169562B2 (en) | 2010-05-25 | 2015-10-27 | Singulus Mocvd Gmbh I. Gr. | Parallel batch chemical vapor deposition system |
US8986451B2 (en) * | 2010-05-25 | 2015-03-24 | Singulus Mocvd Gmbh I. Gr. | Linear batch chemical vapor deposition system |
US9869021B2 (en) | 2010-05-25 | 2018-01-16 | Aventa Technologies, Inc. | Showerhead apparatus for a linear batch chemical vapor deposition system |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US20120009765A1 (en) * | 2010-07-12 | 2012-01-12 | Applied Materials, Inc. | Compartmentalized chamber |
US20120024478A1 (en) * | 2010-07-29 | 2012-02-02 | Hermes-Epitek Corporation | Showerhead |
CN101921996B (zh) * | 2010-08-17 | 2012-02-15 | 彭继忠 | 一种mocvd设备喷淋头装置 |
US20120052216A1 (en) * | 2010-08-27 | 2012-03-01 | Applied Materials, Inc. | Gas distribution showerhead with high emissivity surface |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8840958B2 (en) | 2011-02-14 | 2014-09-23 | Veeco Ald Inc. | Combined injection module for sequentially injecting source precursor and reactant precursor |
WO2012112187A1 (en) * | 2011-02-15 | 2012-08-23 | Applied Materials, Inc. | Method and apparatus for multizone plasma generation |
WO2012125275A2 (en) * | 2011-03-11 | 2012-09-20 | Applied Materials, Inc. | Apparatus for monitoring and controlling substrate temperature |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
TWI534291B (zh) | 2011-03-18 | 2016-05-21 | 應用材料股份有限公司 | 噴淋頭組件 |
US9175391B2 (en) * | 2011-05-26 | 2015-11-03 | Intermolecular, Inc. | Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead |
CN103014668B (zh) * | 2011-09-23 | 2014-12-24 | 理想能源设备(上海)有限公司 | 化学气相沉积装置 |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US8955547B2 (en) | 2011-10-19 | 2015-02-17 | Applied Materials, Inc. | Apparatus and method for providing uniform flow of gas |
US9109754B2 (en) | 2011-10-19 | 2015-08-18 | Applied Materials, Inc. | Apparatus and method for providing uniform flow of gas |
US20130145989A1 (en) * | 2011-12-12 | 2013-06-13 | Intermolecular, Inc. | Substrate processing tool showerhead |
CN102560429B (zh) * | 2012-03-13 | 2014-12-03 | 中微半导体设备(上海)有限公司 | 金属有机气相沉积装置 |
US9328419B2 (en) | 2012-04-18 | 2016-05-03 | Hermes-Epitek Corporation | Gas treatment apparatus with surrounding spray curtains |
CN103388132B (zh) * | 2012-05-11 | 2015-11-25 | 中微半导体设备(上海)有限公司 | 气体喷淋头、其制造方法及薄膜生长反应器 |
US9023673B1 (en) | 2012-06-15 | 2015-05-05 | Ostendo Technologies, Inc. | Free HCL used during pretreatment and AlGaN growth to control growth layer orientation and inclusions |
US9577143B1 (en) | 2012-06-15 | 2017-02-21 | Ostendo Technologies, Inc. | Backflow reactor liner for protection of growth surfaces and for balancing flow in the growth liner |
US8992684B1 (en) * | 2012-06-15 | 2015-03-31 | Ostendo Technologies, Inc. | Epitaxy reactor internal component geometries for the growth of superior quality group III-nitride materials |
US9447499B2 (en) | 2012-06-22 | 2016-09-20 | Novellus Systems, Inc. | Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9925569B2 (en) * | 2012-09-25 | 2018-03-27 | Applied Materials, Inc. | Chamber cleaning with infrared absorption gas |
TWI480417B (zh) | 2012-11-02 | 2015-04-11 | Ind Tech Res Inst | 具氣幕之氣體噴灑裝置及其薄膜沉積裝置 |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US10316409B2 (en) | 2012-12-21 | 2019-06-11 | Novellus Systems, Inc. | Radical source design for remote plasma atomic layer deposition |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
DE102013101534A1 (de) * | 2013-02-15 | 2014-08-21 | Aixtron Se | Gasverteiler für einen CVD-Reaktor |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
TWI683382B (zh) * | 2013-03-15 | 2020-01-21 | 應用材料股份有限公司 | 具有光學測量的旋轉氣體分配組件 |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9252024B2 (en) | 2013-05-17 | 2016-02-02 | Applied Materials, Inc. | Deposition chambers with UV treatment and methods of use |
JP6157942B2 (ja) * | 2013-06-13 | 2017-07-05 | 株式会社ニューフレアテクノロジー | 気相成長装置および気相成長方法 |
JP6199619B2 (ja) * | 2013-06-13 | 2017-09-20 | 株式会社ニューフレアテクノロジー | 気相成長装置 |
JP6153401B2 (ja) * | 2013-07-02 | 2017-06-28 | 株式会社ニューフレアテクノロジー | 気相成長装置および気相成長方法 |
US9677176B2 (en) | 2013-07-03 | 2017-06-13 | Novellus Systems, Inc. | Multi-plenum, dual-temperature showerhead |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
JP6406811B2 (ja) * | 2013-11-20 | 2018-10-17 | 国立大学法人名古屋大学 | Iii 族窒化物半導体装置の製造装置および製造方法ならびに半導体ウエハの製造方法 |
CN104674190B (zh) * | 2013-11-28 | 2017-10-20 | 中微半导体设备(上海)有限公司 | 一种半导体处理装置及应用于半导体处理装置的气体分布板 |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US20150176124A1 (en) * | 2013-12-19 | 2015-06-25 | Intermolecular, Inc. | Methods for Rapid Generation of ALD Saturation Curves Using Segmented Spatial ALD |
US9353440B2 (en) | 2013-12-20 | 2016-05-31 | Applied Materials, Inc. | Dual-direction chemical delivery system for ALD/CVD chambers |
US9514933B2 (en) * | 2014-01-05 | 2016-12-06 | Applied Materials, Inc. | Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition |
TWI643971B (zh) * | 2014-01-05 | 2018-12-11 | 美商應用材料股份有限公司 | 使用空間原子層沉積或脈衝化學氣相沉積之薄膜沉積 |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
JP6219179B2 (ja) * | 2014-01-20 | 2017-10-25 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
JP6495025B2 (ja) | 2014-01-31 | 2019-04-03 | ラム リサーチ コーポレーションLam Research Corporation | 真空統合ハードマスク処理および装置 |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
TWI545224B (zh) * | 2014-02-26 | 2016-08-11 | 國立中央大學 | 用於有機金屬化學氣相沉積設備之進氣系統 |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
JP6320824B2 (ja) * | 2014-03-31 | 2018-05-09 | 株式会社東芝 | ガス供給管、およびガス処理装置 |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US10465288B2 (en) | 2014-08-15 | 2019-11-05 | Applied Materials, Inc. | Nozzle for uniform plasma processing |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US10273578B2 (en) * | 2014-10-03 | 2019-04-30 | Applied Materials, Inc. | Top lamp module for carousel deposition chamber |
JP2016081945A (ja) * | 2014-10-09 | 2016-05-16 | 株式会社ニューフレアテクノロジー | 気相成長装置および気相成長方法 |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
JP6516436B2 (ja) * | 2014-10-24 | 2019-05-22 | 東京エレクトロン株式会社 | 成膜装置及び成膜方法 |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10490429B2 (en) * | 2014-11-26 | 2019-11-26 | Applied Materials, Inc. | Substrate carrier using a proportional thermal fluid delivery system |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160237570A1 (en) * | 2015-02-13 | 2016-08-18 | Applied Materials, Inc. | Gas delivery apparatus for process equipment |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10023959B2 (en) | 2015-05-26 | 2018-07-17 | Lam Research Corporation | Anti-transient showerhead |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10256075B2 (en) * | 2016-01-22 | 2019-04-09 | Applied Materials, Inc. | Gas splitting by time average injection into different zones by fast gas valves |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10546729B2 (en) * | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
TWI612176B (zh) * | 2016-11-01 | 2018-01-21 | 漢民科技股份有限公司 | 應用於沉積系統的氣體分配裝置 |
US10403476B2 (en) * | 2016-11-09 | 2019-09-03 | Lam Research Corporation | Active showerhead |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10607817B2 (en) | 2016-11-18 | 2020-03-31 | Applied Materials, Inc. | Thermal repeatability and in-situ showerhead temperature monitoring |
US10954596B2 (en) * | 2016-12-08 | 2021-03-23 | Applied Materials, Inc. | Temporal atomic layer deposition process chamber |
US10604841B2 (en) | 2016-12-14 | 2020-03-31 | Lam Research Corporation | Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
US11694911B2 (en) | 2016-12-20 | 2023-07-04 | Lam Research Corporation | Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead |
CN106435528B (zh) * | 2016-12-21 | 2019-02-19 | 江苏微导纳米装备科技有限公司 | 化学气相沉积设备 |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
KR102096700B1 (ko) * | 2017-03-29 | 2020-04-02 | 도쿄엘렉트론가부시키가이샤 | 기판 처리 장치 및 기판 처리 방법 |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US11380557B2 (en) * | 2017-06-05 | 2022-07-05 | Applied Materials, Inc. | Apparatus and method for gas delivery in semiconductor process chambers |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
JP2021505766A (ja) | 2017-12-08 | 2021-02-18 | ラム リサーチ コーポレーションLam Research Corporation | 遠隔プラズマ膜蒸着を可能にするためにラジカルおよび前駆体ガスを下流チャンバに供給するための改良された孔パターンを備える統合シャワーヘッド |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11827976B2 (en) | 2017-12-20 | 2023-11-28 | Lam Research Corporation | Systems and methods for homogenous intermixing of precursors in alloy atomic layer deposition |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10472716B1 (en) * | 2018-05-17 | 2019-11-12 | Lam Research Corporation | Showerhead with air-gapped plenums and overhead isolation gas distributor |
KR102576220B1 (ko) * | 2018-06-22 | 2023-09-07 | 삼성디스플레이 주식회사 | 박막 처리 장치 및 박막 처리 방법 |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11970775B2 (en) * | 2018-08-10 | 2024-04-30 | Applied Materials, Inc. | Showerhead for providing multiple materials to a process chamber |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
TW202020218A (zh) | 2018-09-14 | 2020-06-01 | 美商應用材料股份有限公司 | 用於多流前驅物配分劑量的裝置 |
US11834743B2 (en) * | 2018-09-14 | 2023-12-05 | Applied Materials, Inc. | Segmented showerhead for uniform delivery of multiple precursors |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
KR102620219B1 (ko) * | 2018-11-02 | 2024-01-02 | 삼성전자주식회사 | 기판 처리 방법 및 기판 처리 장치 |
KR20210076999A (ko) | 2018-11-14 | 2021-06-24 | 램 리써치 코포레이션 | 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들 |
KR102641752B1 (ko) * | 2018-11-21 | 2024-03-04 | 삼성전자주식회사 | 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법 |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
CN109457236A (zh) * | 2019-01-04 | 2019-03-12 | 中晟光电设备(上海)股份有限公司 | 一种进气顶盘及金属有机物化学气相沉积反应器 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR20210127768A (ko) * | 2019-03-11 | 2021-10-22 | 어플라이드 머티어리얼스, 인코포레이티드 | 기판 프로세싱 챔버들을 위한 덮개 조립체 장치 및 방법들 |
WO2020263750A1 (en) | 2019-06-27 | 2020-12-30 | Lam Research Corporation | Apparatus for photoresist dry deposition |
WO2021102726A1 (zh) * | 2019-11-27 | 2021-06-03 | 东莞市中镓半导体科技有限公司 | 一种用于GaN材料生长的线性喷头 |
CN113122823B (zh) * | 2019-12-31 | 2023-03-07 | 中微半导体设备(上海)股份有限公司 | 金属有机物化学气相沉积反应器 |
KR102539806B1 (ko) | 2020-01-15 | 2023-06-05 | 램 리써치 코포레이션 | 포토레지스트 부착 및 선량 감소를 위한 하부층 |
Family Cites Families (85)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3381114A (en) * | 1963-12-28 | 1968-04-30 | Nippon Electric Co | Device for manufacturing epitaxial crystals |
US4590042A (en) * | 1984-12-24 | 1986-05-20 | Tegal Corporation | Plasma reactor having slotted manifold |
US4993358A (en) * | 1989-07-28 | 1991-02-19 | Watkins-Johnson Company | Chemical vapor deposition reactor and method of operation |
US5273588A (en) * | 1992-06-15 | 1993-12-28 | Materials Research Corporation | Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means |
US5325889A (en) * | 1993-03-30 | 1994-07-05 | Millipore Corporation | Laminated conduit plate for fluid delivery system |
JPH0799162A (ja) * | 1993-06-21 | 1995-04-11 | Hitachi Ltd | Cvdリアクタ装置 |
TW296534B (zh) * | 1993-12-17 | 1997-01-21 | Tokyo Electron Co Ltd | |
TW359943B (en) * | 1994-07-18 | 1999-06-01 | Silicon Valley Group Thermal | Single body injector and method for delivering gases to a surface |
JPH0945624A (ja) * | 1995-07-27 | 1997-02-14 | Tokyo Electron Ltd | 枚葉式の熱処理装置 |
US5950925A (en) * | 1996-10-11 | 1999-09-14 | Ebara Corporation | Reactant gas ejector head |
US6050506A (en) | 1998-02-13 | 2000-04-18 | Applied Materials, Inc. | Pattern of apertures in a showerhead for chemical vapor deposition |
JP4147608B2 (ja) * | 1998-03-06 | 2008-09-10 | 東京エレクトロン株式会社 | 熱処理装置 |
TW393786B (en) * | 1998-03-26 | 2000-06-11 | Min Shr | Method for manufacturing an epitaxial chip |
US6302964B1 (en) * | 1998-06-16 | 2001-10-16 | Applied Materials, Inc. | One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system |
US6086677A (en) * | 1998-06-16 | 2000-07-11 | Applied Materials, Inc. | Dual gas faceplate for a showerhead in a semiconductor wafer processing system |
US6289842B1 (en) * | 1998-06-22 | 2001-09-18 | Structured Materials Industries Inc. | Plasma enhanced chemical vapor deposition system |
US6190732B1 (en) * | 1998-09-03 | 2001-02-20 | Cvc Products, Inc. | Method and system for dispensing process gas for fabricating a device on a substrate |
JP2000138168A (ja) * | 1998-10-29 | 2000-05-16 | Shin Etsu Handotai Co Ltd | 半導体ウェーハ及び気相成長装置 |
US6050508A (en) * | 1999-02-25 | 2000-04-18 | Fan; Chen-Yueh | Rotating device for a showerhead |
US6245278B1 (en) * | 1999-06-03 | 2001-06-12 | Husky Injection Molding Systems Ltd. | Injection nozzle and method |
US6245192B1 (en) * | 1999-06-30 | 2001-06-12 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
KR100360401B1 (ko) * | 2000-03-17 | 2002-11-13 | 삼성전자 주식회사 | 슬릿형 공정가스 인입부와 다공구조의 폐가스 배출부를포함하는 공정튜브 및 반도체 소자 제조장치 |
KR100406174B1 (ko) * | 2000-06-15 | 2003-11-19 | 주식회사 하이닉스반도체 | 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드 |
KR100735932B1 (ko) * | 2001-02-09 | 2007-07-06 | 동경 엘렉트론 주식회사 | 성막 장치 |
US7744957B2 (en) * | 2003-10-23 | 2010-06-29 | The Trustees Of Princeton University | Method and apparatus for depositing material |
KR100450068B1 (ko) * | 2001-11-23 | 2004-09-24 | 주성엔지니어링(주) | Cvd 장치의 멀티섹터 평판형 샤워헤드 |
US20040060514A1 (en) * | 2002-01-25 | 2004-04-01 | Applied Materials, Inc. A Delaware Corporation | Gas distribution showerhead |
US6793733B2 (en) * | 2002-01-25 | 2004-09-21 | Applied Materials Inc. | Gas distribution showerhead |
US20030159653A1 (en) * | 2002-02-28 | 2003-08-28 | Dando Ross S. | Manifold assembly for feeding reactive precursors to substrate processing chambers |
KR100473429B1 (ko) * | 2002-04-10 | 2005-03-08 | 주성엔지니어링(주) | Cvd 장치의 샤워헤드 |
US20040129212A1 (en) * | 2002-05-20 | 2004-07-08 | Gadgil Pradad N. | Apparatus and method for delivery of reactive chemical precursors to the surface to be treated |
US6884296B2 (en) * | 2002-08-23 | 2005-04-26 | Micron Technology, Inc. | Reactors having gas distributors and methods for depositing materials onto micro-device workpieces |
US6821563B2 (en) * | 2002-10-02 | 2004-11-23 | Applied Materials, Inc. | Gas distribution system for cyclical layer deposition |
US7018940B2 (en) * | 2002-12-30 | 2006-03-28 | Genus, Inc. | Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes |
US6942753B2 (en) * | 2003-04-16 | 2005-09-13 | Applied Materials, Inc. | Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition |
EP1629522A4 (en) * | 2003-05-30 | 2008-07-23 | Aviza Tech Inc | GAS DISTRIBUTION SYSTEM |
JP4306403B2 (ja) * | 2003-10-23 | 2009-08-05 | 東京エレクトロン株式会社 | シャワーヘッド構造及びこれを用いた成膜装置 |
KR100513920B1 (ko) * | 2003-10-31 | 2005-09-08 | 주식회사 시스넥스 | 화학기상증착 반응기 |
US6983892B2 (en) * | 2004-02-05 | 2006-01-10 | Applied Materials, Inc. | Gas distribution showerhead for semiconductor processing |
US8083853B2 (en) * | 2004-05-12 | 2011-12-27 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser hole design |
US8328939B2 (en) * | 2004-05-12 | 2012-12-11 | Applied Materials, Inc. | Diffuser plate with slit valve compensation |
GB2415707A (en) * | 2004-06-30 | 2006-01-04 | Arima Optoelectronic | Vertical hydride vapour phase epitaxy deposition using a homogenising diaphragm |
US20060021703A1 (en) * | 2004-07-29 | 2006-02-02 | Applied Materials, Inc. | Dual gas faceplate for a showerhead in a semiconductor wafer processing system |
CN102154628B (zh) * | 2004-08-02 | 2014-05-07 | 维高仪器股份有限公司 | 用于化学气相沉积反应器的多气体分配喷射器 |
JP2006080374A (ja) * | 2004-09-10 | 2006-03-23 | Sharp Corp | 窒化物半導体の製造装置および窒化物半導体レーザ素子 |
US20060137608A1 (en) * | 2004-12-28 | 2006-06-29 | Choi Seung W | Atomic layer deposition apparatus |
KR100731164B1 (ko) | 2005-05-19 | 2007-06-20 | 주식회사 피에조닉스 | 샤워헤드를 구비한 화학기상 증착 방법 및 장치 |
JP4344949B2 (ja) * | 2005-12-27 | 2009-10-14 | セイコーエプソン株式会社 | シャワーヘッド、シャワーヘッドを含む成膜装置、ならびに強誘電体膜の製造方法 |
JP2007191792A (ja) * | 2006-01-19 | 2007-08-02 | Atto Co Ltd | ガス分離型シャワーヘッド |
US20070240631A1 (en) * | 2006-04-14 | 2007-10-18 | Applied Materials, Inc. | Epitaxial growth of compound nitride semiconductor structures |
US7674352B2 (en) * | 2006-11-28 | 2010-03-09 | Applied Materials, Inc. | System and method for depositing a gaseous mixture onto a substrate surface using a showerhead apparatus |
US7789961B2 (en) * | 2007-01-08 | 2010-09-07 | Eastman Kodak Company | Delivery device comprising gas diffuser for thin film deposition |
US11136667B2 (en) * | 2007-01-08 | 2021-10-05 | Eastman Kodak Company | Deposition system and method using a delivery head separated from a substrate by gas pressure |
CN102174693B (zh) * | 2007-01-12 | 2014-10-29 | 威科仪器有限公司 | 气体处理系统 |
WO2008118483A1 (en) * | 2007-03-27 | 2008-10-02 | Structured Materials Inc. | Showerhead for chemical vapor deposition (cvd) apparatus |
US8069817B2 (en) * | 2007-03-30 | 2011-12-06 | Lam Research Corporation | Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses |
KR20090018290A (ko) * | 2007-08-17 | 2009-02-20 | 에이에스엠지니텍코리아 주식회사 | 증착 장치 |
US7939450B2 (en) * | 2007-09-21 | 2011-05-10 | Tokyo Electron Limited | Method and apparatus for spacer-optimization (S-O) |
US7765077B2 (en) * | 2007-09-21 | 2010-07-27 | Tokyo Electron Limited | Method and apparatus for creating a Spacer-Optimization (S-O) library |
US8211231B2 (en) * | 2007-09-26 | 2012-07-03 | Eastman Kodak Company | Delivery device for deposition |
US7972898B2 (en) * | 2007-09-26 | 2011-07-05 | Eastman Kodak Company | Process for making doped zinc oxide |
US7976631B2 (en) * | 2007-10-16 | 2011-07-12 | Applied Materials, Inc. | Multi-gas straight channel showerhead |
US20090095221A1 (en) * | 2007-10-16 | 2009-04-16 | Alexander Tam | Multi-gas concentric injection showerhead |
US20090095222A1 (en) * | 2007-10-16 | 2009-04-16 | Alexander Tam | Multi-gas spiral channel showerhead |
US20090162262A1 (en) * | 2007-12-19 | 2009-06-25 | Applied Material, Inc. | Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead |
US20090162261A1 (en) * | 2007-12-19 | 2009-06-25 | Kallol Baera | Plasma reactor gas distribution plate having a vertically stacked path splitting manifold |
US8512509B2 (en) * | 2007-12-19 | 2013-08-20 | Applied Materials, Inc. | Plasma reactor gas distribution plate with radially distributed path splitting manifold |
US8009938B2 (en) * | 2008-02-29 | 2011-08-30 | Applied Materials, Inc. | Advanced process sensing and control using near infrared spectral reflectometry |
US8449679B2 (en) * | 2008-08-15 | 2013-05-28 | Lam Research Corporation | Temperature controlled hot edge ring assembly |
WO2010065695A2 (en) * | 2008-12-04 | 2010-06-10 | Veeco Instruments Inc. | Chemical vapor deposition flow inlet elements and methods |
US8183132B2 (en) * | 2009-04-10 | 2012-05-22 | Applied Materials, Inc. | Methods for fabricating group III nitride structures with a cluster tool |
US20100273291A1 (en) * | 2009-04-28 | 2010-10-28 | Applied Materials, Inc. | Decontamination of mocvd chamber using nh3 purge after in-situ cleaning |
US20110117728A1 (en) * | 2009-08-27 | 2011-05-19 | Applied Materials, Inc. | Method of decontamination of process chamber after in-situ chamber clean |
US8272347B2 (en) * | 2009-09-14 | 2012-09-25 | Tokyo Electron Limited | High temperature gas heating device for a vapor deposition system |
US20110204376A1 (en) * | 2010-02-23 | 2011-08-25 | Applied Materials, Inc. | Growth of multi-junction led film stacks with multi-chambered epitaxy system |
US8409352B2 (en) * | 2010-03-01 | 2013-04-02 | Hitachi Kokusai Electric Inc. | Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus |
US20110256692A1 (en) * | 2010-04-14 | 2011-10-20 | Applied Materials, Inc. | Multiple precursor concentric delivery showerhead |
US8910644B2 (en) * | 2010-06-18 | 2014-12-16 | Applied Materials, Inc. | Method and apparatus for inducing turbulent flow of a processing chamber cleaning gas |
US20120015502A1 (en) * | 2010-07-14 | 2012-01-19 | Jie Cui | p-GaN Fabrication Process Utilizing a Dedicated Chamber and Method of Minimizing Magnesium Redistribution for Sharper Decay Profile |
US20120118225A1 (en) * | 2010-09-16 | 2012-05-17 | Applied Materials, Inc. | Epitaxial growth temperature control in led manufacture |
FI123320B (en) * | 2012-02-17 | 2013-02-28 | Beneq Oy | Nozzle and nozzle head |
US20130269612A1 (en) * | 2012-04-16 | 2013-10-17 | Hermes-Epitek Corporation | Gas Treatment Apparatus with Surrounding Spray Curtains |
US9328419B2 (en) * | 2012-04-18 | 2016-05-03 | Hermes-Epitek Corporation | Gas treatment apparatus with surrounding spray curtains |
CN206516610U (zh) * | 2014-04-18 | 2017-09-22 | 应用材料公司 | 基板处理腔室 |
US20150361582A1 (en) * | 2014-06-17 | 2015-12-17 | Veeco Instruments, Inc. | Gas Flow Flange For A Rotating Disk Reactor For Chemical Vapor Deposition |
-
2007
- 2007-10-16 US US11/873,132 patent/US7976631B2/en active Active
-
2008
- 2008-10-15 WO PCT/US2008/080043 patent/WO2009052212A1/en active Application Filing
- 2008-10-16 TW TW97139813A patent/TWI465294B/zh active
- 2008-10-16 CN CN2008101706030A patent/CN101413112B/zh active Active
-
2011
- 2011-07-12 US US13/181,431 patent/US8481118B2/en active Active
-
2013
- 2013-07-09 US US13/937,815 patent/US9644267B2/en active Active
Cited By (22)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102051600A (zh) * | 2009-10-15 | 2011-05-11 | 奥博泰克Lt太阳能公司 | 用于等离子处理腔的喷头组件 |
CN102051600B (zh) * | 2009-10-15 | 2015-07-29 | 奥博泰克Lt太阳能公司 | 用于等离子处理腔的喷头组件 |
US9287152B2 (en) | 2009-12-10 | 2016-03-15 | Orbotech LT Solar, LLC. | Auto-sequencing multi-directional inline processing method |
US10130958B2 (en) | 2010-04-14 | 2018-11-20 | Applied Materials, Inc. | Showerhead assembly with gas injection distribution devices |
CN103098175A (zh) * | 2010-08-16 | 2013-05-08 | 应用材料公司 | 具有气体注射分配装置的喷头组件 |
CN103098175B (zh) * | 2010-08-16 | 2016-03-23 | 应用材料公司 | 具有气体注射分配装置的喷头组件 |
CN103168343A (zh) * | 2010-09-13 | 2013-06-19 | 应用材料公司 | 多区部的喷头组件 |
CN101974736A (zh) * | 2010-11-19 | 2011-02-16 | 理想能源设备有限公司 | 一种化学气相沉积装置及其喷头组件 |
CN101974736B (zh) * | 2010-11-19 | 2013-07-31 | 理想能源设备有限公司 | 一种化学气相沉积装置及其喷头组件 |
US9462921B2 (en) | 2011-05-24 | 2016-10-11 | Orbotech LT Solar, LLC. | Broken wafer recovery system |
TWI548774B (zh) * | 2011-07-12 | 2016-09-11 | Aixtron Se | Cvd反應器之進氣機構 |
WO2016155152A1 (zh) * | 2015-04-01 | 2016-10-06 | 沈阳拓荆科技有限公司 | 控温型双气体通道均匀喷气喷淋板 |
CN107366014A (zh) * | 2016-04-19 | 2017-11-21 | 纽富来科技股份有限公司 | 喷头、气相生长装置以及气相生长方法 |
CN107366014B (zh) * | 2016-04-19 | 2022-05-27 | 纽富来科技股份有限公司 | 喷头、气相生长装置以及气相生长方法 |
CN108118312A (zh) * | 2016-11-29 | 2018-06-05 | 应用材料公司 | 用于可流动式cvd的双远程等离子体源的集成 |
WO2019011317A1 (zh) * | 2017-07-14 | 2019-01-17 | 君泰创新(北京)科技有限公司 | 匀流板和工艺腔匀气装置 |
CN109563622A (zh) * | 2017-07-14 | 2019-04-02 | 君泰创新(北京)科技有限公司 | 匀流板和工艺腔匀气装置 |
CN111101117A (zh) * | 2018-10-29 | 2020-05-05 | 北京北方华创微电子装备有限公司 | 匀气装置和半导体处理设备 |
CN111101117B (zh) * | 2018-10-29 | 2022-07-22 | 北京北方华创微电子装备有限公司 | 匀气装置和半导体处理设备 |
CN112626496A (zh) * | 2020-11-24 | 2021-04-09 | 鑫天虹(厦门)科技有限公司 | 喷头组件与原子层沉积设备 |
CN114622182A (zh) * | 2020-12-10 | 2022-06-14 | 中国科学院微电子研究所 | 喷头及具有其的化学气相沉积装置 |
WO2022133943A1 (zh) * | 2020-12-24 | 2022-06-30 | 华为技术有限公司 | 反应器及生长装置 |
Also Published As
Publication number | Publication date |
---|---|
US20090098276A1 (en) | 2009-04-16 |
TW200927296A (en) | 2009-07-01 |
US20120024388A1 (en) | 2012-02-02 |
TWI465294B (zh) | 2014-12-21 |
US20140014745A1 (en) | 2014-01-16 |
US7976631B2 (en) | 2011-07-12 |
US8481118B2 (en) | 2013-07-09 |
CN101413112B (zh) | 2013-04-17 |
WO2009052212A1 (en) | 2009-04-23 |
US9644267B2 (en) | 2017-05-09 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN101413112B (zh) | 多种气体直通道喷头 | |
CN101423937B (zh) | 多种气体同心注射喷头 | |
US20090095222A1 (en) | Multi-gas spiral channel showerhead | |
CN102560633B (zh) | 用于沉积ⅲ/ⅴ族化合物的方法 | |
CN101328579B (zh) | Hvpe喷头设计 | |
EP2084304B1 (en) | Method and apparatus for the epitaxial deposition of monocrystalline group iii-v semiconductor material using gallium trichloride | |
US8361892B2 (en) | Multiple precursor showerhead with by-pass ports | |
US9449859B2 (en) | Multi-gas centrally cooled showerhead design | |
US9580836B2 (en) | Equipment for high volume manufacture of group III-V semiconductor materials | |
KR101353334B1 (ko) | 갈륨 질화물 증착에서의 반응 가스 감소 | |
US20090223442A1 (en) | Methods for high volume manufacture of group iii-v semiconductor materials | |
US8491720B2 (en) | HVPE precursor source hardware | |
CN101423930A (zh) | 具有前驱物源的喷头设计 | |
US20130087093A1 (en) | Apparatus and method for hvpe processing using a plasma | |
CN101418465A (zh) | 具有前驱物预先混合的喷头设计 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C53 | Correction of patent for invention or patent application | ||
CB02 | Change of applicant information |
Address after: American California Applicant after: Applied Materials Inc. Address before: American California Applicant before: Applied Materials Inc. |
|
C14 | Grant of patent or utility model | ||
GR01 | Patent grant |