CN103168343A - 多区部的喷头组件 - Google Patents

多区部的喷头组件 Download PDF

Info

Publication number
CN103168343A
CN103168343A CN2011800499828A CN201180049982A CN103168343A CN 103168343 A CN103168343 A CN 103168343A CN 2011800499828 A CN2011800499828 A CN 2011800499828A CN 201180049982 A CN201180049982 A CN 201180049982A CN 103168343 A CN103168343 A CN 103168343A
Authority
CN
China
Prior art keywords
gas
shower nozzle
district section
fluid
section
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2011800499828A
Other languages
English (en)
Inventor
唐纳德·J·K·奥尔加多
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103168343A publication Critical patent/CN103168343A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45576Coaxial inlets for each gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

本发明的实施例提供一种可使用MOCVD及/或HVPE硬件来沉积III族氮化物膜的方法与设备。在一个实施例中,该设备是由多个区部组成的喷头组件,所述区部彼此隔离且接附到顶板。各个喷头区部具有分离的入口和通路,以输送分离的处理气体到处理腔室的处理空间内,而不会在所述处理气体进入该处理空间之前造成所述气体混合。在一个实施例中,各个喷头区部包括温度控制岐管,该温度控制岐管用于使冷却流体能流动通过各自的喷头区部。相较于传统上从单一块体或多个层叠板来制造的整个喷头,通过提供多个隔离的喷头区部,可显著地减少制造复杂性与成本。

Description

多区部的喷头组件
发明背景
发明领域
本发明的实施例一般涉及用于在基板上的化学气相沉积(CVD)的方法与设备,并且具体而言涉及由多个区部(section)组成的喷头组件以用在金属有机化学气相沉积(MOCVD)及/或氢化物气相外延(HVPE)。
相关技术的描述
已发现III-V族膜对于各种半导体装置的发展与制造具有更大的重要性,所述半导体装置诸如是短波长发光二极管(LED’s)、激光二极管(LD’s)与电子装置,所述电子装置包括高功率、高频率、高温的晶体管和集成电路。举例而言,短波长(例如蓝/绿到紫外光)的LED’s是使用III族氮化物半导体材料氮化镓(GaN)来制造。已经观察到,使用GaN所制造的短波长的LED’s可比使用非氮化物半导体材料(诸如II-VI族材料)所制造的短波长的LED’s提供显著更大的效率和更长的操作寿命。
已经用来沉积III族氮化物(诸如GaN)的一种方法是金属有机化学气相沉积(MOCVD)。大致上,此化学气相沉积方法是被执行在反应器中,所述反应器具有温度受控的环境以确保第一前驱物气体的稳定性,其中所述第一前驱物气体含有来自III族的至少一种元素(诸如镓(Ga))。第二前驱物气体(诸如氨(NH3))提供所需要的氮以形成III族氮化物。此两种前驱物气体被注入到反应器中的处理区内(此两种前驱物气体在该处理区混合)且朝向处理区中的经加热的基板移动。可使用载气以有助于所述前驱物气体朝向基板的传送。所述前驱物在经加热的基板的表面处反应以在基板表面上形成III族氮化物层(诸如GaN)。膜的质量部分地取决于沉积均匀性,沉积均匀性反过来取决于在遍布基板的均匀温度下的遍布基板的所述前驱物的均匀混合。
可安排多个基板在基板承载件上,并且各个基板可具有50mm至100mm或更大的直径。期望在更大基板及/或更多基板与更大沉积区域上方的前驱物均匀混合,以为了增加成品率和产量。这些因素是重要的,如此是因为这些因素会直接地影响制造电子装置的成本与由此装置制造者在市场的竞争性。
前驱物气体与热硬件部件的交互作用通常会使前驱物分解且沉积在这些热表面上,其中所述交互作用常被发现在LED或LD形成反应器的处理区域中。典型地,热反应器表面是通过来自用来加热基板的热源的辐射所形成。当前驱物材料在热表面上的沉积发生在前驱物分布部件(诸如气体分布装置)中或上时,前驱物材料在热表面上的沉积会是特别有问题的。在前驱物分布部件上的沉积会影响随着时间的流量分布均匀性。所以,可在沉积工艺期间将气体分布装置冷却,此能降低MOCVD前驱物或HVPE前驱物被加热到使所述前驱物分解且影响气体分布装置效能的温度的可能性。
当期望的沉积区域增加时,传统的配置为输送多种处理气体到基板的气体分布装置的尺寸和复杂性会增加,此会造成显著增加的制造与传送成本。举例而言,在多前驱物气体分布装置中,可将多个岐管与气体通路(passage)形成在多个大板中,所述板接着被层叠且永久地被接附以形成所述多前驱物气体分布装置。当气体分布装置增加到覆盖1m2与更大的沉积区域且气体分布通路的数量超过5000个时,制造与传送这些装置的复杂性与成本会急剧增加。所以,需要一种改良的气体分布装置,该改良的气体分布装置提供改善后续所沉积在更大基板与更大沉积区域上方的膜的均匀性,同时可减少气体分布装置的复杂性与制造成本。
发明概述
在一个实施例中,一种喷头组件包括:顶板,该顶板具有通过该顶板形成的多个第一气体通路与多个第二气体通路;和多个隔离的喷头区部,所述喷头区部接附到该顶板。各个喷头区部具有第一气体岐管,该第一气体岐管形成在各个喷头区部中且流体连通于所述第一气体通路中的一个第一气体通路。各个喷头区部还具有第二气体岐管,该第二气体岐管形成在各个喷头区部中且流体连通于所述第二气体通路中的一个第二气体通路。
在另一个实施例中,一种基板处理设备包括:腔室主体;基板支撑件;和喷头组件,其中该腔室主体、该基板支撑件与该喷头组件限定处理空间。该喷头组件包括:顶板,该顶板具有通过该顶板形成的多个第一气体通路与多个第二气体通路;和多个隔离的喷头区部,所述喷头区部接附到该顶板。各个喷头区部具有第一气体岐管,该第一气体岐管形成在各个喷头区部中且流体连通于所述第一气体通路中的一个第一气体通路与该处理空间,并且各个喷头区部具有第二气体岐管,该第二气体岐管形成在各个喷头区部中且流体连通于所述第二气体通路中的一个第二气体通路与该处理空间。所述第一气体岐管与第二气体岐管在该喷头区部内彼此隔离。
在又一实施例中,一种处理基板的方法包括下列步骤:将第一气体通过多个喷头区部引进到处理腔室的处理空间内;将第二气体通过多个喷头区部引进到该处理腔室的该处理空间内;和通过使热交换流体流动通过形成在各个喷头区部中的岐管,以冷却各个喷头区部。该第一气体被输送到各个喷头区部中的第一气体岐管内,及该第一气体从各个喷头区部的第一气体岐管通过各个喷头区部内的多个第一气体导管被输送到该处理空间内。该第二气体被输送到各个喷头区部中的第二气体岐管内,及该第二气体从各个喷头区部的第二气体岐管通过多个第二气体导管被输送到该处理空间内。
附图简要说明
因此,可详细理解本发明的上述特征的方式,可参考各实施例获得上文简要概述的本发明的更具体描述,一些实施例在附图中图示。但是应注意的是,附图仅图示本发明的典型实施例,因此附图不应被视为会对本发明的范围构成限制,如此是因为本发明可允许其它同等有效的实施例。
图1为表示根据在此所述的实施例的处理系统的一个实施例的示意平面图,所述处理系统用于制造化合物氮化物(compound nitride)半导体装置。
图2为根据一个实施例的金属有机化学气相沉积(MOCVD)腔室的示意截面图,所述金属有机化学气相沉积(MOCVD)腔室用于制造化合物氮化物半导体装置。
图3A为图2所示的喷头组件的示意仰视图。
图3B为喷头组件的另一个实施例的示意仰视图。
图3C为喷头组件的另一个实施例的示意仰视图。
图3D为喷头组件的另一个实施例的示意仰视图。
图4A为图2所示的喷头区部的第一水平壁的示意仰视图。
图4B为图2所示的喷头区部的第二水平壁的示意仰视图。
图4C为图2所示的喷头区部的第三水平壁的示意仰视图。
具体描述
本发明的实施例一般提供一种可使用MOCVD及/或HVPE硬件来沉积III族氮化物膜的方法与设备。大致上,该设备是由多个区部组成的喷头组件,所述区部彼此隔离且接附到顶板。各个喷头区部具有分离的入口和通路,以输送分离的处理气体到处理腔室的处理空间内,而不会在所述处理气体进入处理空间之前造成所述气体混合。较佳地,各个喷头区部包括温度控制岐管,该温度控制岐管用于使冷却流体能流动通过各自的喷头区部。相较于传统上从单一块体或多个层叠板来制造的整个喷头,通过提供多个隔离的喷头区部,可显著地减少制造复杂性与成本。
图1为表示根据在此所述的实施例的处理系统100的一个实施例的示意平面图,其中该处理系统100包括一个或多个MOCVD腔室102以制造化合物氮化物半导体装置。在一个实施例中,处理系统100对于大气被密闭。处理系统100包括传送腔室106、与传送腔室106耦接的MOCVD腔室102、与传送腔室106耦接的装载闭锁腔室108、批次装载闭锁腔室109和装载站110,其中该批次装载闭锁腔室109用于存储基板且与传送腔室106耦接,该装载站110用于装载基板且与装载闭锁腔室108耦接。传送腔室106容纳有机器人组件(未示出),该机器人组件可操作以拾取且传送基板于装载闭锁腔室108、批次装载闭锁腔室109与MOCVD腔室102之间。尽管图上图示了单一MOCVD腔室102,应了解的是超过一个MOCVD腔室102,或此外地一个或多个MOCVD腔室102与一个或多个氢化物气相外延(HVPE)腔室的组合,也可与传送腔室106耦接。还应了解的是尽管图上图示了群集工具,可使用线性轨道系统来执行在此所述的实施例。
在一个实施例中,传送腔室106在基板传送过程期间维持在真空下,以控制基板所暴露的污染物(诸如氧(O2)或水(H2O))的量。可调整传送腔室的真空度(vacuum level),而使传送腔室的真空度匹配于MOCVD腔室102的真空度。举例而言,当从传送腔室106传送基板到MOCVD腔室102内(或反之亦然)时,传送腔室106与MOCVD腔室102可维持在相同的真空度。接着,当从传送腔室106传送基板到装载闭锁腔室108(或反之亦然)或批次装载闭锁腔室109(或反之亦然)时,可调整传送腔室的真空度,而使传送腔室的真空度匹配于装载闭锁腔室108或批次装载闭锁腔室109的真空度,即使装载闭锁腔室108或批次装载闭锁腔室109与MOCVD腔室102的真空度可能不同。因此,传送腔室106的真空度是可调整的。在特定实施例中,基板在高纯度惰性气体环境中(诸如在高纯度N2环境中)被传送。在其它实施例中,基板在高纯度NH3或H2环境中被传送。
在处理系统100中,机器人组件(未图示)传送基板承载板112到MOCVD腔室102内以为了进行沉积,其中该基板承载板112装载有基板。在一个实施例中,基板承载板112可具有约200mm至约750mm的直径。基板承载板112可由各种材料来形成,包括SiC或涂覆有SiC的石墨。在一个实例中,基板承载板112可具有约1,000cm2或更大(较佳为2,000cm2或更大,且更佳为4,000cm2或更大)的表面积。在已经完成了一些或全部的沉积步骤之后,基板承载板112经由传送机器人从MOCVD腔室102被传送回到装载闭锁腔室108。基板承载板112可接着被传送到装载站110。在MOCVD腔室102中的进一步处理之前,基板承载板112可被存储在装载闭锁腔室108或批次装载闭锁腔室109中。
系统控制器160控制处理系统100的活动与操作参数。系统控制器160包括计算机处理器与计算机可读存储器,该计算机可读存储器耦接到处理器。处理器执行系统控制软件(诸如被存储在存储器中的计算机程序)。
图2为根据本发明的一个实施例的MOCVD腔室102的示意截面图。MOCVD腔室102包括腔室主体202、多区部喷头组件201与基板支撑件214,腔室主体202、多区部喷头组件201与基板支撑件214限定处理空间208。化学物输送模块203耦接到喷头组件201,以输送前驱物气体、载气、清洁气体及/或净化气体到处理空间208。远程等离子体源226可耦接在化学物输送模块203与喷头组件201之间。真空系统212耦接到腔室主体202,以将处理空间208予以排空。
在处理期间,基板承载板112定位在处理空间208内的基板支撑件214上。致动器组件(未图示)接附到基板支撑件214,并且致动器组件配置为将基板支撑件214朝向与远离喷头组件201移动于处理与装载位置之间。此外,致动器组件可配置为旋转该基板支撑件214。在处理期间,从喷头组件201的表面(该表面和处理空间208相邻)到基板承载板112的距离较佳为约4mm至约41mm。在特定实施例中,基板支撑件214具有加热元件(例如电阻式加热元件(未图示)),该加热元件设置在基板支撑件214中且配置为控制基板支撑件214与因而基板承载板112(该基板承载板112定位在基板支撑件上)和基板240(该基板240定位在基板承载板112上)的温度。
图3A为图2所示的喷头组件201的示意仰视图。图2所示的截面图是由图3A所示的截面线2-2来限定。参看图2和图3A,喷头组件201包括顶板230,顶板230耦接到多个喷头区部232。顶板230可以是圆形的铝或不锈钢板且具有通过该顶板230形成的多个孔(aperture)以为了将各种流体输送通过喷头组件201。在一个实施例中,各个喷头区部232是“楔形的(wedge-shaped)”,如图3A所示。楔形喷头区部232可组装在一起且接附到顶板230,以形成如图3A所示的圆形的喷头组件201。尽管图3A所示的实施例包括六个楔形喷头区部232,其它实施例包括更多或更少的区部232而不会背离本发明的范围。
在一个实施例中,各个喷头区部232包括多个板,所述板经加工且接附而使得多个流体通路与空间形成在所述板中(诸如通过铜焊或焊接)。在一个实施例中,各个喷头区部232具有第一处理气体岐管233与气体导管259,该第一处理气体岐管233形成在该喷头区部232中且经由顶板230中的气体入口258耦接到化学物输送模块203,该气体导管259将气体入口258耦接到化学物输送模块203。在一个实施例中,化学物输送模块203配置为输送金属有机前驱物到第一处理气体岐管233。在一个实例中,金属有机前驱物包含适当的镓(Ga)前驱物(例如三甲基镓(“TMG”)、三乙基镓(TEG))、适当的铝前驱物(例如三甲基铝(“TMA”)),或适当的铟前驱物(例如三甲基铟(“TMI”))。在一个实施例中,第一处理气体岐管233由第一水平壁275来限定上侧且由第二水平壁276来限定下侧。
图4A为图2和图3A所示的喷头区部232的第一水平壁275的示意仰视图。参看图2、图3A和图4A,可通过从第一水平壁275加工一定体积的材料以在第一水平壁275的底表面412中形成井410,而形成第一处理气体岐管233。接着,第一水平壁275接附到第二水平壁276(诸如通过铜焊或焊接),以致第一处理气体岐管233的周边被密封住。第一水平壁275可经由螺栓或其它适当的固定件接附到顶板230。第一水平壁275具有第一孔271,该第一孔271通过第一水平壁275形成且该第一孔271定位成使得气体入口258经由第一孔271流体地耦接到第一处理气体岐管233。
各个喷头区部232可进一步包括第二处理气体岐管234与气体导管261,该第二处理气体岐管234经由顶板230中的气体入口260耦接到化学物输送模块203,该气体导管261将气体入口260耦接到化学物输送模块203。各个喷头区部232包括气体通道(channel)272,该气体通道272形成在喷头区部232中且该气体通道272定位以将气体入口260流体地耦接到第二处理气体岐管234。在一个实施例中,化学物输送模块203配置为输送适当的含氮处理气体(诸如氨(NH3)或其它MOCVD或HVPE处理气体)到第二处理气体岐管234。第二处理气体岐管234由该第二水平壁276来限定上侧且由第三水平壁277来限定下侧,以致第一处理气体岐管233内的处理气体能和第二处理气体岐管234内的处理气体隔离。
图4B为图2和图3A所示的喷头区部232的第二水平壁276的示意仰视图。参看图2、图3A和图4B,可通过从第二水平壁276加工一定体积的材料以在第二水平壁276的底表面422中形成井420,而形成第二处理气体岐管234。接着,第二水平壁276接附到第三水平壁277(诸如通过铜焊或焊接),以致第二处理气体岐管234的周围处被密封住。细部B图示气体孔洞(hole)282,通过所述气体孔洞282接附气体导管,如下所述。
各个喷头区部232可进一步包括温度控制岐管235,该温度控制岐管235经由顶板230中的流体入口262与流体出口263与热交换系统270耦接。各个喷头区部232包括通道273与通道274,该通道273形成在该喷头区部232中且定位以将流体入口262流体地耦接到温度控制岐管235,该通道274形成在该喷头区部232中且定位以将流体出口263流体地耦接到温度控制岐管235。在一个实施例中,温度控制岐管235是开放空间,该开放空间形成在该喷头区部232中且该开放空间配置为容许热交换流体流动通过其中。热交换系统270配置为使热交换流体流动通过各个喷头区部232,以有助于调节喷头组件201的温度。适当的热交换流体包括但不限于水、水基乙二醇混合物、全氟聚醚(例如
Figure BDA00003055509500071
流体)、油基热传送流体,或类似的流体。在一个实施例中,温度控制岐管235通过第三水平壁277与第二处理气体岐管234分离,并且温度控制岐管235通过第四水平壁278与腔室102的处理空间208分离。
图4C为图2和图3A所示的喷头区部232的第三水平壁277的示意仰视图。参看图2、图3A和图4C,可通过从第三水平壁277加工一定体积的材料以在第三水平壁277的底表面432中形成井430,而形成温度控制岐管235。接着,第三水平壁277接附到第四水平壁278(诸如通过铜焊或焊接),以致温度控制岐管235的周围处被密封住。细部C图示气体孔洞283,通过所述气体孔洞283接附到气体导管,如下所述。
如上所述,各个喷头区部232接附到顶板230,诸如通过啮合盲孔(未图示)的适当固定件(未图示),所述盲孔形成在喷头区部232中。在一个实施例中,该顶板230与所述喷头区部232的匹配表面经加工,以致当所述匹配表面被接附时,可在该顶板230与所述喷头区部232之间维持金属-对-金属密封(metal-to-metal seal),从而使得进入所述喷头区部232的流体能彼此隔离。在其它实施例中,使用其它传统的密封方式(诸如O形环)来维持流体隔离。
在一个实施例中,第一前驱物(诸如金属有机前驱物)经由多个内部气体导管245从第一处理气体岐管233通过第二处理气体岐管234与温度控制岐管235被输送到腔室的处理空间208内。所述内部气体导管245可以是圆柱形管,所述圆柱形管位于各个喷头区部232的对准的气体孔洞282、气体孔洞283与气体孔洞284内,其中所述气体孔洞282设置成通过第二水平壁276,所述气体孔洞283设置成通过第三水平壁277,且所述气体孔洞284设置成通过第四水平壁278。在一个实施例中,各个内部气体导管245通过适当的方式(诸如铜焊)接附到喷头区部232的第二水平壁276,以维持第一处理气体岐管233与第二处理气体岐管234之间的隔离。在一个实施例中,化学物输送模块203配置为在不同的流速及/或压力下供应第一前驱物到各个喷头区部232,以对沉积工艺提供更大的控制。
在一个实施例中,第二前驱物(诸如氮前驱物)经由多个外部气体导管246从第二处理气体岐管234通过温度控制岐管235被输送到腔室102的处理空间208内。所述外部气体导管246可以是圆柱形管,各个圆柱形管同心地绕着各自的内部气体导管245而设置。所述外部气体导管246位于对准的孔洞内,所述对准的孔洞内设置成通过喷头区部232的第三水平壁277与第四水平壁278。在一个实施例中,各个外部气体导管246通过适当的方式(诸如铜焊)接附到喷头区部232的第三水平壁277与第四水平壁278,以维持第二处理气体岐管234与温度控制岐管235之间的隔离。在一个实施例中,化学物输送模块203配置为在不同的流速及/或压力下供应第二前驱物到各个喷头区部232,以对沉积工艺提供更大的控制。
应注意,为了清晰起见,图2中仅图示三个内部与外部气体导管245、246。然而,特定实施例可于每一喷头区部232中包括约300个至约900个内部与外部气体导管245、246,以提供足够的气体分配到处理空间208内,而达到在设置于处理空间208中的基板上的期望沉积。图3A中的细部(detail)A是喷头区部232的底表面的一部分的放大图,该放大图显示多个内部与外部气体导管245、246。
如上所述,MOCVD腔室102可用于沉积III族氮化物膜。在一个实施例中,III族氮化物膜在超过约550℃的温度下沉积。在一个实施例中,在处理期间,冷却流体被循环通过各个喷头区部232的温度控制岐管235,以为了冷却喷头组件201且尤其为了冷却被输送通过内部气体导管245(所述内部气体导管245通过温度控制岐管235延伸)的金属有机前驱物,而避免在金属有机前驱物被引进到腔室102的处理空间208之前造成金属有机前驱物的分解。此外,据信,以流经第二处理气体岐管234与各个外部导管246的含氮气体流来环绕流经各个内部气体导管245的金属有机前驱物可提供额外的冷却以及和处理空间208内的高处理温度的热隔绝,而为了避免在金属有机前驱物被引进到处理空间208内之前造成金属有机前驱物的分解。在一个实施例中,热交换系统270配置为在不同的速率及/或温度下提供冷却流体的流动到各个喷头区部232,以对沉积工艺提供更大的控制。
在一个实施例中,喷头组件201包括中心气体导管204,该中心气体导管204通过顶板230中的中心孔延伸。气体导管204可以是圆柱形管,该圆柱形管通过适当的方式(诸如铜焊)接附到顶板230。在一个实施例中,各个喷头区部232形成为使得当全部的喷头区部232接附到顶板230时,形成有开口以容许气体导管204的通路能通过整个喷头组件。
在一个实施例中,化学物供应模块203经由气体导管204供应清洁气体到腔室102的处理空间208。在一个实施例中,在清洁气体被引进到处理空间208内之前,清洁气体经由远程等离子体源226被激发成等离子体。清洁气体可包含含氯气体、含氟气体、含碘气体、含溴气体、含氮气体及/或其它反应性气体。
在一个实施例中,喷头组件201包括一个或多个计量组件291,各个计量组件291接附到各自的计量端口296。各个计量端口296可包括管298,该管298设置在孔中,该孔形成为通过顶板230且该孔在相邻的喷头区部232中所形成的凹处之间通过喷头组件201延伸。在一个实施例中,管298通过适当的方式(诸如铜焊)接附到顶板230。各个计量组件291用于监控设置在腔室102的处理空间208中的基板240的表面上所执行的处理。在一个实施例中,计量组件291包括温度测量装置(诸如光学高温计)。在一个实施例中,计量组件291包括光学测量装置(诸如光学应力或基板弯曲测量装置)。在一个实施例中,多个计量端口296可同心地绕着中心气体导管204而设置。在一个实施例中,计量端口296可置中地设置来取代中心气体导管204。
图3B至图3D为根据其它实施例的喷头组件201的示意仰视图。图3B图示喷头组件201具有多个内部楔形区部232A,所述内部楔形区部232A被外部环形区部232B环绕。在一个实施例中,外部环形区部232B被划分成多个接附到顶板230的个别区部,如图3B所示。在另一个实施例中,外部环形区部232B是单一连续的区部。在一个实施例中,各个内部楔形区部232A可在不同于外部环形区部232B的流速及/或压力下被供应有前驱物,以对沉积工艺提供更大的控制。在一个实施例中,供应到各个楔形区部232A的温度控制流体的温度及/或流量可不同于供应到外部环形区部232B的温度及/或流量,以对沉积工艺提供更大的控制。
在一个实例中,前驱物气体可在第一压力与流速下被提供到各个楔形区部232A,以为了控制前驱物流动到腔室102的处理空间208的中心区域内的压力与流量。同时,前驱物气体可在第二高压与流速下被提供到所述外部环形区部232B,以为了控制前驱物气体流动到处理空间208的周边区域内的压力与流量。所以,可达到处理空间208内的处理情况的更细微控制。更特定言之,可通过分别控制前驱物气体流动到处理空间208的中心与周边区域的压力与流量来达到对基板上的沉积速率的更细微控制,其中所述基板典型地不是定位在处理空间208的中心区域中。
在另一个实施例中,温度控制流体可在第一温度下被提供到各个楔形区部232A,以为了冷却喷头组件201的表面的中心部分于第一期望温度,其中该喷头组件201的表面面对腔室102的处理空间208。同时,温度控制流体可在第二温度下被提供到所述外部环形区部232B,以为了冷却喷头组件201的表面的外环于第二期望温度,其中该喷头组件201的表面面对腔室102的处理空间208,第二期望温度可高于或低于第一期望温度(取决于期望的处理情况)。所以,可通过轴向对称形态的喷头组件201的区域来控制喷头组件201的温度与进入处理空间208的处理气体,以对处理情况提供更大的控制。
各个所述楔形区部232A与所述外部环形区部232B的截面类似于图2所示的喷头区部232的截面。较佳地,喷头区部232、楔形区部232A与环形区部232B之间的唯一差异在于各自区部的形状和尺寸。举例而言,各个区部232A与232B包括第一处理气体岐管233、第二处理气体岐管234与温度控制岐管235,其中该第一处理气体岐管233具有气体入口258与多个气体导管245,该第二处理气体岐管234具有气体入口260与多个气体导管246,且该温度控制岐管235具有流体入口262与流体出口263,如图2中的喷头区部232所示。还应注意,尽管为了清晰起见没有将内部与外部气体导管(245、246)图示在内部楔形区部232A与外部环形区部232B中,特定实施例可在各个区部232A与232B中包括约100个至约600个内部与外部气体导管(245、246),并且所述内部与外部气体导管(245、246)是被布置成如同图3A的细部A中所图示。
图3C图示喷头组件201具有多个六边形区部232C。在一个实施例中,各个六边形区部232C可在不同的流速及/或压力下被供应有前驱物,以对沉积工艺提供更大的控制。在一个实施例中,供应到所述六边形区部232C的冷却流体的温度及/或流量可以是不同的,以对沉积工艺提供更大的控制。在一个实施例中,顶板230包括延伸周围区域(未图示),该延伸周围区域匹配于外部六边形区部232C以避免此两者之间的间隙。
在一个实例中,前驱物气体可在第一压力与流速下被提供到置中的各个六边形区部232C,以为了控制前驱物流动到腔室102的处理空间208的中心区域内的压力与流量。同时,前驱物气体可在第二更高压力与流速下被提供到定位在喷头组件201周边的六边形区部232C,以为了控制前驱物气体流动到处理空间208的周边区域内的压力与流量。所以,可通过分别控制前驱物气体流动到处理空间208的中心与周边区域的压力与流量来达到对基板上的沉积速率的更细微控制,其中所述基板典型地不是定位在处理空间208的中心区域中。
在另一个实例中,温度控制流体可在第一温度下被提供到置中的各个六边形区部232C,以为了冷却喷头组件201的表面的中心部分于第一期望温度,其中该喷头组件201的表面面对腔室102的处理空间208。同时,温度控制流体可在第二温度下被提供到定位在喷头组件201周边的六边形区部232C,以为了冷却喷头组件201的表面的外周边于第二期望温度,其中该喷头组件201的表面面对腔室102的处理空间208,第二期望温度可高于或低于第一期望温度(取决于期望的处理情况)。所以,可通过轴向对称形态的喷头组件201的区域来控制喷头组件201的温度与进入处理空间208的处理气体,以对处理情况提供更大的控制。
各个六边形区部232C的截面类似于图2所示的喷头区部232的截面。较佳地,喷头区部232与六边形区部232C之间的唯一差异在于各自区部的形状和尺寸。举例而言,各个六边形区部232C包括第一处理气体岐管233、第二处理气体岐管234与温度控制岐管235,其中该第一处理气体岐管233具有气体入口258与多个气体导管245,该第二处理气体岐管234具有气体入口260与多个气体导管246,且该温度控制岐管235具有流体入口262与流体出口263,如图2中的喷头区部232所示。还应注意,尽管为了清晰起见没有将内部与外部气体导管(245、246)图示在六边形区部232C中,特定实施例可在各个区部232C中包括约100个至约900个内部与外部气体导管(245、246),并且所述内部与外部气体导管(245、246)是被布置成如同图3A的细部A中所图示。
图3D图示喷头组件具有中心圆形区部232D与多个同心环形区部232E。在一个实施例中,中心区部232D与各个同心环形区部232E可在不同的流速及/或压力下被供应有前驱物,以对沉积工艺提供更大的控制。在一个实施例中,供应到该中心区部232D与所述同心环形区部232E的冷却流体的温度及/或流量可以是不同的,以对沉积工艺提供更大的控制。
在一个实例中,前驱物气体可在第一压力与流速下被提供到置中的中心区部232D与各个环形区部232E,以为了控制前驱物流动到腔室102的处理空间208的中心区域内的压力与流量。同时,前驱物气体可在第二更高压力与流速下被提供到定位在喷头组件201周边的环形区部232E,以为了控制前驱物流动到处理空间208的周边区域内的压力与流量。所以,可通过分别控制前驱物气体流动到处理空间208的中心与周边区域的压力与流量来达到对基板上的沉积速率的更细微控制,其中所述基板典型地不是定位在处理空间208的中心区域中。
在另一个实例中,温度控制流体可在第一温度下被提供到置中的中心区部232D与各个环形区部232E,以为了冷却喷头组件201的表面的中心部分于第一期望温度,其中该喷头组件201的表面面对腔室102的处理空间208。同时,温度控制流体可在第二温度下被提供到定位在喷头组件201周边的环形区部232E,以为了冷却喷头组件201的表面的外周边于第二期望温度,其中该喷头组件201的表面面对腔室102的处理空间208,第二期望温度可高于或低于第一期望温度(取决于期望的处理情况)。所以,可通过轴向对称形态的喷头组件201的区域来控制喷头组件201的温度与进入处理空间208的处理气体,以对处理情况提供更大的控制。
中心区部232D与各个环形区部232E的截面类似于图2所示的喷头区部232的截面。较佳地,喷头区部232、中心区部232D与环形区部232E之间的唯一差异在于各自区部的形状和尺寸。举例而言,中心区部232D与各个环形区部232E包括第一处理气体岐管233、第二处理气体岐管234与温度控制岐管235,其中该第一处理气体岐管233具有气体入口258与多个气体导管245,该第二处理气体岐管234具有气体入口260与多个气体导管246,且该温度控制岐管235具有流体入口262与流体出口263,如图2中的喷头区部232所示。还应注意,尽管为了清晰起见没有将内部与外部气体导管(245、246)图示在中心区部232D与环形区部232E中,特定实施例可在各个区部232D、232E中包括约500个至约1200个内部与外部气体导管(245、246),并且所述内部与外部气体导管(245、246)是被布置成如同图3A的细部A中所图示。
返回图2,下圆顶219设置在基板承载板112下方,以在圆顶219与基板承载板112之间形成下空间210。图上显示基板承载板112位于升高处理位置,但基板承载板112可移动到下位置,基板可例如在该下位置时被装载或卸载。排气环220可绕着基板承载板112的周边而设置,以有助于避免沉积发生在下圆顶219上并也有助于将来自腔室102的废气引导到排气口209。下圆顶219可由透明材料(诸如高纯度的石英)制成,以容许光能通过以将基板240予以辐射加热。可由设置在下圆顶219下方的多个内部灯221A与外部灯221B来提供辐射加热。反射件266可用以有助于控制腔室102对内部与外部灯221A、221B所提供的辐射能量的暴露。也可使用额外的灯圈(未图示),以用于基板240的更细微的温度控制。
在特定实施例中,净化气体从净化气体源281通过净化气体管285被输送,所述净化气体管285设置在靠近腔室主体202的底部。在此组态中,净化气体会进入腔室102的下空间210且向上流动越过基板承载板112与排气环220到多个排气口209内,其中所述排气口209绕着环形的排气通道205而设置。
如上所述,化学物输送模块203供应化学物到MOCVD腔室102。反应性气体(例如第一与第二前驱物气体)、载气、净化气体与清洁气体可从化学物输送系统通过供应线路被供应且到腔室102内。在一个实施例中,气体通过供应线路被供应且到气体混合器内且被输送到喷头组件201,其中所述气体在气体混合器处混合在一起。大致上,用于各个气体的供应线路包括关闭阀与质流控制器或其它类型的控制器,所述关闭阀可用于自动地或手动地关闭气体到所相关的线路的气体流动,所述其它类型的控制器可测量通过供应线路的气体或液体的流量。用于各个气体的供应线路也可包括浓度监控器,以监控前驱物浓度并提供实时回馈。可包括背压调节器,以控制前驱物气体浓度。阀切换控制可用于快速且精确的阀切换能力。气体线路中的湿度传感器测量水位且可提供回馈到系统软件,该系统软件可反过来提供警告/警示给操作者。气体线路也可被加热,以避免前驱物与清洁气体凝结在气体线路中。
概括地说,本发明的实施例包括由多个喷头区部组成的喷头组件,所述喷头区部彼此隔离且接附到公共顶板。各个喷头区部包括分离的入口与通路,以输送分离的处理气体到腔室的处理空间内,而不会在处理气体进入处理空间之前造成气体混合。各个喷头区部还包括分离的温度控制岐管,以冷却各自的喷头区部。相较于从单一块体来制造喷头组件或以单一制造方式来制造喷头组件,如同本发明,多个个别的喷头区部可更容易地且以更少成本地来制造与传送。此外,可分离地供应处理气体流与温度控制流体到各个个别的喷头区部,因而相较于传统的喷头能对处理情况提供更大的控制。
尽管前述说明涉及本发明的实施例,可设想出本发明的其它与进一步实施例而不背离本发明的基本范围,并且本发明的范围是由随附的权利要求书来决定。举例而言,喷头组件201的特定实施例包括多个区部,所述区部不具有第一处理气体岐管233、第二处理气体岐管234及/或温度控制岐管235中的一个或多个。

Claims (15)

1.一种喷头组件,包括:
顶板,所述顶板具有通过所述顶板形成的多个第一气体通路与多个第二气体通路;和
多个隔离的喷头区部,所述喷头区部接附到所述顶板,其中各个所述喷头区部具有第一气体岐管,所述第一气体岐管形成在各个所述喷头区部中且流体连通于所述第一气体通路中的一个第一气体通路,其中各个所述喷头区部具有第二气体岐管,所述第二气体岐管形成在各个所述喷头区部中且流体连通于所述第二气体通路中的一个第二气体通路。
2.如权利要求1的组件,其中各个所述第一气体通路彼此隔离,并且各个所述第二气体通路彼此隔离。
3.如权利要求1的组件,其中所述顶板具有多个流体入口与流体出口,所述流体入口与流体出口形成为通过所述顶板,并且其中各个所述喷头区部具有流体岐管,所述流体岐管形成在各个所述喷头区部中且流体连通于所述流体入口中的一个流体入口与所述流体出口中的一个流体出口。
4.如权利要求1的组件,其中各个喷头区部的所述第一气体岐管位于所述顶板与所述第二气体岐管之间。
5.如权利要求4的组件,其中各个喷头区部的所述第二气体岐管位于所述第一气体岐管与所述流体岐管之间。
6.如权利要求1的组件,其中各个喷头区部的所述第一气体岐管经由多个第三气体通路流体连通于所述喷头区部的出口侧,并且各个喷头区部的所述第二气体岐管经由多个第四气体通路流体连通于所述喷头区部的所述出口侧。
7.如权利要求6的组件,其中各个所述第三气体通路与第四气体通路设置成同心管。
8.如权利要求1的组件,所述组件进一步包括一个或多个计量组件,所述计量组件延伸在相邻的喷头区部之间。
9.一种基板处理设备,包括:
腔室主体;
基板支撑件;和
喷头组件,其中所述腔室主体、所述基板支撑件与所述喷头组件限定处理空间,并且其中所述喷头组件包括:
顶板,所述顶板具有通过所述顶板形成的多个第一气体通路与多个第二气体通路;和
多个隔离的喷头区部,所述喷头区部接附到所述顶板,其中各个所述喷头区部具有第一气体岐管,所述第一气体岐管形成在各个所述喷头区部中且流体连通于所述第一气体通路中的一个第一气体通路与所述处理空间,其中各个所述喷头区部具有第二气体岐管,所述第二气体岐管形成在各个所述喷头区部中且流体连通于所述第二气体通路中的一个第二气体通路与所述处理空间,且其中所述第一气体岐管与第二气体岐管在所述喷头区部内彼此隔离。
10.如权利要求9的设备,其中所述顶板具有多个流体入口与流体出口,所述流体入口与流体出口形成为通过所述顶板,且其中各个所述喷头区部具有流体岐管,所述流体岐管形成在各个所述喷头区部中且流体连通于所述流体入口中的一个流体入口与所述流体出口中的一个流体出口。
11.如权利要求9的设备,其中各个喷头区部的所述第一气体岐管经由多个第一气体导管流体地耦接到所述处理空间,所述多个第一气体导管通过所述第二气体岐管延伸。
12.如权利要求11的设备,其中各个喷头区部的所述第二气体岐管经由多个第二气体导管流体地耦接到所述处理空间,且其中各个第二导管同心地绕着所述第一导管中的一个第一导管。
13.如权利要求11的设备,其中各个第一气体通路耦接到金属有机气体源,且其中各个第二气体通路耦接到含氮气体源。
14.一种处理基板的方法,包括下列步骤:
将第一气体通过多个喷头区部引进到处理腔室的处理空间内,其中所述第一气体被输送到各个所述喷头区部中的第一气体岐管内,且其中所述第一气体从各个所述喷头区部的所述第一气体岐管通过各个喷头区部内的多个第一气体导管被输送到所述处理空间内;
将第二气体通过所述多个喷头区部引进到所述处理腔室的所述处理空间内,其中所述第二气体被输送到各个所述喷头区部中的第二气体岐管内,其中所述第二气体从各个所述喷头区部的所述第二气体岐管通过多个第二气体导管被输送到所述处理空间内;及
通过使热交换流体流动通过形成在各个所述喷头区部中的岐管,以冷却各个所述喷头区部。
15.如权利要求14的方法,其中所述第一气体是一金属有机前驱物,并且所述第二气体是含氮气体。
CN2011800499828A 2010-09-13 2011-08-24 多区部的喷头组件 Pending CN103168343A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US38217610P 2010-09-13 2010-09-13
US61/382,176 2010-09-13
PCT/US2011/048975 WO2012036856A2 (en) 2010-09-13 2011-08-24 Multiple section showerhead assembly

Publications (1)

Publication Number Publication Date
CN103168343A true CN103168343A (zh) 2013-06-19

Family

ID=45348819

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201180043641.XA Active CN103098175B (zh) 2010-08-16 2011-07-11 具有气体注射分配装置的喷头组件
CN2011800499828A Pending CN103168343A (zh) 2010-09-13 2011-08-24 多区部的喷头组件

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201180043641.XA Active CN103098175B (zh) 2010-08-16 2011-07-11 具有气体注射分配装置的喷头组件

Country Status (6)

Country Link
US (1) US20120064698A1 (zh)
JP (1) JP5859004B2 (zh)
KR (1) KR101906355B1 (zh)
CN (2) CN103098175B (zh)
TW (1) TW201217062A (zh)
WO (3) WO2011159690A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112090602A (zh) * 2020-09-24 2020-12-18 北京北方华创微电子装备有限公司 半导体工艺设备及其进气结构
CN113891957A (zh) * 2019-05-24 2022-01-04 应用材料公司 具有入口混合器的喷头

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
DE102011056589A1 (de) * 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
US9123758B2 (en) * 2013-02-06 2015-09-01 Applied Materials, Inc. Gas injection apparatus and substrate process chamber incorporating same
TWI683382B (zh) * 2013-03-15 2020-01-21 應用材料股份有限公司 具有光學測量的旋轉氣體分配組件
CN104141116B (zh) * 2013-05-08 2017-04-05 理想晶延半导体设备(上海)有限公司 金属有机化学气相沉积装置、气体喷淋组件及其气体分配的控制方法
JP6123688B2 (ja) * 2014-01-29 2017-05-10 東京エレクトロン株式会社 成膜装置
US9840777B2 (en) 2014-06-27 2017-12-12 Applied Materials, Inc. Apparatus for radical-based deposition of dielectric films
US9920844B2 (en) 2014-11-26 2018-03-20 Lam Research Corporation Valve manifold deadleg elimination via reentrant flow path
US9631276B2 (en) * 2014-11-26 2017-04-25 Lam Research Corporation Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
CN104498904B (zh) * 2014-12-29 2017-04-26 华中科技大学 一种用于mocvd设备的喷淋头
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
US10253412B2 (en) * 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
JP6606403B2 (ja) * 2015-11-05 2019-11-13 株式会社ニューフレアテクノロジー シャワープレート、気相成長装置および気相成長方法
CN107403717B (zh) * 2016-04-28 2023-07-18 应用材料公司 一种用于处理腔室的改进侧注入喷嘴设计
JP6696322B2 (ja) 2016-06-24 2020-05-20 東京エレクトロン株式会社 ガス処理装置、ガス処理方法及び記憶媒体
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR102362032B1 (ko) 2017-03-16 2022-02-14 삼성전자주식회사 기판 처리 장치
KR102493945B1 (ko) * 2017-06-06 2023-01-30 어플라이드 머티어리얼스, 인코포레이티드 Teos 유동의 독립적 제어를 통한 증착 반경방향 및 에지 프로파일 튜닝가능성
KR102443036B1 (ko) * 2018-01-15 2022-09-14 삼성전자주식회사 플라즈마 처리 장치
US11661654B2 (en) 2018-04-18 2023-05-30 Lam Research Corporation Substrate processing systems including gas delivery system with reduced dead legs
US10943769B2 (en) * 2018-07-19 2021-03-09 Lam Research Corporation Gas distributor and flow verifier
US11834743B2 (en) * 2018-09-14 2023-12-05 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
CA3089021C (en) * 2019-08-09 2023-09-05 Delta Faucet Company Flow restricting and diverting manifold for multiple function showerheadsystems
KR20210070898A (ko) * 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN113122823B (zh) * 2019-12-31 2023-03-07 中微半导体设备(上海)股份有限公司 金属有机物化学气相沉积反应器
DE102020107518A1 (de) * 2020-03-18 2021-09-23 Aixtron Se Verfahren zum Ermitteln des Endes eines Reinigungsprozesses der Prozesskammer eines MOCVD-Reaktors
CN111501024A (zh) * 2020-05-08 2020-08-07 Tcl华星光电技术有限公司 气相沉积装置
US20220010431A1 (en) * 2020-07-08 2022-01-13 Applied Materials, Inc. Multiple-channel showerhead design and methods in manufacturing
CN114242551B (zh) * 2020-09-09 2023-12-08 中微半导体设备(上海)股份有限公司 进气组件及其等离子体处理装置
CN112626496B (zh) * 2020-11-24 2022-04-05 鑫天虹(厦门)科技有限公司 喷头组件与原子层沉积设备
CN114420604A (zh) * 2022-01-17 2022-04-29 北京北方华创微电子装备有限公司 工艺腔室组件、半导体工艺设备及其方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040099213A1 (en) * 2000-07-24 2004-05-27 Adomaitis Raymond A Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
CN101413112A (zh) * 2007-10-16 2009-04-22 应用材料股份有限公司 多种气体直通道喷头
US20090211707A1 (en) * 2008-02-22 2009-08-27 Hermes Systems Inc. Apparatus for gas distribution and its applications
CN101560650A (zh) * 2009-05-15 2009-10-21 江苏大学 一种多喷淋头的化学气相沉积反应室结构

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
JPH11297681A (ja) * 1998-04-07 1999-10-29 Mitsubishi Electric Corp 高誘電率薄膜形成用cvd装置および高誘電率薄膜の形成方法
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
CN1302152C (zh) * 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
JP4559070B2 (ja) * 2001-06-29 2010-10-06 東京エレクトロン株式会社 製造システムを動作させる方法および基板処理のための製造システム
JP2003124125A (ja) * 2001-10-12 2003-04-25 Applied Materials Inc 半導体製造装置
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
JP3982402B2 (ja) * 2002-02-28 2007-09-26 東京エレクトロン株式会社 処理装置及び処理方法
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US20040157430A1 (en) * 2003-02-07 2004-08-12 Asml Netherlands B.V. Methods and apparatus for processing semiconductor wafers with plasma processing chambers in a wafer track environment
JP4026529B2 (ja) * 2003-04-10 2007-12-26 東京エレクトロン株式会社 シャワーヘッド構造及び処理装置
JP2004339566A (ja) * 2003-05-15 2004-12-02 Hitachi Kokusai Electric Inc 基板処理装置
JP4911984B2 (ja) * 2006-02-08 2012-04-04 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法及びシャワーヘッド
US8235001B2 (en) 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
KR101019953B1 (ko) * 2008-05-22 2011-03-09 주식회사 테스 가스 공급 장치
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
KR100997104B1 (ko) * 2008-07-04 2010-11-29 주식회사 테스 반도체 제조용 샤워헤드 및 이 샤워헤드를 구비한 반도체제조장치
JP2010059520A (ja) * 2008-09-05 2010-03-18 Sharp Corp 気相成長装置及び気相成長方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040099213A1 (en) * 2000-07-24 2004-05-27 Adomaitis Raymond A Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
CN101413112A (zh) * 2007-10-16 2009-04-22 应用材料股份有限公司 多种气体直通道喷头
US20090211707A1 (en) * 2008-02-22 2009-08-27 Hermes Systems Inc. Apparatus for gas distribution and its applications
CN101560650A (zh) * 2009-05-15 2009-10-21 江苏大学 一种多喷淋头的化学气相沉积反应室结构

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113891957A (zh) * 2019-05-24 2022-01-04 应用材料公司 具有入口混合器的喷头
CN112090602A (zh) * 2020-09-24 2020-12-18 北京北方华创微电子装备有限公司 半导体工艺设备及其进气结构
CN112090602B (zh) * 2020-09-24 2021-11-16 北京北方华创微电子装备有限公司 半导体工艺设备及其进气结构

Also Published As

Publication number Publication date
WO2011159690A3 (en) 2012-04-05
WO2012024033A3 (en) 2012-04-12
TW201217062A (en) 2012-05-01
KR101906355B1 (ko) 2018-10-10
JP5859004B2 (ja) 2016-02-10
WO2012024033A2 (en) 2012-02-23
WO2012036856A3 (en) 2012-08-16
US20120064698A1 (en) 2012-03-15
CN103098175A (zh) 2013-05-08
KR20130136981A (ko) 2013-12-13
WO2011159690A2 (en) 2011-12-22
JP2013541182A (ja) 2013-11-07
WO2012036856A2 (en) 2012-03-22
CN103098175B (zh) 2016-03-23

Similar Documents

Publication Publication Date Title
CN103168343A (zh) 多区部的喷头组件
CN103443903B (zh) 多级喷淋头设计
US10130958B2 (en) Showerhead assembly with gas injection distribution devices
US8481118B2 (en) Multi-gas straight channel showerhead
CN101328579B (zh) Hvpe喷头设计
US9449859B2 (en) Multi-gas centrally cooled showerhead design
TWI478771B (zh) 多氣體同心注入噴頭
US20120000490A1 (en) Methods for enhanced processing chamber cleaning
KR20090075649A (ko) 막증착 진공장비용 샤워헤드
US20120167824A1 (en) Cvd apparatus

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20130619