CN103098175A - 具有气体注射分配装置的喷头组件 - Google Patents

具有气体注射分配装置的喷头组件 Download PDF

Info

Publication number
CN103098175A
CN103098175A CN201180043641XA CN201180043641A CN103098175A CN 103098175 A CN103098175 A CN 103098175A CN 201180043641X A CN201180043641X A CN 201180043641XA CN 201180043641 A CN201180043641 A CN 201180043641A CN 103098175 A CN103098175 A CN 103098175A
Authority
CN
China
Prior art keywords
gas
manifold
shower nozzle
gas manifold
coupled
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201180043641XA
Other languages
English (en)
Other versions
CN103098175B (zh
Inventor
亚历山大·塔姆
常安忠
桑姆特·阿查利雅
唐纳德·J·K·奥尔加多
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/856,747 external-priority patent/US10130958B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103098175A publication Critical patent/CN103098175A/zh
Application granted granted Critical
Publication of CN103098175B publication Critical patent/CN103098175B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45576Coaxial inlets for each gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

本发明提供一种可应用于化学气相沉积和/或氢化物气相外延(HVPE)沉积的方法及装置。所述装置包括喷头组件,所述喷头组件具有独立入口和歧管,用于将独立的处理气体输送到腔室的处理空间中且不会在气体进入处理空间前混合气体。喷头包括设置在多个气体入口内的多个气体分配装置,用于将所述处理气体中的一种气体注射入歧管并分配于所述歧管上,以便均匀输送至腔室的处理空间中。气体分配装置中的每一个气体分配装置优选具有喷嘴,喷嘴被配置为均匀分配流经所述喷嘴中的处理气体同时使歧管内处理气体的再循环最小化。由此,可在放置在处理腔室的处理空间中的多个基板上得到改进的沉积均匀性。

Description

具有气体注射分配装置的喷头组件
发明背景
发明领域
本发明的实施方式一般涉及用于在基板上进行化学气相沉积(chemicalvapor deposition;CVD)的方法及装置,并且具体而言涉及用于金属有机化学气相沉积(metal organic chemical vapor deposition;MOCVD)和/或氢化物气相外延(hydride vapor phase epitaxy;HVPE)的喷头设计。
相关技术的描述
在如短波长发光二极管(LED)、激光二极管(LD)以及包括高功率、高频率、高温晶体管和集成电路的电子装置的各种半导体装置的开发和制造中,III-V族薄膜的重要性日益凸显。例如,短波长(如蓝/绿至紫外线波长)LED的制造使用了III族氮化物半导体材料氮化镓(GaN)。观察已经证明,与使用如II-VI族材料的非氮化物半导体材料制造的短波长LED相比,使用GaN制造的短波长LED可提供明显更高的效率及更长的使用寿命。
已经被用于沉积如GaN的III族氮化物的一种方法是金属有机化学气相沉积(MOCVD)。此种化学气相沉积方法通常在环境温度受控的反应器中进行,以确保第一前驱物气体的稳定性,所述第一前驱物气体含有来自III族的至少一种元素,所述元素如镓(Ga)。如氨气(NH3)的第二前驱物气体提供形成III族氮化物所需的氮气。所述两种前驱物气体被注射入反应器内的处理区域,在所述处理区域处,所述两种前驱物气体混合并移向处理区域中的受热基板。在向基板运输前驱物气体时可使用载体气体加以协助。前驱物在受热基板表面反应,以在基板表面形成如GaN的III族氮化物层。薄膜的质量部分取决于沉积均匀性,也就取决于前驱物在基板上的均匀混合。
在基板载体上可布置多个基板,且每个基板直径可在50mm至100mm之间或更大。为了提高良率和产量,需要在更大基板和/或更多基板和更大沉积面积上的前驱物的均匀混合。所述因素很重要,因为所述因素直接影响生产电子装置的成本,从而影响装置制造商在市场中的竞争力。
在形成LED或LD的反应器的处理区域中常常能发现前驱物气体与热硬件部件的交互作用,所述交互作用常造成前驱物分解(break-down)并在所述热表面上沉积。通常,来自用于加热基板的热源的辐射形成所述热反应器表面。当在前驱物分配部件如喷头中或所述前驱物分配部件上发生前驱物材料在热表面上的沉积时,所述沉积可能特别成问题。前驱物分配部件上的沉积将随时间影响流量分配的均匀性。因此,需要一种气体分配装置,所述装置能够防止或降低MOCVD前驱物或HVPE前驱物受热至使所述前驱物分解的温度从而影响气体分配装置性能的可能性。
同样,随着对LED、LD、晶体管和集成电路的需要增加,沉积高质量III族氮化物薄膜的效率变得越来越重要。因此,需要一种改进的沉积装置和工艺,所述沉积装置和工艺能够提供在更大基板上和更大沉积面积上的一致的薄膜质量。由此,需要经过气体分配装置的气体分配中的改进均匀性,从而改进随后沉积于更大基板和更大沉积面积上的薄膜均匀性。
发明概述
本发明通常提供用于使用MOCVD和/或HVPE工艺沉积III族氮化物薄膜的改进方法及装置。
一个实施方式提供一种喷头组件,所述喷头组件包括:喷头,所述喷头具有形成在所述喷头中的第一气体歧管;多个第一气体导管,所述第一气体导管穿过所述喷头延伸且将所述第一气体歧管流体耦接至所述喷头的出口表面;以及多个气体分配装置,每个气体分配装置中都形成有环形孔口,所述环形孔口与所述第一气体歧管流体连通且被配置为耦接至气源。
另一个实施方式提供一种基板处理装置,包括腔室主体、基板支架以及喷头组件,其中处理空间(processing volume)由所述腔室主体、所述基板支架及所述喷头组件限定。所述喷头组件包括:喷头,所述喷头具有形成在所述喷头中的第一气体歧管;多个第一气体导管,所述第一气体导管穿过所述喷头延伸并将所述第一气体歧管流体耦接至所述处理空间;以及多个气体分配装置,所述多个气体分配装置的每一个气体分配装置都有环形孔口,所述环形孔口与所述第一气体歧管流体连通,其中所述多个气体分配装置被配置为耦接至单个气源。
又一个实施方式提供一种处理基板的方法,包括:经过设置在耦接至喷头组件的第一气体歧管的一个或多个第一气体入口中的一个或多个气体分配装置将第一气体导入处理腔室的处理空间中,其中每个气体分配装置中都具有环形孔口,所述环形孔口流体耦接至第一气体歧管。此方法进一步包括:经过耦接至喷头组件的第二气体歧管的第二气体入口将第二气体导入所述处理腔室的所述处理空间中,其中所述第一气体歧管与所述第二气体歧管分开,其中所述第一气体经过多个第一气体歧管输送到所述处理空间中,所述第二气体经过多个第二气体导管输送到所述处理空间中。此方法还包括:通过设置在所述喷头组件中的温度控制歧管使热交换流体流动,以此冷却所述喷头组件,其中所述多个第一气体导管及第二气体导管穿过所述温度控制歧管而设置。
附图简要说明
因此,为了能够详细理解本发明上述特征的实现方式,可参照附图中部分图示的实施方式,获得上文概述的本发明的更具体的说明。然而应知,附图仅绘示了本发明的典型实施方式,因此不应理解为限制本发明的范围,因为本发明允许其他同等有效的实施方式。
图1为平面示意图,图示了根据本文所述实施方式用于制造化合物氮化物(compound nitride)半导体装置的处理系统的一个实施方式。
图2为根据本发明一个实施方式用于制造化合物氮化物半导体装置的金属有机化学气相沉积(MOCVD)腔室的截面示意图。
图3为图2所示细部A的放大图。
图4为根据一个实施方式的图2和图3所示气体分配装置的俯视图。
图5为根据一个实施方式的图2所示喷头组件的俯视示意图。
图6为根据一个实施方式的喷头的截面示意图。
图7为根据一个实施方式的喷头组件的俯视示意图。
为了便于理解,尽可能使用相同元件符号指示各图共用的相同元件。应理解为,一个实施方式中的元件和特征可以以有利方式并入其他实施方式而无需赘述。
具体描述
本发明的实施方式通常提供一种可用于使用MOCVD和/或HVPE硬件沉积III族氮化物薄膜的方法及装置。一般而言,所述装置为包括喷头的处理腔室,所述喷头具有独立入口及通道,用于输送独立的处理气体到腔室的处理空间中且不会在气体进入处理空间之前使所述气体混合。喷头包括设置在多个气体入口内的多个气体分配装置,用于将处理气体中的一种注射入歧管中并分配于歧管上,以便输送到腔室的处理空间中。气体分配装置的每一个优选具有喷嘴,所述喷嘴被设置为均匀分配流经所述喷嘴中的处理气体同时使歧管内处理气体的再循环最小化。
图1为平面示意图,图示了根据本文所述实施方式的处理系统100的一个实施方式,处理系统100包括一个或多个MOCVD腔室102,用于制造化合物氮化物半导体装置。在一个实施方式中,处理系统100对大气环境关闭。处理系统100包括传送腔室106、耦接至传送腔室106的MOCVD腔室102、耦接至传送腔室106的加载互锁腔室108、耦接至传送腔室106用于存储基板的批量加载互锁腔室109以及耦接至加载互锁腔室108用于加载基板的负载站110。传送腔室106包括机械手组件(未图示),所述机械手组件可操作为在加载互锁腔室108、批量加载互锁腔室109和MOCVD腔室102之间拾取并传送基板。尽管图示了单个MOCVD腔室102,然而应知,与传送腔室106耦接的也可以是大于一个MOCVD腔室102或者另外是一个或多个MOCVD腔室102以及一个或多个氢化物气相外延(HVPE)腔室的结合。还应知,尽管图示了多腔集成设备(cluster tool),本文所描述的实施方式也可使用线性轨道系统(linear track system)执行。
在一个实施方式中,传送腔室106在基板传送过程中保持真空状,以控制基板暴露于的污染物如氧气(O2)或水(H2O)。可调节传送腔室的真空水平使之与MOCVD腔室102的真空水平匹配。例如,当从传送腔室106向MOCVD腔室102(反之亦然)传送基板时,传送腔室106与MOCVD腔室102可维持相同的真空水平。于是,当从传送腔室106向加载互锁腔室108(反之亦然)或批量加载互锁腔室109(反之亦然)传送基板时,可调整传送腔室真空水平使之与加载互锁腔室108或批量加载互锁腔室109匹配,尽管加载互锁腔室108或批量加载互锁腔室109与MOCVD腔室102的真空水平可能不同。因此,传送腔室106的真空水平可调节。在某些实施方式中,在高纯度的惰性气体环境如高纯度N2环境中传送基板。在一个实施方式中,在具有大于90%的N2环境中传送基板。在某些实施方式中,在高纯度NH3环境中传送基板。在一个实施方式中,在具有大于90%的NH3环境中传送基板。在某些实施方式中,在高纯度H2环境中传送基板。在一个实施方式中,在具有大于90%的H2环境中传送基板。
在处理系统100中,机械手组件(未图示)将载有基板的基板载板112传送到单个MOCVD腔室102中以进行沉积。举例而言,基板载板112的直径可在约200mm至约750mm之间。基板载板112可由各种材料形成,所述材料包含SiC或镀有SiC的石墨。基板载板112的表面积可为约1,000cm2或更大,优选为约2,000cm2或更大,更优为约4,000cm2或更大。当部分或全部沉积步骤完成时,经由传送机械手将基板载板112从MOCVD腔室102传送回加载互锁腔室108。接着基板载板112可传送到负载站110。基板载板112在进入MOCVD腔室102进行进一步处理之前可存储于加载互锁腔室108或批量加载互锁腔室109。
系统控制器160控制处理系统100的活动和工作参数。系统控制器160包括计算机处理器和耦接至处理器的计算机可读存储器。处理器执行系统控制软件,如存储器中存储的计算机程序。
图2为根据本发明一个实施方式的MOCVD腔室102的截面示意图。MOCVD腔室102包括:腔室主体202;用于输送前驱物气体、载体气体、清洁气体和/或净化气体的化学物输送模块203;含等离子体源的远程等离子体系统226;基座或基板支架214及真空系统212。腔室主体202围合成处理空间208。喷头组件201设置在处理空间208的一端,而基板载板112设置在处理空间208的另一端。基板载板112可设置在基板支架214上。致动器组件能够沿朝向或远离喷头组件201的垂直方向移动基板支架214,如箭头215所示。致动器组件可能够旋转基板支架214。处理期间从喷头组件201靠近处理空间208的表面到基板载板112的距离优选在约4mm至约41mm之间。在某些实施方式中,基板支架214包括加热元件(如电阻加热元件(未图示)),用于控制基板支架214的温度,继而控制基板载板112和置于基板载板112和基板支架214上的基板240的温度。
喷头组件201包括喷头204。在一个实施方式中,喷头204包括多个板,所述板被机械加工和安装使得在所述板中形成多个歧管和孔隙,所述安装例如通过铜焊或焊接。喷头204具有第一处理气体歧管204A,所述第一处理气体歧管204A经由多个第一处理气体入口259耦接至化学物输送模块203,以便将第一前驱物或第一处理气体混合物输送到处理空间208。第一处理气体歧管204A可通过从顶壁275表面机械加工一定体积的材料以在所述表面中形成井穴(well),并将顶壁275例如通过铜焊或焊接安装到第一水平壁276而形成。在一个实施方式中,化学物输送模块203被设置为将金属有机前驱物输送到第一处理气体歧管204A。在一个实例中,金属有机前驱物包含适当镓(Ga)前驱物(例如三甲基镓("TMG")、三乙基镓(TEG))、适当铝前驱物(例如三甲基铝("TMA"))或适当铟前驱物(例如三甲基铟("TMI"))。
在一个实施方式中,气体分配装置255设置在各个第一处理气体入口259中。气体分配装置255被耦接至化学物输送模块203,且气体分配装置255被配置为将接收自化学物输送模块203的气体均匀分配到第一处理气体歧管204A中。气体分配装置255一般被构造为经由所述气体分配装置255中提供均匀气体分配,同时通过所述气体分配装置255的出口孔口形状的设置和通过控制气流及压力来防止第一处理气体歧管204A中气体的再循环。在一个实施方式中,多个第一气体入口259及相应气体分配装置255绕中心导管204D同心放置。在其他实施方式中,多个第一处理气体入口259及相应气体分配装置255以其他结构放置,从而最大化有关第一处理气体歧管204A的均匀气体分配。喷头组件201可包括约四到约十二个之间的气体分配装置255。在一个实施方式中,喷头组件201包括约六到约八个气体分配装置255。
喷头204可具有耦接至化学物输送模块203的第二处理气体歧管204B,用于经由第二处理气体入口258将第二前驱物或第二处理气体混合物输送到处理空间208。在一个实施方式中,化学物输送模块203被配置为将适当含氮处理气体如氨气(NH3)或其他MOCVD或HVPE处理气体输送到第二处理气体歧管204B。第二处理气体歧管204B通过喷头204的第一水平壁276与第一处理气体歧管204A分开。第二处理气体歧管204B可通过从第一水平壁276表面机械加工一定体积的材料以在所述表面中形成井穴,并将第一水平壁276例如通过铜焊或焊接安装到第二水平壁277而形成。
喷头204可进一步包括耦接至热交换系统270的温度控制歧管204C,用于使热交换流体流经喷头204,以助调节喷头204的温度。适当热交换流体包括(但不限于)水、水基乙二醇混合物、全氟聚醚(例如
Figure BDA00002903336200071
流体)、油基热传送流体或类似流体。第二处理气体歧管204B通过喷头204的第二水平壁277与温度控制歧管204C分开。温度控制歧管204C可通过喷头204的第三水平壁278与处理空间208分开。温度控制歧管204C可通过从第二水平壁277表面机械加工一定体积材料以在所述表面中形成井穴,并将第二水平壁277例如通过铜焊或焊接安装到第三水平壁278而形成。
图3为图2所示细部A的放大视图,图4为图3所示气体分配装置255的俯视图。参见图2、图3及图4,在一个实施方式中,经由多个气体分配装置255,第一前驱物或第一处理气体混合物(如金属有机前驱物)通过多个第一处理气体入口259从化学物输送模块203输送到第一处理气体歧管204A中,所述多个第一处理气体入口259穿过喷头204的顶壁275而设置。
每一个气体分配装置255一般包括圆柱形主体部302和安装到圆柱形主体部302的中心部304。中心部304可包括气体接收部306和气体分配部316,以及延伸于所述气体接收部306和气体分配部316之间的截头圆锥部(frustoconical portion)310。气体接收部306一般为倒转的截头圆锥形状,且气体接收部306的周边安装到在第一组相对侧边307上的圆柱形主体部302(图4),而气体接收部306的周边在相对侧边308上被截断,从而使得在气体接收部306与圆柱形主体部302之间形成上部气体通道309。
截头圆锥部310从气体接收部306向下延伸到第一处理气体歧管204A中。圆柱形主体部302的内表面303大致模仿截头圆锥部310表面311的形状,使得圆柱形主体部302与截头圆锥部310之间形成环形气体通道312。气体分配部316为普通圆盘的形状,且位于截头圆锥部310在第一处理气体歧管204A中的下端,从而在气体分配部316的上表面317与圆柱形主体部302的下表面301之间形成环形孔口318。
截头圆锥部310与气体分配部316的彼此之间相对放置使得截头圆锥部310表面311与气体分配部316上表面317之间形成角度B。角度B可以在约45度至约75度之间。在一个实例中,角度B为约60度。
在操作中,第一前驱物或第一处理气体混合物从化学物输送模块203输送到气体分配装置255。气体流过气体接收部306并经过上部气体通道309进入截头圆锥部310与主体部302之间的环形气体通道312。气体接着流经环形气体通道312、经过气体分配部316与主体部302之间的环形孔口318,并进入第一处理气体歧管204A中。由于气体分配装置255各部的形状和位置,处理气体被均匀输送经过气体分配装置255并进入第一处理气体歧管204A,而不会在第一处理气体歧管204A中的注射点处发生气体的再循环。此外,如前所述,多个气体分配装置255的数量和位置提供了从化学物输送模块203进入第一处理气体歧管204A的均匀气体分配。
第一前驱物或第一处理气体混合物(如金属有机前驱物)可经由多个内部气体导管246,从第一处理气体歧管204A经过第二处理气体歧管204B和温度控制歧管204C输送到处理空间208中。内部气体导管246可以是位于对齐孔穴内的圆柱形管道,所述孔穴穿过喷头204的第一水平壁276、第二水平壁277和第三水平壁278而设置。在一个实施方式中,内部气体导管246通过适当方式如铜焊安装到喷头204的第一水平壁276。
在处理期间,可通过使用气体分配装置255阵列在第一处理气体歧管204A中均匀分配第一前驱物气体(如金属有机前驱物),将第一前驱物气体均匀输送到处理空间208中。在一个实例中,如图5所示,气体分配装置255阵列包括径向放置的六个气体分配装置255,所述气体分配装置255绕喷头组件201的中心同心放置。每一个气体分配装置255通过多个气体导管256流体互连,所述气体导管256全部连接到化学物输送模块203。图5为图2所示根据一个实施方式的喷头组件201的俯视图。化学物输送模块203中的前驱物气流控制装置(未图示)被设置为向每一个气体分配装置255提供均匀分配的前驱物气流。气流控制装置可包括数个独立的流量控制器,所述流量控制器的每一个流量控制器都能够调节输送到每一个气体分配装置255的前驱物气体的气流和/或气压。在一个配置中,前驱物气流控制装置包括一个或多个质量流量控制器(未图示)。
在一个实例中,在处理期间,流速在约5sccm/l至约15sccm/l之间的TMG前驱物经由内部气体导管246经过喷头组件201被输送到压力维持于约100Torr至约500Torr之间的处理空间208中。喷头组件201可包括约4700至约6700个内部气体导管246,每个内部气体导管246的直径在约0.4mm至约0.8mm之间。在此实例中,通过将TMG前驱物气流输送到每一个气体分配装置255(图5)且由后者将TMG前驱物均匀输送到第一处理气体歧管204A和内部气体导管246中,从而实现TMG前驱物进入内部气体歧管246的均匀分配气流。由于形成在气体分配装置255中的通道的尺寸和形状的缘故,因此可以均匀输送和控制前驱物气流。在一个实施方式中,第一处理气体歧管204A的高度331(图3)为约0.15英寸(in)至约0.25英寸之间,环形孔口318的宽度332(图3)为约1mm至约3mm之间,环形气体通道312的宽度333为约1mm至约3mm之间。
第二前驱物或第二处理气体混合物(如氮气前驱物)可经由多个外部气体导管245从第二处理气体歧管204B经过温度控制歧管204C输送到处理空间208中。外部气体导管245可为绕各内部气体导管246同心放置的圆柱形管道。外部气体导管245位于穿过喷头204第二水平壁277和第三水平壁278而设置的对齐孔穴中。在一个实施方式中,各外部气体导管245通过适当方式如铜焊安装到喷头204的第二水平壁277。
如前所述,MOCVD腔室102可用于沉积III族氮化物薄膜。一般而言,III族氮化物薄膜在超过约550℃的温度下沉积。在处理期间,经过温度控制歧管204C循环冷却液体,从而冷却喷头204,且尤其用以冷却经内部气体导管246输送的金属有机前驱物(穿过温度控制歧管204C),从而防止金属有机前驱物在被导入处理空间208之前沉积。此外应知,绕金属有机前驱物流经每个内部气体导管246的含氮气流经过第二处理气体歧管204B和每个外部气体导管245,提供附加冷却效果和与处理空间208中高处理温度的隔离,从而防止金属有机前驱物在被导入处理空间208之前沉积。此外,由于第一处理气体歧管204A中的均匀气体分配(如上所述),因此金属有机前驱物可更均匀地分配到腔室102的处理空间208中,从而使基板240上的III族氮化物薄膜的沉积更加均匀。
返回参见图2,下部圆顶219设置在下部空间210的一端,基板载板112设置在下部空间210的另一端。基板载板112图示为抬高的处理位置,但也可移到较低位置,例如可加载或卸载基板240的位置。可绕基板载板112的周边设置排气环220,用于协助防止下部空间210中发生沉积,也可协助将废气从腔室102导至排气口209。下部圆顶219可由透明材料如高纯度石英制成,以允许光线穿过从而便于基板240的辐射加热。辐射加热可由多个设置在下部圆顶219下方的内部灯221A和外部灯221B提供。可使用反射器266协助控制腔室102暴露到由内部灯和外部灯221A、221B提供的辐射能量。也可使用附加灯环(未图示)更精细地控制基板240的温度。
在本发明的某些实施方式中,净化气体(如含氮气体)从喷头204经过一个或多个耦接至净化气源282的净化气体通道281输送到腔室102中。在此实施方式中,通过多个围绕喷头204周边的孔口284分配净化气体。所述多个孔口284可配置为围绕喷头204周边的圆形样型,且放置为绕基板载板112周边分配净化气体以防止基板载板112、喷头204和腔室102的其他部件边缘的不良沉积,所述不良沉积会导致粒子的形成从而最终导致基板240的污染。净化气流向下流入多个绕环形排气通道205设置的排气口209。排气导管206将环形排气通道205连接到真空系统212,后者包括真空泵207。腔室102的压力可用阀系统加以控制,所述阀系统控制排出气体从环形排气通道205抽出的速率。
在其他实施方式中,净化气体管道283设置在腔室主体202底部附近。在此配置中,净化气体进入腔室102的下部空间210并向上流经基板载板112和排气环220并进入多个排气口209。
如上所述,化学物输送模块203向MOCVD腔室102提供化学物。反应性气体(如第一和第二前驱物气体)、载体气体、净化气体和清洁气体可从化学物输送系统通过供应管线供应到腔室102中。气体可通过供应管线供应并进入气体混合箱,在那里它们被相互混合并输送到喷头组件201。一般而言,每一种气体的供应管线包括可用来自动或手动关闭进入相关管线气流的截止阀,以及测量通过供应管线气体或液体流量的质量流量控制器或其他类型控制器。每一种气体的供应管线也可包括浓度监控器,用于监控浓度和提供实时反馈。可包括背压调节器以控制前驱物气体浓度。阀切换控制器可用于快速准确的阀切换性能。气体管线中的湿度传感器测量水位,且可向系统软件提供反馈,从而系统软件又可向操作者提供警告/警示。也可加热气体管线以阻止前驱物和清洁气体在供应管线中变浓。根据使用的工艺不同,某些物料源可为液体而非气体。当使用液体源时,化学物输送模块包括液体注射系统或其他适当的机械装置(如起泡器)用以汽化液体。接着一般将来自液体的蒸汽与载体气体混合,如本领域技术人员所了解。
远程等离子体系统226可产生等离子体以用于所选应用,如腔室清洁或处理基板上的剩余物蚀刻。来自前驱物经由输入管线供应的远程等离子体系统226中产生的等离子体物种经由中心导管204D发送,以便于经喷头204发送到MOCVD腔室102。用于清洁应用的前驱物气体可包含含氯气体、含氟气体、含碘气体、含溴气体、含氮气体和/或其他反应性元素。远程等离子体系统226也可调适为沉积CVD层,在层沉积处理期间将适当沉积前驱物气体流入远程等离子体系统226。在一个实施方式中,远程等离子体系统226用于输送反应性氯气物种到处理空间208以便清洁MOCVD腔室102的内部。
可进一步通过使热交换流体穿过腔室102壁中的通道(未图示)循环,控制MOCVD腔室102的壁和周围结构的温度,所述周围结构诸如是排气通路(exhaust passageway)。热交换流体可用来根据预期的效果而加热或冷却腔室主体202。例如,热的液体可有助于在热沉积工艺期间维持均匀的热梯度,而冷却液体可用于在原位等离子体工艺期间去除系统热量或限制腔室壁上沉积产物的形成。此加热(用“热交换”代指加热)将有利地减少或消除没用的反应物产物的浓度,并改进对处理气体易挥发性产物和其他污染物的消除,所述易挥发性产物和其他污染物若在冷却真空通道壁上变浓会污染工艺且在没有气流期间返回到处理腔室中。
在一个实施方式中,在处理期间,第一前驱物气体从喷头204中的第一处理气体歧管204A、第二前驱物气体从形成于喷头204中的第二处理气体歧管204B流向基板240表面。如上所述,第一前驱物气体和/或第二前驱物气体可包含一种或多种前驱物气体或处理气体以及可与前驱物气体混合的载体气体和掺杂剂气体。排气口209的抽取可影响气流,从而使处理气流基本呈切线流向基板240且可以层流方式放射状均匀分配于基板沉积表面上。在一个实施方式中,处理空间208在约760Torr下至约80Torr的压力下可被污染。
图6为根据另一个实施方式的喷头204的截面示意图。图6所示实施方式的许多特征与图2和图3所示所述的相同因此此处不作进一步论述。如图6所示,与单个第一处理气体歧管204A不同,喷头204有第一内部处理气体歧管601A和第一外部处理气体歧管601B,所述第一外部处理气体歧管601B外接第一内部处理气体歧管601A且通过环形壁602与第一内部处理气体歧管601A分开。第一内部处理气体歧管601A经由设置在第一内部气体入口603A中的气体分配装置255耦接至化学物输送模块203。第一外部处理气体歧管601B经由设置在第一外部气体入口603B中的气体分配装置255耦接至化学物输送模块203。化学物输送模块203可配置为将相同或不同气体混合物输送到第一内部处理气体歧管601A和第一外部处理气体歧管601B。在一个实施方式中,将相同处理气体以不同流率和/或压力输送到第一内部处理气体歧管601A和第一外部处理气体歧管601B。
图6所示的喷头204进一步包括第二内部处理气体歧管604A和第二外部处理气体歧管604B,第二外部处理气体歧管604B外接第二内部处理气体歧管604A且通过环形壁605与第二内部处理气体歧管604A分开。第二内部处理气体歧管604A经由内部气体入口606A耦接至化学物输送模块203。第二外部处理气体歧管604B经由外部气体入口606B耦接至化学物输送模块203。化学物输送模块203可配置为将相同或不同气体混合物输送到第二内部处理气体歧管604A和第二外部处理气体歧管604B。在一个实施方式中,将相同处理气体以不同流率和/或压力输送到第二内部处理气体歧管604A和第二外部处理气体歧管604B。
在处理期间,可通过使用气体分配装置255阵列向第一内部处理气体歧管601A中均匀输送第一处理气体混合物(如金属有机前驱物)。在一个实例中,如图7所示,气体分配装置255阵列包括三个径向放置的气体分配装置255,所述气体分配装置255绕喷头组件201的中心同心放置。气体分配装置255通过多个气体导管256流体互连,所述气体导管256全部连接到化学物输送模块203。可通过使用气体分配装置255的阵列将相同或不同的第一处理气体混合物均匀输送到第一外部处理气体歧管601B中。在图7所示的实例中,气体分配装置255阵列包括绕喷头组件201中心同心地三个径向放置的气体分配装置255。气体分配装置255经由多个气体导管456和环形歧管457流体互连至化学物输送模块203。
化学物输送模块203中的前驱物气流控制装置(未图示)被配置为通过气体分配装置255向第一内部歧管601A及第一外部歧管601B提供相同或不同气流。流量控制装置可包括独立的流量控制器,所述流量控制器的每一个流量控制器都能够调节输送到内部歧管601A及外部歧管601B的前驱物气体的流量和/或压力。在一个配置中,前驱物气流控制装置包含至少两个质量流量控制器(未图示)。
第一处理气体混合物可经由多个第一内部气体导管646A从第一内部处理气体歧管601A通过第二内部处理气体歧管604A和温度控制歧管204C输送到处理空间208中。相同气体混合物可经由多个第二内部气体导管646B以不同流率和/或压力从第一外部处理气体歧管601B通过第二外部气体歧管604B和温度控制歧管204C输送到处理空间中。第一内部气体导管646A和第二内部气体导管646B可以是位于对齐孔穴内的圆柱形管道,所述孔穴穿过喷头204的第一水平壁276、第二水平壁277和第三水平壁278而设置。第一内部气体导管645A和第二内部气体导管645B可通过适当方式如铜焊安装到喷头204的第一水平壁276。
第二处理气体混合物(如氮气前驱物)可经由多个第一外部气体导管645A从第二内部处理气体歧管604A经过温度控制歧管204C输送到处理空间208中。相同气体混合物可经由多个第二外部气体导管645B以不同流率和/或压力从第二外部处理气体歧管604B通过温度控制歧管204C输送到处理空间208中。第一外部导管645A可以是绕各自第一内部气体导管646A同心放置的圆柱形管道,第二外部气体导管645B可以是绕各自第二内部气体导管646B同心放置的圆柱形管道。第一外部气体导管645A和第二外部气体导管645B位于对齐孔穴内,所述孔穴穿过喷头204的第二水平壁277和第三水平壁278而设置。第一外部气体导管646A和第二外部气体导管646B可通过适当方式如铜焊安装到喷头204的第二水平壁277。
概述之,本发明的实施方式包括一种喷头组件,所述喷头组件具有独立入口和歧管,用于将独立的处理气体输送到腔室的处理空间中且不会在气体进入处理空间前混合气体。喷头包括设置在多个气体入口内的多个气体分配装置,用于将所述处理气体中的一种气体注射入歧管并分配于所述歧管上,以便均匀输送至腔室的处理空间中。气体分配装置中的每一个优选具有喷嘴,喷嘴被配置为均匀分配流经所述喷嘴中的处理气体同时使歧管内处理气体的再循环最小化。由此,可在放置于处理腔室的处理空间中的多个基板上得到改进的沉积均匀性。
尽管前文针对本发明的实施方式,然而在不脱离本发明的基本范围的前提下,可设想本发明的其他和进一步的实施方式,且本发明的范围由随附的权利要求书所决定。例如,喷头组件201的某些实施方式没有歧管240B和/或歧管204C。

Claims (15)

1.一种喷头组件,包括:
喷头,所述喷头具有设置在所述喷头中的第一气体歧管;
多个第一气体导管,所述第一气体导管穿过所述喷头延伸且将所述第一气体歧管流体耦接至所述喷头的出口表面;以及
多个气体分配装置,每个所述气体分配装置中都形成有环形孔口,所述环形孔口与所述第一气体歧管流体连通且被配置为耦接至气源。
2.如权利要求1所述的组件,进一步包括穿过所述喷头设置的中心导管,且其中所述多个气体分配装置绕所述中心导管同心放置。
3.如权利要求1所述的组件,其中每个气体分配装置包括圆柱形主体部,所述圆柱形主体部安装到中心部,从而穿过所述气体分配装置形成环形气体通道且所述环形气体通道耦接至所述环形孔口。
4.如权利要求3所述的组件,其中所述中心部包括伸入所述第一处理气体歧管的截头圆锥部和延伸自所述第一处理气体歧管内的截头圆锥部的分配部。
5.如权利要求4所述的组件,其中所述分配部为圆盘状部件,且所述分配部与所述圆柱形主体部的相对放置使得流经所述环形气体通道的气体穿过所述环形孔口均匀分配。
6.如权利要求1所述的组件,进一步包括:
第二气体歧管和温度控制歧管,所述第二气体歧管设置在所述喷头中且与所述第一气体歧管分开,而所述温度控制歧管形成于所述喷头中且与所述第一气体歧管和第二气体歧管分开;以及
多个第二气体导管,所述第二气体导管穿过所述温度控制歧管延伸且将所述第二气体歧管流体耦接至所述喷头的所述出口表面,其中所述第一气体导管穿过所述温度控制歧管延伸。
7.如权利要求6所述的组件,进一步包括:
设置在所述喷头中的第三气体歧管,所述第三气体歧管绕所述第一气体歧管同心且与第二多个气体分配装置流体连通;
多个第三气体导管,所述第三气体导管穿过所述温度控制歧管延伸且将所述第三气体歧管流体耦接至所述喷头的所述出口表面;
设置在所述喷头中的第四气体歧管,所述第四气体歧管绕所述第二气体歧管同心;以及
多个第四气体导管,所述第四气体导管穿过所述温度控制歧管延伸且将所述第四气体歧管流体耦接至所述喷头的所述出口表面。
8.一种基板处理装置,包括:
腔室主体;
基板支架;以及
喷头组件,其中处理空间由所述腔室主体、所述基板支架及所述喷头组件限定,且其中所述喷头组件包括:
喷头,所述喷头具有形成在所述喷头中的第一气体歧管;
多个第一气体导管,所述第一气体导管穿过所述喷头延伸且将所述第一气体歧管流体耦接至所述处理空间;以及
多个气体分配装置,每个所述气体分配装置都具有环形孔口,所述环形孔口与所述第一气体歧管流体连通,其中所述多个气体分配装置被配置为耦接至单个气源。
9.如权利要求8所述的装置,其中所述喷头组件进一步包括中心导管,所述中心导管穿过所述喷头而设置且穿过所述第一气体歧管延伸,且其中所述多个气体分配装置绕所述中心导管同心放置。
10.如权利要求9所述的装置,进一步包括:
设置在所述装置中且与所述第一气体歧管分开的第二气体歧管;
多个第二气体导管,所述第二气体导管将所述第二气体歧管流体耦接至所述处理空间,其中所述多个第一气体导管穿过所述第二气体歧管延伸。
11.如权利要求10所述的装置,进一步包括:
设置在所述喷头中的第三气体歧管,所述第三气体歧管绕所述第一气体歧管同心且与第二多个气体分配装置流体连通;
多个第三气体导管,所述第三气体导管穿过所述温度控制歧管延伸且将所述第三气体歧管流体耦接至所述喷头的所述出口表面;
设置在所述喷头中的第四气体歧管,所述第四气体歧管绕所述第二气体歧管同心;以及
多个第四气体导管,所述第四气体导管穿过所述温度控制歧管延伸且将所述第四气体歧管流体耦接至所述喷头的所述出口表面。
12.一种处理基板的方法,包括:
通过多个气体分配装置将第一气体导入处理腔室的处理空间中,所述多个气体分配装置的每一个气体分配装置都具有环形孔口,所述环形孔口流体耦接至形成于喷头中的第一气体歧管,其中所述第一气体从所述第一气体歧管经过多个第一气体导管输送到所述处理空间中;
通过形成于所述喷头中的第二气体歧管将第二气体导入所述处理腔室的所述处理空间中,其中所述第二气体从所述第二气体歧管经过多个第二气体导管输送到所述处理空间中,且其中所述第一气体歧管与所述第二气体歧管分开;以及
通过设置在所述喷头组件中的温度控制歧管使热交换流体流动来冷却所述喷头组件,其中所述多个第一气体导管及第二气体导管穿过所述温度控制歧管而设置。
13.如权利要求12所述的方法,其中每个气体分配装置包括圆柱形主体部,所述圆柱形主体部安装到中心部,从而穿过所述气体分配装置形成环形气体通道且所述环形气体通道耦接至所述环形孔口。
14.如权利要求13所述的方法,其中所述中心部包括伸入所述第一处理气体歧管的截头圆锥部和延伸自所述第一处理气体歧管内的截头圆锥部的分配部。
15.如权利要求12所述的方法,其中所述第一气体为金属有机前驱物而所述第二气体为含氮气体。
CN201180043641.XA 2010-08-16 2011-07-11 具有气体注射分配装置的喷头组件 Active CN103098175B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US12/856,747 2010-08-16
US12/856,747 US10130958B2 (en) 2010-04-14 2010-08-16 Showerhead assembly with gas injection distribution devices
US38217610P 2010-09-13 2010-09-13
US61/382,176 2010-09-13
PCT/US2011/043577 WO2012024033A2 (en) 2010-08-16 2011-07-11 Showerhead assembly with gas injection distribution devices

Publications (2)

Publication Number Publication Date
CN103098175A true CN103098175A (zh) 2013-05-08
CN103098175B CN103098175B (zh) 2016-03-23

Family

ID=45348819

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201180043641.XA Active CN103098175B (zh) 2010-08-16 2011-07-11 具有气体注射分配装置的喷头组件
CN2011800499828A Pending CN103168343A (zh) 2010-09-13 2011-08-24 多区部的喷头组件

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2011800499828A Pending CN103168343A (zh) 2010-09-13 2011-08-24 多区部的喷头组件

Country Status (6)

Country Link
US (1) US20120064698A1 (zh)
JP (1) JP5859004B2 (zh)
KR (1) KR101906355B1 (zh)
CN (2) CN103098175B (zh)
TW (1) TW201217062A (zh)
WO (3) WO2011159690A2 (zh)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104498904A (zh) * 2014-12-29 2015-04-08 华中科技大学 一种用于mocvd设备的喷淋头
CN107403717A (zh) * 2016-04-28 2017-11-28 应用材料公司 一种用于处理腔室的改进侧注入喷嘴设计
CN107546152A (zh) * 2016-06-24 2018-01-05 东京毅力科创株式会社 气体处理装置、气体处理方法和存储介质
CN108998776A (zh) * 2017-06-06 2018-12-14 应用材料公司 通过独立控制teos流量的沉积径向和边缘轮廓可维持性
CN112343131A (zh) * 2019-08-09 2021-02-09 德尔塔阀门公司 喷淋头系统及用于喷淋头系统的歧管
CN112626496A (zh) * 2020-11-24 2021-04-09 鑫天虹(厦门)科技有限公司 喷头组件与原子层沉积设备
CN113122823A (zh) * 2019-12-31 2021-07-16 中微半导体设备(上海)股份有限公司 金属有机物化学气相沉积反应器
WO2021223267A1 (zh) * 2020-05-08 2021-11-11 Tcl华星光电技术有限公司 气相沉积装置
CN115516131A (zh) * 2020-07-08 2022-12-23 应用材料公司 多通道喷头设计及其制造方法
CN115516132A (zh) * 2020-05-06 2022-12-23 应用材料公司 气体分配组件
TWI834054B (zh) * 2020-09-09 2024-03-01 大陸商中微半導體設備(上海)股份有限公司 進氣組件及其電漿處理裝置

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
DE102011056589A1 (de) * 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
US9123758B2 (en) * 2013-02-06 2015-09-01 Applied Materials, Inc. Gas injection apparatus and substrate process chamber incorporating same
TWI683382B (zh) * 2013-03-15 2020-01-21 應用材料股份有限公司 具有光學測量的旋轉氣體分配組件
CN104141116B (zh) * 2013-05-08 2017-04-05 理想晶延半导体设备(上海)有限公司 金属有机化学气相沉积装置、气体喷淋组件及其气体分配的控制方法
JP6123688B2 (ja) * 2014-01-29 2017-05-10 東京エレクトロン株式会社 成膜装置
US9840777B2 (en) 2014-06-27 2017-12-12 Applied Materials, Inc. Apparatus for radical-based deposition of dielectric films
US9631276B2 (en) * 2014-11-26 2017-04-25 Lam Research Corporation Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US9920844B2 (en) 2014-11-26 2018-03-20 Lam Research Corporation Valve manifold deadleg elimination via reentrant flow path
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
US10253412B2 (en) * 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
JP6606403B2 (ja) * 2015-11-05 2019-11-13 株式会社ニューフレアテクノロジー シャワープレート、気相成長装置および気相成長方法
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR102362032B1 (ko) 2017-03-16 2022-02-14 삼성전자주식회사 기판 처리 장치
KR102443036B1 (ko) * 2018-01-15 2022-09-14 삼성전자주식회사 플라즈마 처리 장치
US11661654B2 (en) 2018-04-18 2023-05-30 Lam Research Corporation Substrate processing systems including gas delivery system with reduced dead legs
US10943769B2 (en) * 2018-07-19 2021-03-09 Lam Research Corporation Gas distributor and flow verifier
US11834743B2 (en) 2018-09-14 2023-12-05 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
US11598004B2 (en) 2019-03-11 2023-03-07 Applied Materials, Inc. Lid assembly apparatus and methods for substrate processing chambers
US11549183B2 (en) * 2019-05-24 2023-01-10 Applied Materials, Inc. Showerhead with inlet mixer
KR20210070898A (ko) * 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
DE102020107518A1 (de) * 2020-03-18 2021-09-23 Aixtron Se Verfahren zum Ermitteln des Endes eines Reinigungsprozesses der Prozesskammer eines MOCVD-Reaktors
CN112090602B (zh) * 2020-09-24 2021-11-16 北京北方华创微电子装备有限公司 半导体工艺设备及其进气结构
CN114420604A (zh) * 2022-01-17 2022-04-29 北京北方华创微电子装备有限公司 工艺腔室组件、半导体工艺设备及其方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003124125A (ja) * 2001-10-12 2003-04-25 Applied Materials Inc 半導体製造装置
CN101413112A (zh) * 2007-10-16 2009-04-22 应用材料股份有限公司 多种气体直通道喷头
US20090211707A1 (en) * 2008-02-22 2009-08-27 Hermes Systems Inc. Apparatus for gas distribution and its applications

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
JPH11297681A (ja) * 1998-04-07 1999-10-29 Mitsubishi Electric Corp 高誘電率薄膜形成用cvd装置および高誘電率薄膜の形成方法
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
WO2002008487A1 (en) * 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
CN1302152C (zh) * 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
WO2003003414A2 (en) * 2001-06-29 2003-01-09 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
JP3982402B2 (ja) * 2002-02-28 2007-09-26 東京エレクトロン株式会社 処理装置及び処理方法
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US20040157430A1 (en) * 2003-02-07 2004-08-12 Asml Netherlands B.V. Methods and apparatus for processing semiconductor wafers with plasma processing chambers in a wafer track environment
JP4026529B2 (ja) * 2003-04-10 2007-12-26 東京エレクトロン株式会社 シャワーヘッド構造及び処理装置
JP2004339566A (ja) * 2003-05-15 2004-12-02 Hitachi Kokusai Electric Inc 基板処理装置
JP4911984B2 (ja) * 2006-02-08 2012-04-04 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法及びシャワーヘッド
US8235001B2 (en) 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
KR101019953B1 (ko) * 2008-05-22 2011-03-09 주식회사 테스 가스 공급 장치
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
KR100997104B1 (ko) * 2008-07-04 2010-11-29 주식회사 테스 반도체 제조용 샤워헤드 및 이 샤워헤드를 구비한 반도체제조장치
JP2010059520A (ja) * 2008-09-05 2010-03-18 Sharp Corp 気相成長装置及び気相成長方法
CN101560650B (zh) * 2009-05-15 2011-01-05 江苏大学 一种多喷淋头的化学气相沉积反应室结构

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003124125A (ja) * 2001-10-12 2003-04-25 Applied Materials Inc 半導体製造装置
CN101413112A (zh) * 2007-10-16 2009-04-22 应用材料股份有限公司 多种气体直通道喷头
US20090211707A1 (en) * 2008-02-22 2009-08-27 Hermes Systems Inc. Apparatus for gas distribution and its applications

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104498904A (zh) * 2014-12-29 2015-04-08 华中科技大学 一种用于mocvd设备的喷淋头
CN107403717A (zh) * 2016-04-28 2017-11-28 应用材料公司 一种用于处理腔室的改进侧注入喷嘴设计
CN107403717B (zh) * 2016-04-28 2023-07-18 应用材料公司 一种用于处理腔室的改进侧注入喷嘴设计
CN107546152A (zh) * 2016-06-24 2018-01-05 东京毅力科创株式会社 气体处理装置、气体处理方法和存储介质
CN107546152B (zh) * 2016-06-24 2020-07-24 东京毅力科创株式会社 气体处理装置、气体处理方法和存储介质
CN108998776A (zh) * 2017-06-06 2018-12-14 应用材料公司 通过独立控制teos流量的沉积径向和边缘轮廓可维持性
CN108998776B (zh) * 2017-06-06 2022-01-28 应用材料公司 通过独立控制teos流量的沉积径向和边缘轮廓可维持性
CN112343131A (zh) * 2019-08-09 2021-02-09 德尔塔阀门公司 喷淋头系统及用于喷淋头系统的歧管
CN112343131B (zh) * 2019-08-09 2022-05-27 德尔塔阀门公司 喷淋头系统及用于喷淋头系统的歧管
CN113122823A (zh) * 2019-12-31 2021-07-16 中微半导体设备(上海)股份有限公司 金属有机物化学气相沉积反应器
CN113122823B (zh) * 2019-12-31 2023-03-07 中微半导体设备(上海)股份有限公司 金属有机物化学气相沉积反应器
CN115516132A (zh) * 2020-05-06 2022-12-23 应用材料公司 气体分配组件
WO2021223267A1 (zh) * 2020-05-08 2021-11-11 Tcl华星光电技术有限公司 气相沉积装置
CN115516131A (zh) * 2020-07-08 2022-12-23 应用材料公司 多通道喷头设计及其制造方法
TWI834054B (zh) * 2020-09-09 2024-03-01 大陸商中微半導體設備(上海)股份有限公司 進氣組件及其電漿處理裝置
CN112626496A (zh) * 2020-11-24 2021-04-09 鑫天虹(厦门)科技有限公司 喷头组件与原子层沉积设备

Also Published As

Publication number Publication date
WO2011159690A2 (en) 2011-12-22
CN103098175B (zh) 2016-03-23
WO2012024033A3 (en) 2012-04-12
WO2011159690A3 (en) 2012-04-05
US20120064698A1 (en) 2012-03-15
JP2013541182A (ja) 2013-11-07
CN103168343A (zh) 2013-06-19
WO2012036856A3 (en) 2012-08-16
KR20130136981A (ko) 2013-12-13
WO2012024033A2 (en) 2012-02-23
WO2012036856A2 (en) 2012-03-22
TW201217062A (en) 2012-05-01
KR101906355B1 (ko) 2018-10-10
JP5859004B2 (ja) 2016-02-10

Similar Documents

Publication Publication Date Title
CN103098175B (zh) 具有气体注射分配装置的喷头组件
US10130958B2 (en) Showerhead assembly with gas injection distribution devices
JP6360849B2 (ja) 多レベルシャワーヘッド設計
US9644267B2 (en) Multi-gas straight channel showerhead
US20130269612A1 (en) Gas Treatment Apparatus with Surrounding Spray Curtains
CN102424956B (zh) 用于金属有机化合物化学气相沉积设备的喷淋装置
US20120225564A1 (en) Vapor deposition device, vapor deposition method, and semiconductor element manufacturing method
US20120167824A1 (en) Cvd apparatus
CN106367805A (zh) 衬底处理设备
US9328419B2 (en) Gas treatment apparatus with surrounding spray curtains
CN102031498A (zh) 用于iii-v族薄膜生长反应室的基片支撑座、其反应室及工艺处理方法
US20150000596A1 (en) Mocvd gas diffusion system with gas inlet baffles
CN202090055U (zh) 气体输送装置及使用该气体输送装置的反应器
CN105624648A (zh) 薄膜生长腔室和薄膜生长装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant