KR20130136981A - 가스 주입 분배 장치들을 갖는 샤워헤드 조립체 - Google Patents

가스 주입 분배 장치들을 갖는 샤워헤드 조립체 Download PDF

Info

Publication number
KR20130136981A
KR20130136981A KR1020137006718A KR20137006718A KR20130136981A KR 20130136981 A KR20130136981 A KR 20130136981A KR 1020137006718 A KR1020137006718 A KR 1020137006718A KR 20137006718 A KR20137006718 A KR 20137006718A KR 20130136981 A KR20130136981 A KR 20130136981A
Authority
KR
South Korea
Prior art keywords
gas
manifold
showerhead
processing
gas manifold
Prior art date
Application number
KR1020137006718A
Other languages
English (en)
Other versions
KR101906355B1 (ko
Inventor
알렉산더 탐
안종 창
슈메드흐 아차리아
도날드 제이.케이. 올가도
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/856,747 external-priority patent/US10130958B2/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20130136981A publication Critical patent/KR20130136981A/ko
Application granted granted Critical
Publication of KR101906355B1 publication Critical patent/KR101906355B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45576Coaxial inlets for each gas

Abstract

화학 기상 증착 및/또는 수소화물 증기 기상 에피택셜(HVPE) 증착을 위해 사용될 수 있는 방법 및 기구가 제공된다. 상기 기구는 프로세싱 체적에 들어가기 전에 가스들을 혼합하지 않고 챔버의 프로세싱 체적 내로 분리된 프로세싱 가스들을 전달하기 위해 분리된 입구들 및 매니폴드들을 갖는 샤워헤드 조립체를 포함한다. 샤워헤드는 프로세싱 가스들 중 하나를 챔버의 프로세싱 체적 내로 주입하고 또한 균일한 전달을 위해 매니폴드를 가로질러 이것을 분배하기 위해 복수의 가스 입구들 내에 배치된 복수의 가스 분배 장치들을 포함한다. 각각의 가스 분배 장치들은 매니폴드 내의 프로세싱 가스의 재순환을 최소화하면서, 그것을 통해 흐르는 프로세싱 가스를 균등하게 분배하도록 구성된 노즐을 갖는 것이 바람직하다. 그 결과, 프로세싱 챔버의 프로세싱 체적에 위치된 복수의 기판들상에 개선된 증착 균일도가 달성된다.

Description

가스 주입 분배 장치들을 갖는 샤워헤드 조립체{SHOWERHEAD ASSEMBLY WITH GAS INJECTION DISTRIBUTION DEVICES}
본 발명의 실시예들은 일반적으로 기판상의 화학 기상 증착(CVD)을 위한 방법들 및 기구(apparatus)들에 관한 것으로서, 또한 특히 금속 유기(organic) 화학 기상 증착(MOCVD) 및/또는 수소화물 증기 기상 에피택시(HVPE)에 사용하기 위한 샤워헤드 디자인에 관한 것이다.
단파장 발광 다이오드들(LED), 레이저 다이오드들(LD), 및 고출력, 고주파, 고온 트랜지스터들 및 집적회로들을 포함하는 전자 장치(device)들과 같은 다양한 반도체 장치들의 발전 및 제조에 있어서 Ⅲ-Ⅴ족 박막들은 더욱 중요한 것을 발견하고 있다. 예를 들어, 단파장(예를 들어, 자외선에 대한 청색/녹색) LED들은 Ⅲ족-질화물 반도체 물질 갈륨 질화물(GaN)을 사용하여 제조된다. GaN 을 사용하여 제조된 단파장 LED들은, Ⅱ-Ⅵ족 물질들과 같은 비 질화물 반도체 물질들을 사용하여 제조된 단파장 LED들 보다 상당히 바람직한 효율들 및 긴 작동 수명들을 제공할 수 있는 것으로 관찰되고 있다.
GaN 과 같은 Ⅲ족-질화물들을 증착하기 위해 사용되어 왔던 하나의 방법은 금속 유기 화학 기상 증착(MOCVD)이다. 이 화학 기상 증착 방법은, 일반적으로 갈륨(Ga)과 같은 Ⅲ족으로부터의 적어도 하나의 요소를 함유하는 제1전구체 가스의 안정성을 보장하기 위해 온도 제어된 환경을 갖는 반응기에서 수행된다. 암모니아(NH3)와 같은 제2전구체 가스는, Ⅲ족-질화물을 형성하는데 필요한 질소를 제공한다. 2개의 전구체 가스들은, 가스들이 혼합되고 또한 프로세싱 지역의 가열된 기판을 향해 이동하는 반응기 내의 프로세싱 지역 내로 주입된다. 기판을 향한 전구체 가스들의 이송을 돕기 위해, 캐리어 가스가 사용될 수 있다. 전구체들은, 기판 표면상에 GaN 과 같은 Ⅲ족-질화물층을 형성하기 위해 가열된 기판의 표면에서 반응한다. 박막의 품질은 기판을 가로질러 전구체들의 균일한 혼합에 다시 의존하는 증착 균일도에 부분적으로 의존한다.
다수의 기판들이 기판 캐리어상에 배치될 수 있으며 또한 각각의 기판은 50 mm 내지 100 mm 또는 이 이상의 범위의 직경을 가질 수 있다. 수율(yield) 및 처리율(throughput)을 증가시키기 위해, 큰 기판들 및/또는 더 많은 기판들 및 큰 증착 영역들에 대해 전구체들의 균일한 혼합이 바람직하다. 이들 인자(factor)들은 전자 장치를 생산하는 비용과 또한 그에 따라 시장에서의 장치 제조자의 경쟁력에 직접적으로 영향을 끼치기 때문에 중요하다.
LED 또는 LD 형성 반응기의 프로세싱 지역에서 자주 발견되는 뜨거운 하드웨어 부품들과 전구체 가스들의 상호작용은, 일반적으로 전구체가 파손되고 또한 이들 뜨거운 표면들상에 증착되는 것을 유발시킨다. 전형적으로, 뜨거운 반응기 표면들은 기판들을 가열하는데 사용된 열 소스(source)들로부터의 복사선(radiation)에 의해 형성된다. 뜨거운 표면들상의 전구체 물질들의 증착은, 샤워헤드와 같은 전구체 분배 부품들 내에서 또는 부품들 상에서 증착이 발생할 때 특히 문제가 될 수 있다. 전구체 분배 부품들상의 증착은 시간이 지남에 따라 흐름 분배 균일도에 영향을 끼친다. 따라서, 전구체들의 파손을 유발시키고 또한 가스 분배 장치의 성능에 영향을 끼치는 온도로 MOCVD 전구체들 또는 HVPE 전구체들이 가열될 가능성을 방지 또는 감소시키는 가스 분배 기구가 요망되고 있다.
또한, LED들, LD들, 트랜지스터들, 및 집적회로들에 대한 요구가 증가함에 따라, 고품질 Ⅲ족 질화물 박막들을 증착시키는 효율이 더욱 중요하게 되었다. 따라서, 큰 기판들 및 큰 증착 영역에 대해 일관된 박막 품질을 제공할 수 있는 개선된 증착 기구 및 프로세스가 요망되고 있다. 그 결과, 큰 기판들 및 큰 증착 영역들에 대해 후속으로 증착되는 박막의 개선된 균일도를 제공하기 위해, 가스 분배 장치를 통한 가스 분배의 개선된 균일도가 요망되고 있다.
본 발명은 일반적으로 MOCVD 및/또는 HVPE 프로세스들을 사용하여 Ⅲ족-질화물 박막들을 증착하기 위한 개선된 방법들 및 기구들을 제공한다.
일 실시예는 샤워헤드에 형성된 제1가스 매니폴드를 갖는 샤워헤드, 상기 샤워헤드를 통해 연장하며 또한 샤워헤드의 출구 표면에 제1가스 매니폴드를 유체 연결하는 복수의 제1가스 도관들, 및 상기 제1가스 매니폴드와 유체 연통하며 또한 가스 소스에 연결되도록 구성된 그 내부에 형성된 환형 오리피스를 각각 갖는 복수의 가스 분배 장치들을 포함하는 샤워헤드 조립체를 제공한다.
다른 실시예는 챔버 본체, 기판 지지체, 및 샤워헤드 조립체를 포함하는 기판 프로세싱 기구를 제공하며, 프로세싱 체적은 챔버 본체, 기판 지지체, 및 샤워헤드 조립체에 의해 한정(define)된다. 샤워헤드 조립체는 샤워헤드에 형성된 제1가스 매니폴드를 갖는 샤워헤드, 상기 샤워헤드를 통해 연장하며 또한 프로세싱 체적에 제1가스 매니폴드를 유체 연결하는 복수의 제1가스 도관들, 및 상기 제1가스 매니폴드와 유체 연통하는 환형 오리피스를 각각 갖는 복수의 가스 분배 장치들을 포함하며, 상기 복수의 가스 분배 장치들은 단일의 가스 소스에 연결되도록 구성된다.
또한, 다른 실시예는 샤워헤드 조립체의 제1가스 매니폴드에 연결된 하나 또는 둘 이상의 제1가스 입구들에 배치되는 하나 또는 둘 이상의 가스 분배 장치들을 통해 프로세싱 챔버의 프로세싱 체적 내로 제1가스를 도입하는 단계를 포함하는 기판을 프로세싱하는 방법을 제공하며, 상기 각각의 가스 분배 장치는 그 내부에 배치되며 또한 제1가스 매니폴드와 유체 연결되는 환형 오리피스를 갖는다. 상기 방법은 샤워헤드 조립체의 제2가스 매니폴드에 연결되는 제2가스 입구를 통해 프로세싱 챔버의 프로세싱 체적 내로 제2가스를 도입하는 단계를 추가로 포함하며, 상기 제1가스 매니폴드는 제2가스 매니폴드로부터 격리되며, 상기 제1가스는 복수의 제1가스 도관들을 통해 프로세싱 체적 내로 전달되고, 제2가스는 복수의 제2가스 도관들을 통해 프로세싱 체적 내로 전달된다. 또한, 상기 방법은 샤워헤드 조립체에 배치된 온도 제어 매니폴드를 통해 열교환 유체를 흘림으로써 샤워헤드 조립체를 냉각시키는 단계를 포함하며, 상기 복수의 제1 및 제2가스 도관들은 온도 제어 매니폴드를 통해 배치된다.
본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식과 위에 간단히 요약된 본 발명의 더욱 특정한 서술은 실시예를 참고하여 이루어질 수 있으며, 그 일부가 첨부된 도면들에 도시되어 있다. 그러나, 첨부된 도면은 본 발명의 전형적인 실시예들만을 도시하고 있으며, 따라서 본 발명이 다른 등가의 유효한 실시예들을 허용할 수 있기 때문에 그 범위를 제한하는 것으로 간주되어서는 안됨을 인식해야 한다.
도1은 여기에 서술된 실시예들에 따른 질화 화합물 반도체 장치들을 제조하기 위한 프로세싱 시스템의 일 실시예를 도시한 개략적인 평면도이다.
도2는 본 발명의 일 실시예에 따른 질화 화합물 반도체를 제조하기 위한 금속-유기 화학 기상 증착(MOCVD) 챔버의 개략적인 횡단면도이다.
도3은 도2에 도시된 A 를 상세히 도시한 확대도이다.
도4는 일 실시예에 따라 도2 및 3에 도시된 가스 분배 장치의 평면도이다.
도5는 일 실시예에 따라 도2에 도시된 샤워헤드 조립체의 개략적인 평면도이다.
도6은 일 실시예에 따른 샤워헤드의 개략적인 횡단면도이다.
도7은 일 실시예에 따른 샤워헤드 조립체의 개략적인 평면도이다.
이해를 촉진시키기 위하여, 도면들에서 공통인 동일한 요소들을 식별하기 위해, 가능한 경우 동일한 도면부호들이 사용되었다. 일 실시예의 요소들 및 특징들은 추가적인 언급없이 다른 실시예들에 유익하게 사용될 수 있음이 예상된다.
본 발명의 실시예들은 일반적으로 MOCVD 및/또는 HVPE 하드웨어를 사용하여 Ⅲ족-질화물 박막들의 증착을 위해 사용될 수 있는 방법 및 기구를 제공한다. 일반적으로, 상기 기구는 프로세싱 체적에 들어가기 전에 가스들을 혼합하지 않고, 챔버의 프로세싱 체적 내로 분리된 프로세싱 가스들을 전달하기 위해 분리된 입구들 및 통로들을 갖는 샤워헤드를 포함하는 프로세싱 챔버이다. 샤워헤드는 프로세싱 가스들 중 하나를 챔버의 프로세싱 체적 내로 주입하고 또한 균일한 전달을 위해 매니폴드를 가로질러 이것을 분배하기 위해 복수의 가스 입구들 내에 배치된 복수의 가스 분배 장치들을 포함한다. 각각의 가스 분배 장치들은 매니폴드 내의 프로세싱 가스의 재순환을 최소화하면서, 그것을 통해 흐르는 프로세싱 가스를 균등하게 분배하도록 구성된 노즐을 갖는 것이 바람직하다.
도1은 여기에 서술된 실시예들에 따라 질화 화합물 반도체 장치들을 제조하기 위해 하나 또는 둘 이상의 MOCVD 챔버들(102)을 포함하는 프로세싱 시스템(100)의 일 실시예를 도시한 개략적인 평면도이다. 일 실시예에 있어서, 프로세싱 시스템(100)은 대기압으로 밀폐된다. 프로세싱 시스템(100)은 전송 챔버(106), 상기 전송 챔버에 연결된 MOCVD 챔버(102), 상기 전송 챔버에 연결된 로드록(loadlock) 챔버(108), 기판들을 저장하기 위해 전송 챔버(106)와 연결된 배치(batch) 로드록 챔버(109), 및 기판을 로딩하기 위해 로드록 챔버(108)에 연결된 로드(load) 스테이션(110)을 포함한다. 전송 챔버(106)는 로드록 챔버(108), 배치 로드록 챔버(109), 및 MOCVD 챔버(102) 사이에서 기판들을 픽업(pick up) 및 전송하도록 작동 가능한 로봇 조립체(도시되지 않음)를 포함한다. 단일의 MOCVD 챔버(102)가 도시되었지만, 하나 이상의 MOCVD 챔버(102) 또는 추가적으로 하나 또는 둘 이상의 수소화물 증기 기상 에피택셜(HVPE) 챔버들과 하나 또는 둘 이상의 MOCVD 챔버들의 조합들이 전송 챔버(106)와 연결될 수 있음을 인식해야 한다. 또한, 클러스터(cluster) 툴이 도시되었지만, 여기에 서술된 실시예들은 선형(linear) 트랙 시스템들을 사용하여 수행될 수 있음을 인식해야 한다.
일 실시예에 있어서, 전송 챔버(106)는 기판들이 노출되는 산소(O2) 또는 물(H2O)과 같은 오염물의 양을 제어하기 위해 기판 전송 프로세스들 중 진공하에 존재한다. 전송 챔버 진공 레벨은 MOCVD 챔버(102)의 진공 레벨에 필적하도록 조정될 수 있다. 예를 들어, 전송 챔버(106)로부터 MOCVD 챔버(102) 내로(또는 이와는 반대로) 기판들을 전송할 때, 전송 챔버(106) 및 MOCVD 챔버(102)는 동일한 진공 레벨로 유지될 수 있다. 그 후, 전송 챔버(106)로부터 로드록 챔버(108)로(또는 이와는 반대로) 또는 배치 로드록 챔버(109)로(또는 이와는 반대로) 기판들을 전송할 때, 로드록 챔버(108) 또는 배치 로드록 챔버(109) 및 MOCVD 챔버(102)의 진공 레벨이 상이할 수 있더라도, 로드록 챔버(108) 또는 배치 로드록 챔버(109)의 진공 레벨에 필적하도록 전송 챔버 진공 레벨이 조정될 수 있다. 따라서, 전송 챔버(106)의 진공 레벨이 조정 가능하다. 어떤 실시예들에 있어서, 기판들은 고순도 N2 환경과 같은 고순도 불활성 가스 환경에서 전송된다. 일 실시예에 있어서, 기판들은 90% N2 이상을 갖는 환경에서 전송된다. 어떤 실시예들에 있어서, 기판들은 고순도 NH3 환경에서 전송된다. 일 실시예에 있어서, 기판들은 90% NH3 이상을 갖는 환경에서 전송된다. 어떤 실시예들에 있어서, 기판들은 고순도 H2 환경에서 전송된다. 일 실시예에 있어서, 기판들은 90% H2 이상을 갖는 환경에서 전송된다.
프로세싱 시스템(100)에 있어서, 로봇 조립체(도시되지 않음)는 증착을 진행하기 위해 기판들로 로딩된 기판 캐리어 판(112)을 단일의 MOCVD 챔버(102) 내로 전송한다. 일 예로서, 기판 캐리어 판(112)은 약 200 mm 내지 약 750 mm 범위의 직경을 가질 수 있다. 기판 캐리어 판(112)은 SiC 또는 SiC-코팅된 그라파이트를 포함하는 다양한 물질들로부터 형성될 수 있다. 기판 캐리어 판(112)은 약 1,000 ㎠ 또는 그 이상, 바람직하기로는 2,000 ㎠ 또는 그 이상, 및 더욱 바람직하기로는 4,000 ㎠ 또는 그 이상의 표면적을 가질 수 있다. 일부 또는 모든 증착 단계들이 완료된 후, 기판 캐리어 판(112)은 전송 로봇을 경유하여 MOCVD 챔버(102)로부터 로드록 챔버(108)로 다시 전송된다. 그 후, 기판 캐리어 판(112)은 로드 스테이션(110)으로 전송될 수 있다. 기판 캐리어 판(112)은, MOCVD 챔버(102)에서의 추가적인 프로세싱 이전에, 로드록 챔버(108) 또는 배치 로드록 챔버(109)에 저장될 수 있다.
시스템 제어기(160)는 프로세싱 시스템(100)의 동작들 및 작동 매개변수들을 제어한다. 시스템 제어기(160)는 컴퓨터 프로세서 및 상기 프로세서에 연결된 컴퓨터 판독 가능한 메모리를 포함한다. 프로세서는 메모리에 저장된 컴퓨터 프로그램과 같은 시스템 제어 소프트웨어를 실행한다.
도2는 본 발명의 일 실시예에 따른 MOCVD 챔버(102)의 개략적인 횡단면도이다. MOCVD 챔버(102)는 챔버 본체(202), 전구체 가스들, 캐리어 가스들, 세척 가스들, 및/또는 퍼지(purge) 가스들을 전달하기 위한 화학물(chemical) 전달 모듈(203), 플라즈마 소스를 갖는 원격 플라즈마 시스템(226), 감수체(susceptor) 또는 기판 지지체(214), 및 진공 시스템(212)을 포함한다. 챔버 본체(202)는 프로세싱 체적(208)을 둘러싼다. 샤워헤드 조립체(201)는 프로세싱 체적(208)의 한쪽 단부에 배치되며, 기판 캐리어 판(112)은 프로세싱 체적(208)의 다른 쪽 단부에 배치된다. 기판 캐리어 판(112)은 기판 지지체(214)상에 배치될 수 있다. 작동기 조립체는 화살표(215)로 도시된 바와 같이 샤워헤드 조립체(201)를 향해 또는 이로부터 멀어지게 기판 지지체(214)를 수직 방향으로 움직일 수 있다. 작동기 조립체는 기판 지지체(214)를 회전시킬 수 있다. 프로세싱 중 프로세싱 체적(208)의 근처에 있는 샤워헤드 조립체(201)의 표면으로부터 기판 캐리어 판(112) 까지의 거리는, 약 4 mm 내지 약 41 mm 의 범위에 있는 것이 바람직하다. 어떤 실시예들에 있어서, 기판 지지체(214)는 기판 지지체(214)의 온도를 제어하기 위해 또는 그에 따라 기판 캐리어 판(112)과 상기 기판 캐리어 판(112) 및 기판 지지체(214)상에 위치된 기판들(240)의 온도를 제어하기 위해 가열 요소[예를 들어, 저항성 가열 요소(도시되지 않음)]를 포함한다.
샤워헤드 조립체(201)는 샤워헤드(204)를 포함한다. 일 실시예에 있어서, 샤워헤드(204)는 납땜 또는 용접에 의해 복수의 매니폴드들 및 개구들이 그 내부에 형성되도록 가공 및 부착된 복수의 판들을 포함한다. 샤워헤드(204)는, 제1전구체 또는 제1프로세스 가스 혼합물을 프로세싱 체적(208)으로 전달하기 위해 복수의 제1프로세싱 가스 입구들(259)을 경유하여 화학물 전달 모듈(203)과 연결되는 제1프로세싱 가스 매니폴드(204A)를 갖는다. 제1프로세싱 가스 매니폴드(204A)는, 그 내부에 웰(well)을 형성하기 위해 상부벽(275)의 표면으로부터 물질의 체적을 가공함으로써 또한 납땜 또는 용접에 의해 제1수평벽(276)에 상부벽(275)을 부착함으로써 형성될 수 있다. 일 실시예에 있어서, 화학물 전달 모듈(203)은 금속 유기 전구체를 제1프로세싱 가스 매니폴드(204A)에 전달하도록 구성된다. 일 예에 있어서, 금속 유기 전구체는 적절한 갈륨(Ga) 전구체[예를 들어, 트리메틸 갈륨("TMG"), 트리에틸 갈륨(TEG)], 적절한 알루미늄 전구체[예를 들어, 트리메틸 알루미늄("TMA")], 또는 적절한 인듐 전구체[예를 들어, 트리메틸인듐("TMI")]를 포함한다.
일 실시예에 있어서, 가스 분배 장치(255)는 각각의 제1프로세싱 가스 입구들(259) 내에 배치된다. 가스 분배 장치(255)는 화학물 전달 모듈(203)에 연결되며 또한 화학물 전달 모듈(203)로부터 제1프로세싱 가스 매니폴드(204A)로 수용된 가스를 균등하게 분배하도록 구성된다. 가스 분배 장치(255)는 그 출구 오리피스 형상의 구성에 의해 또한 가스 흐름 및 압력을 제어함으로써 제1프로세싱 가스 매니폴드(204A) 내에서 가스의 재순환을 방지할 동안, 일반적으로 그것을 통해 균일한 가스 분배를 제공하도록 구성된다. 일 실시예에 있어서, 복수의 제1프로세싱 가스 입구들(259) 및 대응하는 가스 분배 장치들(255)은 중심 도관(204D)에 대해 동심적으로 위치된다. 다른 실시예들에 있어서, 복수의 제1프로세싱 가스 입구들 및 대응하는 가스 분배 장치들(255)은 제1프로세싱 가스 매니폴드(204A)에 대해 균일한 가스 분배를 최대화하는 다른 구성들로 위치된다. 샤워헤드 조립체(201)는 약 4개 내지 약 12개의 가스 분배 장치들(255)을 포함할 수 있다. 일 실시예에 있어서, 샤워헤드 조립체(201)는 약 6개 내지 약 8개의 가스 분배 장치들(255)을 포함한다.
샤워헤드(204)는 제2프로세싱 가스 입구(258)를 경유하여 제2전구체 또는 제2프로세스 가스 혼합물을 프로세싱 체적(208)으로 전달하기 위해 화학물 전달 모듈(203)과 연결되는 제2프로세싱 가스 매니폴드(204B)를 가질 수 있다. 일 실시예에 있어서, 화학물 전달 모듈(203)은 암모니나(NH3) 또는 다른 MOCVD 또는 HVPE 프로세싱 가스와 같은 적절한 질소 함유 프로세싱 가스를 제2프로세싱 가스 매니폴드(204B)로 전달하도록 구성된다. 제2프로세싱 가스 매니폴드(204B)는 샤워헤드(204)의 제1수평벽(276)에 의해 제1프로세싱 가스 매니폴드(204A)로부터 분리된다. 제2프로세싱 가스 매니폴드(204B)는, 그 내부에 웰을 형성하기 위해 제1수평벽(276)의 표면으로부터 물질의 체적을 가공함으로써 또한 납땜 또는 용접에 의해 제2수평벽(277)에 제1수평벽(276)을 부착함으로써 형성될 수 있다.
샤워헤드(204)를 통해 열교환 유체를 흘려 샤워헤드(204)의 온도를 조절하는 것을 돕기 위해, 샤워헤드(204)는 열교환 시스템(270)과 연결되는 온도 제어 매니폴드(204C)를 추가로 포함할 수 있다. 적절한 열교환 유체들은 물, 물 기반 에틸렌 글리콜 혼합물들, 퍼플루오로폴리에테르(예를 들어, Galden® 유체), 오일 기반 열전달 유체들, 또는 유사한 유체들을 포함하지만, 이에 제한되지 않는다. 제2프로세싱 가스 매니폴드(204B)는 샤워헤드(204)의 제2수평벽(277)에 의해 온도 제어 매니폴드(204C)로부터 분리된다. 온도 제어 매니폴드(204C)는 샤워헤드(204)의 제3수평벽(278)에 의해 프로세싱 체적(208)으로부터 분리될 수 있다. 온도 제어 매니폴드(204C)는 그 내부에 웰을 형성하기 위해 제2수평벽(277)의 표면으로부터 물질의 체적을 가공함으로써 또한 납땜 또는 용접에 의해 제3수평벽(278)에 제2수평벽(277)을 부착함으로써 형성될 수 있다.
도3은 도2에 도시된 A 를 상세히 도시한 확대도이며, 도4는 도3에 도시된 가스 분배 장치(255)의 평면도이다. 도2, 3, 4에 있어서, 일 실시예에 있어서, 금속 유기 전구체와 같은 제1전구체 또는 제1프로세싱 가스 혼합물은, 복수의 가스 분배 장치들(255)을 경유하여 샤워헤드(204)의 상부벽(275)을 통해 배치된 복수의 제1프로세싱 가스 입구들(259)을 통해 화학물 전달 모듈(203)로부터 제1프로세싱 가스 매니폴드(204A) 내로 전달된다.
각각의 가스 분배 장치들(255)은 일반적으로 원통형 본체 부분(302)과 또한 상기 원통형 본체 부분(302)에 부착된 중심 부분(304)을 포함한다. 중심 부분(304)은 가스 수용 부분(306)과 또한 그 사이로 연장하는 절두원추형(frustoconical) 부분(310)을 갖는 가스 부분 배분(316)을 포함할 수 있다. 가스 수용 부분(306)은 일반적으로 제1세트의 반대측들(307)(도4)상의 원통형 본체 부분(302)에 부착되는 그 주변과 또한 반대측들(308)상에 절단된 그 주변을 갖는 역전된 절두원추형 형상을 가지므로, 상부 가스 통로들(309)이 가스 수용 부분(306)과 원통형 본체 부분(302) 사이에 형성된다.
절두원추형 부분(310)은 가스 수용 부분(306)으로부터 또한 제1프로세싱 가스 매니폴드(204A) 내로 하향하여 연장한다. 원통형 본체 부분(302)은 절두원추형 부분(310)의 표면(311)의 형상과 거의 유사한 내측 표면(303)을 가지므로, 환형 가스 통로(312)가 원통형 본체 부분(302)과 절두원추형 부분(310) 사이에 형성된다. 가스 분배 부분(316)은 디스크의 일반적인 형상이며 또한 제1프로세싱 가스 매니폴드(204A) 내에서 절두원추형 부분(310)의 하단부에 위치되므로, 환형 오리피스(318)가 가스 분배 부분(316)의 상부 표면(317)과 원통형 본체 부분(302)의 하부 표면(301) 사이에 형성된다.
절두원추형 부분(310) 및 가스 분배 부분(316)은, 절두원추형 부분(310)의 표면(311)과 가스 분배 부분(316)의 상부 표면(317) 사이에 각도(B)가 형성되도록 서로에 대해 위치된다. 각도(B)는 약 45도 내지 약 75도 사이일 수 있다. 일 예에 있어서, 각도(B)는 약 60도이다.
작동 시, 제1전구체 또는 제1프로세싱 가스 혼합물은 화학물 전달 모듈(203)로부터 가스 분배 장치(255)로 전달된다. 가스는, 가스 수용 부분(306)을 가로질러 또한 상부 가스 통로들(309)을 통해 절두원추형 부분(310)과 본체 부분(302) 사이의 환형 가스 통로(312) 내로 흐른다. 그 후, 가스는 환형 가스 통로(312)를 통해, 가스 분배 부분(316)과 본체 부분(302) 사이의 환형 오리피스(318)를 통해, 또한 제1프로세싱 가스 매니폴드(204A) 내로 흐른다. 가스 분배 장치(255)의 부분들의 각각의 형상들 및 위치들 때문에, 프로세싱 가스는 제1프로세싱 가스 매니폴드(204A) 내의 주입 지점들에서 가스의 재순환 없이 가스 분배 장치(255)를 통해 또한 제1프로세싱 가스 매니폴드(204A) 내로 균일하게 전달된다. 또한, 이전에 서술한 바와 같이, 복수의 가스 분배 장치들(255)의 개수 및 위치는 화학물 전달 모듈(203)로부터 제1프로세싱 가스 매니폴드(204A) 내로 균일한 가스 분배를 제공한다.
금속 유기 전구체와 같은 제1전구체 또는 제1프로세싱 가스 혼합물은, 복수의 내측 가스 도관들(246)을 경유하여 제1프로세싱 가스 매니폴드(204A)로부터 제2프로세싱 가스 매니폴드(204B) 및 온도 제어 매니폴드(204C)를 통해 프로세싱 체적(208) 내로 전달될 수 있다. 내측 가스 도관들(246)은 샤워헤드(204)의 제1수평벽(276), 제2수평벽(277), 및 제3수평벽(278)을 통해 배치된 정렬된 구멍들 내에 위치된 원통형 튜브들일 수 있다. 일 실시예에 있어서, 내측 가스 도관들(246)은 납땜과 같은 적절한 수단에 의해 샤워헤드(204)의 제1수평벽(276)에 각각 부착된다.
프로세싱 중, 금속 유기 전구체와 같은 제1전구체 가스는 가스 분배 장치들(255)의 어레이의 사용에 의해 제1프로세싱 가스 매니폴드(204A) 내로 제1전구체 가스를 균등하게 분배함으로써 프로세싱 체적(208) 내로 균일하게 전달될 수 있다. 일 예에 있어서, 도5에 도시된 바와 같이, 가스 분배 장치들(255)의 어레이는 샤워헤드 조립체(201)의 중심에 대해 동심적으로 위치된 방사방향으로 위치되는 6개의 가스 분배 장치들(255)을 포함한다. 각각의 가스 분배 장치들(255)은 복수의 가스 도관들(256)에 의해 서로 유체 연결되며, 이 모두는 화학물 전달 모듈(203)에 연결된다. 도5는 일 실시예에 따라 도2에 도시된 샤워헤드 조립체(201)의 평면도이다. 화학물 전달 모듈(203) 내의 전구체 가스 흐름 제어 장치(도시되지 않음)는, 각각의 가스 분배 장치들(255)에 전구체 가스의 균등하게 분배된 흐름을 제공하도록 구성된다. 흐름 제어 장치는 각각의 가스 분배 장치들(255)에 전달된 전구체 가스의 흐름 및/또는 압력을 각각 조정할 수 있는 분리된 흐름 제어기들을 포함할 수 있다. 일 구성에 있어서, 전구체 가스 흐름 제어 장치는 하나 또는 둘 이상의 질량 흐름 제어기들(도시되지 않음)을 포함한다.
일 예에 있어서, 프로세싱 중, 내측 가스 도관들(246)을 경유하여 샤워헤드 조립체(201)를 통해 약 100 Torr 내지 약 500 Torr 의 압력으로 유지된 프로세싱 체적(208) 내로 TMG 전구체의 약 5 sccm/l 내지 약 15 sccm/l 의 흐름이 전달된다. 샤워헤드 조립체(201)는 약 4700 내지 약 6700 내측 가스 도관들(246)을 포함할 수 있으며, 각각은 약 0.4 mm 내지 약 0.8 mm 의 직경을 갖는다. 이 예에 있어서, 내측 가스 도관들(246)로의 TMG 전구체의 균일하게 분배된 흐름은, 각각의 가스 분배 장치들(255)(도5)에 TMG 전구체의 흐름을 전달함으로써 달성되며, 이것은 제1프로세싱 가스 매니폴드(204A) 및 내측 가스 도관들(246) 내로 TMG 전구체를 균일하게 전달한다. 가스 분배 장치들(255)에 형성된 통로들의 크기 및 형상으로 인해, 전구체 가스의 흐름이 균일하게 전달 및 제어될 수 있다. 일 실시예에 있어서, 제1프로세싱 가스 매니폴드(204A)의 높이(331)(도3)는 약 0.15 인치 내지 약 0.25 인치이며, 환형 오리피스(318)의 폭(332)(도3)은 약 1 mm 내지 약 3 mm 이며, 환형 가스 통로(312)의 폭(333)은 약 1 mm 내지 약 3 mm 이다.
질소 전구체와 같은 제2전구체 또는 제2프로세싱 가스 혼합물은, 복수의 외측 가스 도관들(245)을 경유하여 제2프로세싱 가스 매니폴드(204B)로부터 온도 제어 매니폴드(204C)를 통해 또한 프로세싱 체적(208) 내로 전달될 수 있다. 외측 가스 도관들(245)은 각각의 내측 가스 도관(246)에 대해 각각 동심적으로 위치된 원통형 튜브들일 수 있다. 외측 가스 도관들(245)은 샤워헤드(204)의 제2수평벽(277) 및 제3수평벽(278)을 통해 배치된 정렬된 구멍들 내에 위치된다. 일 실시예에 있어서, 외측 가스 도관들(245)은 납땜과 같은 적절한 수단에 의해 샤워헤드(204)의 제2수평벽(277)에 각각 부착된다.
위에 서술한 바와 같이, MOCVD 챔버(102)는 Ⅲ족-질화물 박막들의 증착을 위해 사용될 수 있다. 일반적으로, Ⅲ족-질화물 박막들은 약 550℃ 를 초과하는 온도로 증착된다. 프로세싱 중, 샤워헤드(204)를 냉각시키기 위해, 또한 특히 온도 제어 매니폴드(204C)를 통해 연장하는 내측 가스 도관들(246)을 통해 전달된 금속 유기 전구체를 냉각시키기 위해, 금속 유기 전구체가 프로세싱 체적(208) 내로 도입되기 전에 금속 유기 전구체의 분해를 방지하도록, 냉각 유체가 온도 제어 매니폴드(204C)를 통해 순환된다. 또한, 금속 유기 전구체가 프로세싱 체적(208) 내로 도입되기 전에 금속 유기 전구체의 분해를 방지하기 위해, 각각의 내측 가스 도관(246)을 통해 흐르는 금속 유기 전구체를 제2프로세싱 가스 매니폴드(204B) 및 각각의 외측 가스 도관(245)을 통한 질소 함유 가스의 흐름으로 둘러싸는 것은, 프로세싱 체적(208) 내의 높은 프로세싱 온도들로부터 추가적인 냉각 및 단열을 제공한다. 더구나, 제1프로세싱 가스 매니폴드(204A) 내의 균일한 가스 분배의 결과로서, 위에 서술한 바와 같이, 금속 유기 전구체가 챔버(102)의 프로세싱 체적(208) 내로 더욱 균일하게 분배될 수 있어서, 기판(240)상에 Ⅲ족-질화물 박막들의 더욱 균등한 증착으로 나타난다.
도2에 있어서, 하부 돔(dome)(219)은 하부 체적(210)의 한쪽 단부에 배치되며, 또한 기판 캐리어 판(112)은 하부 체적(210)의 다른 쪽 단부에 배치된다. 기판 캐리어 판(112)은 상승한 프로세스 위치로 도시되었지만, 그러나 예를 들어 기판들(240)이 로딩 또는 언로딩될 수 있는 하부 위치로 움직일 수 있다. 하부 체적(210)에서 증착이 발생하는 것을 방지하는데 도움을 주기 위해 또한 챔버(102)로부터 배기 포트들(209)로 가스들의 직접적인 배기를 돕기 위해, 기판 캐리어 판(112)의 주변의 둘레에 배기(exhaust) 링(220)이 배치될 수 있다. 기판(240)의 복사 가열을 위해 광이 투과하는 것을 허용하기 위해, 하부 돔(219)은 고순도 석영과 같은 투명한 물질로 제조될 수 있다. 복사 가열은 하부 돔(219)의 아래에 배치된 복수의 내측 램프들(221A) 및 외측 램프들(221B)에 의해 제공될 수 있다. 내측 및 외측 램프들(221A, 221B)에 의해 제공된 복사 에너지에 챔버(102)의 제어 노출을 돕기 위해 반사기들(266)이 사용될 수 있다. 또한, 기판들(240)의 미세한 온도 제어를 위해 램프들의 추가적인 링들(도시되지 않음)이 사용될 수 있다.
본 발명의 어떤 실시예들에 있어서, 퍼지 가스(예를 들어, 질소 함유 가스)는 퍼지 가스 소스(282)에 연결된 하나 또는 둘 이상의 퍼지 가스 채널들(281)을 통해 샤워헤드(204)로부터 챔버(102) 내로 전달된다. 이 실시예에 있어서, 퍼지 가스는 복수의 오리피스들(284)을 통해 샤워헤드(204)의 주변에 대해 분배된다. 복수의 오리피스들(284)은, 샤워헤드(204)의 주변에 대해 원형 패턴으로 구성될 수 있으며 또한 입자 형성 및 궁극적으로 기판들(240)의 오염으로 나타나는 기판 캐리어 판(112), 샤워헤드(204), 및 챔버(102)의 다른 부품들의 엣지들상의 바람직하지 않은 증착을 방지하기 위해 기판 캐리어 판(112)의 주변에 대해 퍼지 가스를 분배하도록 위치될 수 있다. 퍼지 가스는 환형 배기 채널(205)의 둘레에 배치된 다수의 배기 포트들(209) 내로 하향하여 흐른다. 배기 도관(206)은 진공 펌프(208)를 포함하는 진공 시스템(212)에 환형 배기 채널(205)을 연결한다. 챔버(102)의 압력은 밸브 시스템을 사용하여 제어될 수 있으며, 상기 밸브 시스템은 환형 배기 채널(205)로부터 배기 가스들이 드로잉되는 비율을 제어한다.
다른 실시예들에 있어서, 퍼지 가스 튜브들(283)은 챔버 본체(202)의 바닥에 가깝게 배치된다. 이 구성에 있어서, 퍼지 가스는 챔버(102)의 하부 체적(210)에 들어가며 또한 기판 캐리어 판(112) 및 배기 링(220)을 지나 또한 다수의 배기 포트들(209) 내로 상향하여 흐른다.
위에 서술한 바와 같이, 화학물 전달 모듈(203)은 MOCVD 챔버(102)에 화학물들을 공급한다. 반응 가스들(예를 들어, 제1 및 제2전구체 가스들), 캐리어 가스들, 퍼지 가스들, 및 세척 가스들은 화학물 전달 시스템으로부터 공급 라인들을 통해 또한 챔버(102) 내로 공급될 수 있다. 가스들은 공급 라인들을 통해 또한 가스들이 함께 혼합되고 그리고 샤워헤드 조립체(201)로 전달되는 가스 혼합 박스 내로 공급될 수 있다. 일반적으로, 각각의 가스들을 위한 공급 라인들은 그 관련된 라인 내로 가스의 흐름을 자동으로 또는 수동으로 차단하는데 사용될 수 있는 차단 밸브, 및 질량 흐름 제어기들 또는 공급 라인들을 통한 가스 또는 액체의 흐름을 측정하는 다른 타입들의 제어기들을 포함한다. 또한, 각각의 가스들을 위한 공급 라인들은 전구체 농도들을 모니터링하고 또한 실시간 피드백을 제공하기 위한 농도 모니터들을 포함할 수 있다. 전구체 가스 농도들을 제어하기 위해 배압 조절기들이 포함될 수 있다. 신속 및 정확한 밸브 절환 능력을 위해 밸브 절환 제어부가 사용될 수 있다. 가스 라인들의 습도 센서들은, 물 레벨들을 측정하며 또한 작업자들에게 경고들/경보들을 다시 제공할 수 있는 시스템 소프트웨어에 피드백을 제공할 수 있다. 또한, 전구체들 및 세척 가스들이 공급 라인들에서 응축되는 것을 방지하기 위해 가스 라인들이 가열될 수 있다. 사용된 프로세스에 따라, 소스들의 일부는 가스가 아니라 액체일 수 있다. 액체 소스들이 사용될 때, 화학물 전달 모듈은 액체를 증발시키기 위해 액체 주입 시스템 또는 다른 적절한 메커니즘[예를 들어, 버블러(bubbler)]을 포함한다. 그 후, 액체들로부터의 증기는 본 기술분야의 숙련자에 의해 인식되는 바와 같이 통상적으로 캐리어 가스와 혼합된다.
원격 플라즈마 시스템(226)은 챔버 세척 또는 프로세스 기판으로부터 잔류물(residue) 에칭과 같은 선택된 적용들을 위해 플라즈마를 생산할 수 있다. 입력 라인을 경유하여 공급된 전구체들로부터 원격 플라즈마 시스템(226)에서 생산된 플라즈마 종(species)은, 샤워헤드(204)를 통한 분산을 위해 중심 도관(204D)을 경유하여 MOCVD 챔버(102)로 보내진다. 세척 적용을 위한 전구체 가스들은 염소 함유 가스들, 불소 함유 가스들, 요오드 함유 가스들, 브롬 함유 가스들, 질소 함유 가스들, 및/또는 다른 반응 요소들을 포함할 수 있다. 또한, 원격 플라즈마 시스템(226)은, 층 증착 프로세스 중 원격 플라즈마 시스템(226) 내로 적절한 증착 전구체 가스들을 흘리는 CVD 층들을 증착하는데 채택될 수 있다. 일 실시예에 있어서, 원격 플라즈마 시스템(226)은 MOCVD 챔버(102)의 내부를 세척하기 위해 프로세싱 체적(208)에 활성 염소 종을 전달하는데 사용될 수 있다.
MOCVD 챔버(102) 및 배기 통로와 같은 주변 구조물들의 벽들의 온도는, 챔버(102)의 벽들의 채널들(도시되지 않음)을 통해 열교환 액체를 순환시킴으로써 추가로 제어될 수 있다. 열교환 액체는 원하는 효과에 따라 챔버 본체(202)를 가열 또는 냉각시키는데 사용될 수 있다. 예를 들어, 뜨거운 액체는 열 증착 프로세스 중 균등한 열구배를 유지하는 것을 도울 수 있는 반면에, 차가운 액체는 인시추(in-situ) 플라즈마 프로세스 중 시스템으로부터의 열을 제거하거나, 또는 챔버의 벽들상에 증착 산물들의 형성을 제한하는데 사용될 수 있다. "열 교환기"에 의한 가열로서 지칭되는 이 가열은, 반응물 산물들이 차가운 진공 통로들의 벽들상에서 응축하고 또한 가스 흐름이 없는 주기들 중 프로세싱 챔버 내로 다시 이동한다면, 바람직하지 않은 반응물 산물들의 응축을 감소 또는 제거하며 또한 프로세스 가스들의 휘발성 산물들 및 프로세스를 오염시킬 수도 있는 다른 오염물들의 제거를 개선시킨다.
일 실시예에 있어서, 프로세싱 중, 제1전구체 가스는 샤워헤드(204)의 제1프로세싱 가스 매니폴드(204A)로부터 흐르며 또한 제2전구체 가스는 샤워헤드(204)에 형성된 제2프로세싱 가스 매니폴드(204B)로부터 기판들(240)의 표면을 향해 흐른다. 위에 서술한 바와 같이, 제1전구체 가스 및/또는 제2전구체 가스는 전구체 가스들과 혼합될 수 있는 캐리어 가스들 및 도펀트 가스들뿐만 아니라, 하나 또는 둘 이상의 전구체 가스들 또는 프로세스 가스들을 포함할 수 있다. 프로세스 가스들이 실질적으로 기판들(240)과 접하여 흐르고 또한 층류(laminar flow)에서 기판 증착 표면들을 가로질러 방사방향으로 균일하게 분배될 수 있도록, 배기 포트들(209)의 드로잉이 가스 흐름에 영향을 끼칠 수 있다. 일 실시예에 있어서, 프로세싱 체적(208)은 약 760 Torr 의 압력에서 약 80 Torr 까지 내려서 유지될 수 있다.
도6은 다른 실시예에 따른 샤워헤드(204)의 개략적인 횡단면도이다. 도6에 도시된 실시예의 많은 특징부들은 도2 및 3에 대해 도시 및 서술된 것과 동일하며 또한 여기에 추가로 서술되지 않는다. 도6에 도시된 바와 같이, 단일의 제1프로세싱 가스 매니폴드(204A) 대신에, 샤워헤드(204)는 제1내측 프로세싱 가스 매니폴드(601A)와 또한 상기 제1내측 프로세싱 가스 매니폴드(601A)를 둘러싸며 또한 환형 벽(602)에 의해 분리되는 제1외측 프로세싱 가스 매니폴드(601B)를 갖는다. 제1내측 프로세싱 가스 매니폴드(601A)는, 제1내측 가스 입구(603A) 내에 배치된 가스 분배 장치(255)를 경유하여 화학물 전달 모듈(203)에 연결된다. 제1외측 프로세싱 가스 매니폴드(601B)는 제1외측 가스 입구(603B) 내에 배치된 가스 분배 장치(255)를 경유하여 화학물 전달 모듈(203)에 연결된다. 화학물 전달 모듈(203)은 제1내측 프로세싱 가스 매니폴드(601A) 및 제1외측 프로세싱 가스 매니폴드(601B)에 동일한 또는 상이한 가스 혼합물을 전달하도록 구성될 수 있다. 일 실시예에 있어서, 동일한 프로세싱 가스는 제1내측 프로세싱 가스 매니폴드(601A) 및 제1외측 프로세싱 가스 매니폴드(601B)에 상이한 흐름율들 및/또는 압력들로 전달된다.
도6에 도시된 샤워헤드(204)는 제2내측 프로세싱 가스 매니폴드(604A)와 또한 상기 제2내측 프로세싱 가스 매니폴드(604A)를 둘러싸며 또한 환형 벽(605)에 의해 분리되는 제2외측 프로세싱 가스 매니폴드(604B)를 추가로 포함한다. 제2내측 프로세싱 가스 매니폴드(604A)는 내측 가스 입구(606A)를 경유하여 화학물 전달 모듈(203)에 연결된다. 제2외측 프로세싱 가스 매니폴드(604B)는 외측 가스 입구(606B)를 경유하여 화학물 전달 모듈(203)에 연결된다. 화학물 전달 모듈(203)은 제2내측 프로세싱 가스 매니폴드(604A) 및 제2외측 프로세싱 가스 매니폴드(604B)에 동일한 또는 상이한 가스 혼합물을 전달하도록 구성될 수 있다. 일 실시예에 있어서, 동일한 프로세싱 가스는 제2내측 프로세싱 가스 매니폴드(604A) 및 제2외측 프로세싱 가스 매니폴드(604B)에 상이한 흐름율들 및/또는 압력들로 전달된다.
프로세싱 중, 금속 유기 전구체와 같은 제1프로세싱 가스 혼합물은 가스 분배 장치들(255)의 어레이의 사용에 의해 제1내측 프로세싱 가스 매니폴드(601A) 내로 균일하게 전달될 수 있다. 일 예에 있어서, 도7에 도시된 바와 같이, 가스 분배 장치들(255)의 어레이는 샤워헤드 조립체(201)의 중심에 대해 동심적으로 위치된 방사방향으로 위치되는 3개의 가스 분배 장치들(255)을 포함한다. 가스 분배 장치들(255)은 복수의 가스 도관들(256)에 의해 서로 유체 연결되며, 이 모두는 화학물 전달 모듈(203)에 연결된다. 동일한 또는 상이한 제1프로세싱 가스 혼합물은 가스 분배 장치들(255)의 어레이의 사용에 의해 제1외측 프로세싱 가스 매니폴드(601B) 내로 균일하게 전달될 수 있다. 도7에 도시된 예에 있어서, 가스 분배 장치들(255)의 어레이는 샤워헤드 조립체(201)의 중심에 대해 동심적으로 위치된 방사방향으로 위치되는 3개의 가스 분배 장치들(255)을 포함한다. 가스 분배 장치들(255)은 복수의 가스 도관들(456) 및 링 매니폴드(457)를 경유하여 화학물 전달 모듈(203)에 서로 유체 연결된다.
화학물 전달 모듈(203) 내의 전구체 가스 흐름 제어 장치(도시되지 않음)는, 가스 분배 장치들(255)을 통해 제1내측 매니폴드 및 제1외측 매니폴드(601A, 601B)에 동일한 또는 상이한 가스 흐름을 제공하도록 구성된다. 흐름 제어 장치는 내측 및 외측 매니폴드들(601A, 601B)에 전달된 전구체 가스의 흐름 및/또는 압력을 각각 조정할 수 있는 분리된 흐름 제어기들을 포함할 수 있다. 일 구성에 있어서, 전구체 가스 흐름 제어 장치는 적어도 2개의 질량 흐름 제어기들(도시되지 않음)을 포함한다.
제1프로세싱 가스 혼합물은, 복수의 제1내측 가스 도관들(646A)을 경유하여 제1내측 프로세싱 가스 매니폴드(601A)로부터 제2내측 제2프로세싱 가스 매니폴드(604A) 및 온도 제어 매니폴드(204C)를 통해 프로세싱 체적(208) 내로 전달될 수 있다. 복수의 내측 제2가스 도관들(646B)을 경유하여 상이한 흐름율 및/또는 압력으로 제1외측 프로세싱 가스 매니폴드(601B)로부터 제2외측 가스 매니폴드(604B) 및 온도 제어 매니폴드(204C)를 통해 프로세싱 체적 내로 동일한 가스 혼합물이 전달될 수 있다. 제1 및 제2내측 가스 도관들(646A, 646B)은 샤워헤드(204)의 제1수평벽(276), 제2수평벽(277), 및 제3수평벽(278)을 통해 배치된 정렬된 구멍들 내에 위치된 원통형 튜브들일 수 있다. 제1 및 제2내측 가스 도관들(645A, 645B)은 납땜과 같은 적절한 수단에 의해 샤워헤드(204)의 제1수평벽(276)에 부착될 수 있다.
질소 전구체와 같은 제2프로세싱 가스 혼합물은 복수의 제1외측 가스 도관들(645A)을 경유하여 제2내측 프로세싱 가스 매니폴드(604A)로부터 온도 제어 매니폴드(204C)를 통해 또한 프로세싱 체적(208) 내로 전달될 수 있다. 복수의 제2외측 가스 도관들(645B)을 경유하여 상이한 흐름율 및/또는 압력으로 제2외측 프로세싱 가스 매니폴드(604B)로부터 온도 제어 채널(204C)을 통해 또한 프로세싱 체적(208) 내로 동일한 가스 혼합물이 전달될 수 있다. 제1외측 도관들(645A)은 각각의 제1내측 가스 도관(646A)에 대해 동심적으로 각각 위치된 원통형 튜브일 수 있으며, 제2외측 가스 도관들(645B)은 각각의 제2내측 가스 도관(646B)에 대해 동심적으로 각각 위치된 원통형 튜브들일 수 있다. 제1 및 제2외측 가스 도관들(645A, 645B)은 샤워헤드(204)의 제2수평벽(277) 및 제3수평벽(278)을 통해 배치된 정렬된 구멍들 내에 위치된다. 제1 및 제2외측 가스 도관들(646A, 646B)은 납땜과 같은 적절한 수단에 의해 샤워헤드(204)의 제2수평벽(277)에 부착될 수 있다.
요약하면, 본 발명의 실시예들은 프로세싱 체적에 들어가기 전에 가스들을 혼합하지 않고 챔버의 프로세싱 체적 내로 분리된 프로세싱 가스들을 전달하기 위해 분리된 입구들 및 매니폴드들을 갖는 샤워헤드 조립체를 포함한다. 샤워헤드는, 프로세싱 가스들 중 하나를 매니폴드 내로 주입하고 또한 챔버의 프로세싱 체적 내로의 균일한 전달을 위해 매니폴드를 가로질러 이것을 분배하기 위해 복수의 가스 입구들 내에 배치되는 복수의 가스 분배 장치들을 포함한다. 각각의 가스 분배 장치들은, 매니폴드 내의 프로세싱 가스의 재순환을 최소화하면서 그것을 통해 흐르는 프로세싱 가스를 균등하게 분배하도록 구성된 노즐을 갖는 것이 바람직하다. 그 결과, 프로세싱 챔버의 프로세싱 체적에 위치된 복수의 기판들상에서 개선된 증착 균일도가 달성된다.
상술한 바는 본 발명의 실시예들에 관한 것이지만, 그 기본적인 범위로부터의 일탈 없이 본 발명의 다른 또한 추가적인 실시예들이 창작될 수 있으며, 또한 그 범위는 하기의 청구범위들에 의해 결정된다. 예를 들어, 샤워헤드 조립체(201)의 어떤 실시예들은 매니폴드(204B) 및/또는 매니폴드(204C)를 갖지 않는다.

Claims (15)

  1. 샤워헤드 조립체로서:
    샤워헤드에 배치된 제1가스 매니폴드를 갖는 샤워헤드;
    상기 샤워헤드를 통해 연장하고 상기 샤워헤드의 출구 표면에 상기 제1가스 매니폴드를 유체 커플링하는 복수의 제1가스 도관들; 및
    내부에 형성된, 상기 제1가스 매니폴드와 유체 연통하는 환형 오리피스를 각각 갖고 가스 소스에 커플링되도록 구성된 복수의 가스 분배 장치들을 포함하는,
    샤워헤드 조립체.
  2. 제1항에 있어서,
    상기 샤워헤드를 통해 배치된 중심 도관을 더 포함하며 상기 복수의 가스 분배 장치들은 상기 중심 도관에 대해 동심적으로 위치된,
    샤워헤드 조립체.
  3. 제1항에 있어서,
    각각의 가스 분배 장치는, 환형 가스 통로가 상기 가스 분배 장치를 통해 형성되고 상기 환형 오리피스에 커플링되도록 중심 부분에 부착된 원통형 본체 부분을 포함하는,
    샤워헤드 조립체.
  4. 제3항에 있어서,
    상기 중심 부분은 상기 제1프로세싱 가스 매니폴드 내로 연장하는 절두원추형 부분과 상기 제1프로세싱 가스 매니폴드 내의 상기 절두원추형 부분으로부터 연장하는 분배 부분을 포함하는,
    샤워헤드 조립체.
  5. 제4항에 있어서,
    상기 분배 부분은 디스크형 부재이며 상기 환형 가스 통로를 통해 유동하는 가스가 상기 환형 오리피스를 통해 균등하게 분배되도록 상기 원통형 본체 부분에 대해 위치된,
    샤워헤드 조립체.
  6. 제1항에 있어서,
    상기 샤워헤드에 배치되고 상기 제1가스 매니폴드로부터 격리되는 제2가스 매니폴드와 그리고 상기 샤워헤드에 형성되고 상기 제1 및 제2가스 매니폴드들로부터 격리되는 온도 제어 매니폴드; 및
    상기 온도 제어 매니폴드를 통해 연장하며 상기 샤워헤드의 상기 출구 표면에 상기 제2가스 매니폴드를 유체 커플링하는 복수의 제2가스 도관들을 더 포함하며,
    상기 제1가스 도관들은 상기 온도 제어 매니폴드를 통해 연장하는,
    샤워헤드 조립체.
  7. 제6항에 있어서,
    상기 제1가스 매니폴드에 대해 동심적으로 상기 샤워헤드에 배치되며 복수의 제2가스 분배 장치들과 유체 연통하는 제3가스 매니폴드;
    상기 온도 제어 매니폴드를 통해 연장하며 상기 샤워헤드의 상기 출구 표면에 상기 제3가스 매니폴드를 유체 커플링하는 복수의 제3가스 도관들;
    상기 제2가스 매니폴드에 대해 동심적으로 상기 샤워헤드에 배치되는 제4가스 매니폴드; 및
    상기 온도 제어 매니폴드를 통해 연장하며 상기 샤워헤드의 출구 표면에 상기 제4가스 매니폴드를 유체 커플링하는 복수의 제4가스 도관들을 더 포함하는,
    샤워헤드 조립체.
  8. 기판 프로세싱 기구로서:
    챔버 본체;
    기판 지지체; 및
    샤워헤드 조립체를 포함하며
    프로세싱 체적은 상기 챔버 본체, 상기 기판 지지체, 및 상기 샤워헤드 조립체에 의해 규정되며, 상기 샤워헤드 조립체는,
    샤워헤드에 형성된 제1가스 매니폴드를 갖는 샤워헤드;
    상기 샤워헤드를 통해 연장하며 상기 프로세싱 체적에 상기 제1가스 매니폴드를 유체 커플링하는 복수의 제1가스 도관들; 및
    상기 제1가스 매니폴드와 유체연통하는 환형 오리피스를 각각 갖는 복수의 가스 분배 장치들을 포함하며,
    상기 복수의 가스 분배 장치들은 단일의 가스 소스에 커플링되도록 구성되는,
    기판 프로세싱 기구.
  9. 제8항에 있어서,
    상기 샤워헤드 조립체는 샹기 샤워헤드를 통해 배치되고 상기 제1가스 매니폴드를 통해 연장하는 중심 도관을 더 포함하며, 상기 복수의 가스 분배 장치들은 상기 중심 도관에 대해 동심적으로 위치되는,
    기판 프로세싱 기구.
  10. 제9항에 있어서,
    내부에 배치되며 상기 제1가스 매니폴드로부터 격리되는 제2가스 매니폴드;
    상기 프로세싱 체적에 상기 제2가스 매니폴드를 유체 커플링하는 복수의 제2가스 도관들을 더 포함하며,
    상기 복수의 제1가스 도관들은 상기 제2가스 매니폴드를 통해 연장하는,
    기판 프로세싱 기구.
  11. 제10항에 있어서,
    상기 샤워헤드에서 상기 제1가스 매니폴드에 대해 동심적으로 배치되며 복수의 제2가스 분배 장치들과 유체 연통하는 제3가스 매니폴드;
    상기 온도 제어 매니폴드를 통해 연장하며 상기 샤워헤드의 상기 출구 표면에 상기 제3가스 매니폴드를 유체 커플링하는 복수의 제3가스 도관들;
    상기 샤워헤드에서 상기 제2가스 매니폴드에 대해 동심적으로 배치되는 제4가스 매니폴드; 및
    상기 온도 제어 매니폴드를 통해 연장하며 상기 샤워헤드의 상기 출구 표면에 상기 제4가스 매니폴드를 유체 커플링하는 복수의 제4가스 도관들을 더 포함하는,
    기판 프로세싱 기구.
  12. 기판들을 프로세싱하는 방법으로서:
    샤워헤드에 형성된 제1가스 매니폴드에 유체 커플링되는 환형 오리피스를 각각 갖는 복수의 가스 분배 장치들을 통해 프로세싱 챔버의 프로세싱 체적 내로 제1가스를 도입하는 단계;
    상기 샤워헤드에 형성된 제2가스 매니폴드를 통해 상기 프로세싱 챔버의 상기 프로세싱 체적 내로 제2가스를 도입하는 단계; 및
    상기 샤워헤드 조립체에 배치된 온도 제어 매니폴드를 통해 열교환 유체를 유동시킴으로써 상기 샤워헤드 조립체를 냉각시키는 단계를 포함하며,
    상기 제1가스는 복수의 제1가스 도관들을 통해 상기 제1가스 매니폴드로부터 상기 프로세싱 체적 내로 전달되며, 상기 제2가스는 복수의 제2가스 도관들을 통해 상기 제2가스 매니폴드로부터 상기 프로세싱 체적 내로 전달되며, 상기 제1가스 매니폴드는 상기 제2가스 매니폴드로부터 격리되며, 상기 복수의 제1 및 제2가스 도관들은 상기 온도 제어 매니폴드를 통해 배치되는,
    기판 프로세싱 방법.
  13. 제12항에 있어서,
    각각의 가스 분배 장치는 환형 가스 통로가 상기 가스 분배 장치를 통해 형성되고 상기 환형 오리피스에 연결되도록 중심 부분에 부착된 원통형 본체 부분을 포함하는,
    기판 프로세싱 방법.
  14. 제13항에 있어서,
    상기 중심 부분은 상기 제1프로세싱 가스 매니폴드 내로 연장하는 절두원추형 부분과 상기 제1프로세싱 가스 매니폴드 내의 상기 절두원추형 부분으로부터 연장하는 분배 부분을 포함하는,
    기판 프로세싱 방법.
  15. 제12항에 있어서,
    상기 제1가스는 금속 유기 전구체이며 상기 제2가스는 질소 함유 가스인,
    기판 프로세싱 방법.
KR1020137006718A 2010-08-16 2011-07-11 가스 주입 분배 장치들을 갖는 샤워헤드 조립체 KR101906355B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US12/856,747 2010-08-16
US12/856,747 US10130958B2 (en) 2010-04-14 2010-08-16 Showerhead assembly with gas injection distribution devices
US38217610P 2010-09-13 2010-09-13
US61/382,176 2010-09-13
PCT/US2011/043577 WO2012024033A2 (en) 2010-08-16 2011-07-11 Showerhead assembly with gas injection distribution devices

Publications (2)

Publication Number Publication Date
KR20130136981A true KR20130136981A (ko) 2013-12-13
KR101906355B1 KR101906355B1 (ko) 2018-10-10

Family

ID=45348819

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137006718A KR101906355B1 (ko) 2010-08-16 2011-07-11 가스 주입 분배 장치들을 갖는 샤워헤드 조립체

Country Status (6)

Country Link
US (1) US20120064698A1 (ko)
JP (1) JP5859004B2 (ko)
KR (1) KR101906355B1 (ko)
CN (2) CN103098175B (ko)
TW (1) TW201217062A (ko)
WO (3) WO2011159690A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10208401B2 (en) 2017-03-16 2019-02-19 Samsung Electronics Co., Ltd. Substrate treating apparatus

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
DE102011056589A1 (de) * 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
CN105431928B (zh) * 2013-02-06 2018-02-16 应用材料公司 气体注入装置及并入气体注入装置的基板处理腔室
TWI683382B (zh) * 2013-03-15 2020-01-21 應用材料股份有限公司 具有光學測量的旋轉氣體分配組件
CN104141116B (zh) * 2013-05-08 2017-04-05 理想晶延半导体设备(上海)有限公司 金属有机化学气相沉积装置、气体喷淋组件及其气体分配的控制方法
JP6123688B2 (ja) * 2014-01-29 2017-05-10 東京エレクトロン株式会社 成膜装置
US9840777B2 (en) * 2014-06-27 2017-12-12 Applied Materials, Inc. Apparatus for radical-based deposition of dielectric films
US9631276B2 (en) * 2014-11-26 2017-04-25 Lam Research Corporation Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US9920844B2 (en) 2014-11-26 2018-03-20 Lam Research Corporation Valve manifold deadleg elimination via reentrant flow path
CN104498904B (zh) * 2014-12-29 2017-04-26 华中科技大学 一种用于mocvd设备的喷淋头
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
US10253412B2 (en) * 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
JP6606403B2 (ja) * 2015-11-05 2019-11-13 株式会社ニューフレアテクノロジー シャワープレート、気相成長装置および気相成長方法
CN107403717B (zh) * 2016-04-28 2023-07-18 应用材料公司 一种用于处理腔室的改进侧注入喷嘴设计
JP6696322B2 (ja) 2016-06-24 2020-05-20 東京エレクトロン株式会社 ガス処理装置、ガス処理方法及び記憶媒体
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR102493945B1 (ko) * 2017-06-06 2023-01-30 어플라이드 머티어리얼스, 인코포레이티드 Teos 유동의 독립적 제어를 통한 증착 반경방향 및 에지 프로파일 튜닝가능성
KR102443036B1 (ko) * 2018-01-15 2022-09-14 삼성전자주식회사 플라즈마 처리 장치
US11661654B2 (en) 2018-04-18 2023-05-30 Lam Research Corporation Substrate processing systems including gas delivery system with reduced dead legs
US10943769B2 (en) 2018-07-19 2021-03-09 Lam Research Corporation Gas distributor and flow verifier
US11834743B2 (en) * 2018-09-14 2023-12-05 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
US11549183B2 (en) * 2019-05-24 2023-01-10 Applied Materials, Inc. Showerhead with inlet mixer
CA3089021C (en) * 2019-08-09 2023-09-05 Delta Faucet Company Flow restricting and diverting manifold for multiple function showerheadsystems
KR20210070898A (ko) * 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN113122823B (zh) * 2019-12-31 2023-03-07 中微半导体设备(上海)股份有限公司 金属有机物化学气相沉积反应器
DE102020107518A1 (de) * 2020-03-18 2021-09-23 Aixtron Se Verfahren zum Ermitteln des Endes eines Reinigungsprozesses der Prozesskammer eines MOCVD-Reaktors
CN111501024A (zh) * 2020-05-08 2020-08-07 Tcl华星光电技术有限公司 气相沉积装置
US20220010431A1 (en) * 2020-07-08 2022-01-13 Applied Materials, Inc. Multiple-channel showerhead design and methods in manufacturing
CN112090602B (zh) * 2020-09-24 2021-11-16 北京北方华创微电子装备有限公司 半导体工艺设备及其进气结构
CN112626496B (zh) * 2020-11-24 2022-04-05 鑫天虹(厦门)科技有限公司 喷头组件与原子层沉积设备
CN114420604A (zh) * 2022-01-17 2022-04-29 北京北方华创微电子装备有限公司 工艺腔室组件、半导体工艺设备及其方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08288266A (ja) * 1994-09-16 1996-11-01 Applied Materials Inc プラズマプロセス反応装置用ガス注入スリットノズル
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
JPH11297681A (ja) * 1998-04-07 1999-10-29 Mitsubishi Electric Corp 高誘電率薄膜形成用cvd装置および高誘電率薄膜の形成方法
WO2002008487A1 (en) * 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
CN1302152C (zh) * 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
WO2003003414A2 (en) * 2001-06-29 2003-01-09 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
JP2003124125A (ja) * 2001-10-12 2003-04-25 Applied Materials Inc 半導体製造装置
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
JP3982402B2 (ja) * 2002-02-28 2007-09-26 東京エレクトロン株式会社 処理装置及び処理方法
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US20040157430A1 (en) * 2003-02-07 2004-08-12 Asml Netherlands B.V. Methods and apparatus for processing semiconductor wafers with plasma processing chambers in a wafer track environment
JP4026529B2 (ja) * 2003-04-10 2007-12-26 東京エレクトロン株式会社 シャワーヘッド構造及び処理装置
JP2004339566A (ja) * 2003-05-15 2004-12-02 Hitachi Kokusai Electric Inc 基板処理装置
JP4911984B2 (ja) * 2006-02-08 2012-04-04 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法及びシャワーヘッド
US8235001B2 (en) 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US20090211707A1 (en) * 2008-02-22 2009-08-27 Hermes Systems Inc. Apparatus for gas distribution and its applications
KR101019953B1 (ko) * 2008-05-22 2011-03-09 주식회사 테스 가스 공급 장치
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
KR100997104B1 (ko) * 2008-07-04 2010-11-29 주식회사 테스 반도체 제조용 샤워헤드 및 이 샤워헤드를 구비한 반도체제조장치
JP2010059520A (ja) * 2008-09-05 2010-03-18 Sharp Corp 気相成長装置及び気相成長方法
CN101560650B (zh) * 2009-05-15 2011-01-05 江苏大学 一种多喷淋头的化学气相沉积反应室结构

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08288266A (ja) * 1994-09-16 1996-11-01 Applied Materials Inc プラズマプロセス反応装置用ガス注入スリットノズル
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10208401B2 (en) 2017-03-16 2019-02-19 Samsung Electronics Co., Ltd. Substrate treating apparatus

Also Published As

Publication number Publication date
TW201217062A (en) 2012-05-01
WO2011159690A3 (en) 2012-04-05
CN103098175A (zh) 2013-05-08
WO2012036856A3 (en) 2012-08-16
WO2011159690A2 (en) 2011-12-22
JP5859004B2 (ja) 2016-02-10
CN103168343A (zh) 2013-06-19
KR101906355B1 (ko) 2018-10-10
WO2012024033A2 (en) 2012-02-23
WO2012036856A2 (en) 2012-03-22
WO2012024033A3 (en) 2012-04-12
US20120064698A1 (en) 2012-03-15
CN103098175B (zh) 2016-03-23
JP2013541182A (ja) 2013-11-07

Similar Documents

Publication Publication Date Title
KR101906355B1 (ko) 가스 주입 분배 장치들을 갖는 샤워헤드 조립체
US10130958B2 (en) Showerhead assembly with gas injection distribution devices
JP6360849B2 (ja) 多レベルシャワーヘッド設計
US9449859B2 (en) Multi-gas centrally cooled showerhead design
US9644267B2 (en) Multi-gas straight channel showerhead
US8110889B2 (en) MOCVD single chamber split process for LED manufacturing
US20120000490A1 (en) Methods for enhanced processing chamber cleaning
US20090095221A1 (en) Multi-gas concentric injection showerhead
US20120225564A1 (en) Vapor deposition device, vapor deposition method, and semiconductor element manufacturing method
US20120167824A1 (en) Cvd apparatus
KR20120046733A (ko) 급속한 온도 변화를 갖는 기판 지지 구조

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant