WO2000074127A1 - Dispositif de traitement au plasma - Google Patents

Dispositif de traitement au plasma Download PDF

Info

Publication number
WO2000074127A1
WO2000074127A1 PCT/JP2000/003365 JP0003365W WO0074127A1 WO 2000074127 A1 WO2000074127 A1 WO 2000074127A1 JP 0003365 W JP0003365 W JP 0003365W WO 0074127 A1 WO0074127 A1 WO 0074127A1
Authority
WO
WIPO (PCT)
Prior art keywords
dielectric
shower plate
plasma
plate
plasma processing
Prior art date
Application number
PCT/JP2000/003365
Other languages
English (en)
French (fr)
Inventor
Tadahiro Ohmi
Masaki Hirayama
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to EP00931563A priority Critical patent/EP1115147A4/en
Priority to JP2001500327A priority patent/JP3384795B2/ja
Priority to US09/678,741 priority patent/US6830652B1/en
Publication of WO2000074127A1 publication Critical patent/WO2000074127A1/ja
Priority to US10/861,388 priority patent/US7520245B2/en
Priority to US12/402,172 priority patent/US7819082B2/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Definitions

  • the present invention relates to a plasma processing apparatus, and more particularly to a plasma processing apparatus capable of performing a high-performance plasma process, having high power efficiency, and having a long maintenance cycle.
  • a microphone-mouth-wave plasma device that excites high-density plasma by a microwave electric field without using a DC magnetic field has recently attracted attention.
  • This type of microwave plasma device radiates microwaves into a vacuum vessel from a planar antenna (slot antenna) having a large number of slots arranged to generate uniform microphone mouth waves.
  • a planar antenna slot antenna
  • an apparatus for exciting plasma by ionizing a gas in a vacuum vessel by using the microwave electric field Japanese Patent Application Laid-Open No. 9-63793
  • a slot antenna is installed outside the vacuum vessel, and microwaves radiated from the slot antenna are introduced into the vacuum vessel through a dielectric partition and a dielectric shower plate to excite plasma (W098Z33). 3 6 2) is also known.
  • Microwave plasma excited by such a method has a high plasma density and a low electron temperature, so it can be processed at high speed without any damage. Furthermore, since uniform plasma can be excited on a large-area substrate, it can easily cope with an increase in the size of a semiconductor substrate or a liquid crystal display substrate.
  • the ions in the plasma are accelerated to several 10 OeV by the electric field in the sheath formed between the substrate and the plasma, and are irradiated on the substrate surface This realizes anisotropic etching.
  • a high frequency of several 100 KHz and several 10 MHz is applied to the substrate.
  • the plasma can be regarded as a conductor, the high-frequency voltage applied to the substrate is substantially divided into a sheath around the substrate and a sheath around the grounding portion such as a chamber wall.
  • the ratio of the high-frequency voltage applied to the sheath around the substrate and the sheath around the grounding part is determined by the impedance ratio of these sheaths. If the impedance of the sheath around the ground is made sufficiently smaller than the impedance of the sheath around the substrate, most of the high-frequency voltage applied to the substrate will be applied to the sheath around the substrate. In other words, if the area of the grounding part where the plasma contacts is sufficiently larger than the area of the substrate electrode (usually four times or more), the plasma potential hardly rises even when a high frequency is applied to the substrate, and the problem of contamination due to spattering occurs Can be avoided. Further, a large DC voltage can be efficiently generated in the sheath around the substrate.
  • the opposing surface of the substrate is entirely made of a dielectric material. Because it is covered, it is not possible to increase the area of the grounding portion in contact with the plasma. Usually, the area of the ground contacting the plasma is only about three times the area of the substrate electrode. For this reason, due to the problem of contamination due to spatter, it has been difficult to apply the method to processes that require high energy ions to be incident on the substrate surface, such as reactive ion etching.
  • a thin film containing a metal such as a metal thin film, a ferroelectric thin film, and a high dielectric constant thin film by a plasma CVD (Chemical Vapor Deposition) method
  • a plasma CVD Chemical Vapor Deposition
  • an organic metal gas which is a compound of metal atoms and organic molecules is used. If only the bonds between metal atoms and organic molecules are selectively cut by plasma, a thin film with good characteristics without impurity contamination can be formed. However, when the organic molecules are decomposed, a large amount of carbon atoms as impurities are mixed in the film, and the characteristics of the thin film are significantly degraded.
  • electron density microphone port wave if lower than cut-off density n c represented by the following formula propagates in plasma.
  • n c ⁇ ⁇ 2 a e 2
  • ⁇ . Is the dielectric constant of vacuum
  • is the microwave angular frequency
  • m. is the electron mass
  • e is the electron charge.
  • the microwave is reflected near the plasma surface. At this time, the microwave penetrates into the plasma for about the penetration length (usually about several mm to 10 mm) and gives energy to the electrons in the plasma to maintain the plasma.
  • microwave plasma excitation if the electron density is lower than the cutoff density, the microphone mouth wave is dispersed in the chamber, so that uniform and stable plasma cannot be excited.
  • a plasma whose electron density is sufficiently higher than the cut-off density is excited near the microwave incidence surface, and the incident laser is excited. It is essential that most of the open mouth waves be reflected near the plasma surface.
  • a rare gas such as Ar, Kr, or Xe may be used as a plasma excitation gas.
  • the energy of the microphone mouth wave is used to dissociate the gas molecules, so that the electron density tends to decrease and the stability of the plasma tends to be impaired.
  • a small amount (several%) of a gas other than the rare gas can be added, there is a problem that the degree of freedom of the process is low and the process cannot cope with a high-speed process.
  • the reflected wave is reflected again by the matching device connected between the slot antenna and the microwave power source and is radiated from the slot antenna.
  • the microwaves gradually reflect the energy to the plasma while repeating reflection near the plasma surface and the matching device. In other words, there is a microwave resonance between the vicinity of the plasma surface and the matching box.
  • the presence of high energy density microwaves in this area results in large losses due to the small conductor loss of the waveguide metal wall and the small dielectric loss of the dielectric in the slot antenna. In conventional microwave plasma devices, these losses were large and the plasma excitation power efficiency was low.
  • An object of the present invention is to provide an improved and useful plasma processing apparatus which solves the above-mentioned problems.
  • a more specific object of the present invention is that, since there is no dissociation and bonding of the process gas on the surface of the dielectric shower plate in the microwave introduction part, the plasma stability is high even when any process gas is used. It is to provide a plasma processing apparatus.
  • Another object of the present invention is to provide a bra with a long cleaning / maintenance cycle. r I / JI ⁇ ⁇ uoo ⁇ To provide a horra process equipment.
  • Still another object of the present invention is to provide a plasma processing apparatus which can cope with a process in which high ion energy must be incident on a substrate surface.
  • a new process gas ejector (referred to as a grid-like shower plate) is provided in the plasma diffusion portion (between the dielectric shower plate and the substrate) of the conventional microphone mouth-wave plasma processing apparatus. ), So that the plasma excitation gas mainly composed of a rare gas and the process gas can be released from different locations.
  • the metal grid-shaped shower plate it has become possible to cope with processes in which high-energy ions must be incident on the substrate surface, which cannot be handled by conventional microwave plasma processing equipment.
  • the thickness of the dielectric part of the microwave introduction part (the thickness of the dielectric partition wall + the thickness of the dielectric shower plate) is optimized to maximize the plasma excitation efficiency, and at the same time, the dielectric shower plate is formed.
  • a new gas releasing means (lattice-shaped shower plate) is provided between the dielectric shower plate and the substrate of the conventional microphone mouth wave plasma apparatus to suppress dissociation of gas molecules. Is configured to discharge a desirable process gas toward the substrate.
  • a plasma excitation gas consisting mainly of a rare gas is introduced from the dielectric shower plate installed on the opposite side of the substrate with the grid-like shower plate in between. Release. Since the film does not adhere to the surface of the dielectric shower plate, which is the passage of the microphone mouth wave, the cleaning and maintenance cycle of the chamber is significantly prolonged, and a stable plasma can always be obtained.
  • the area of the ground contacting the plasma is greatly increased.
  • a high-frequency bias is applied to the substrate, most of the high-frequency voltage can be applied to the sheath around the substrate, and the energy of ions incident on the substrate surface can be efficiently increased without increasing the plasma potential It is. Therefore, it is possible to cope with processes such as reactive ion etching in which high ion energy must be incident on the substrate surface.
  • the thickness of the dielectric portion of the microwave introduction portion (the thickness of the dielectric partition + the thickness of the dielectric shower plate) is optimized to maximize the excitation efficiency of the plasma.
  • the metallic grid-shaped shower plate is made of aluminum and stainless steel with aluminum oxide coating which has excellent resistance to corrosive gas plasma, and can be used for a long time.
  • FIG. 1 is a sectional view of a microphone mouth-wave plasma processing apparatus according to a first embodiment of the present invention.
  • FIG. 2 is a plan view of the lattice-shaped shear plate of the apparatus of FIG. 1 as viewed from the substrate side.
  • FIG. 3 is a graph showing the plasma potential distribution in the plasma space.
  • FIG. 4 is a graph showing the time variation of the electron density when a tantalum film is formed.
  • FIG. 5 is a graph showing the dependence of the high-frequency power applied to the substrate on the ion incident energy on the substrate surface.
  • FIG. 6 is a graph showing the dependence of the ion incident energy on the surface of the grounded portion with the ion incident energy on the substrate surface.
  • FIG. 7 is a graph showing the dependence of the electron density on the thickness of the dielectric portion.
  • FIG. 8 is a graph showing the thickness dependence of the dielectric shower plate of the microphone mouth-wave power density at which discharge starts in a gap.
  • FIG. 9 is a graph showing the dependence of the microphone mouth wave power density at which discharge starts in the process space on the distance between the dielectric shower plate and the grid-like shower plate.
  • FIG. 10 is a graph showing the dependence of the microphone mouth wave power density at which discharge starts in the slot portion on the distance between the radial line slot antenna and the dielectric shower plate.
  • FIG. 11 is a plan view of a lattice-shaped shower plate made of porous ceramics provided in a microwave plasma processing apparatus according to a second embodiment of the present invention, as viewed from the substrate side.
  • FIG. 12 is a cross-sectional view taken along the line XII-XII of FIG.
  • FIG. 13 is a plan view of a lattice-shaped shower plate made of aluminum provided in a microwave plasma processing apparatus according to a third embodiment of the present invention, as viewed from the substrate side.
  • FIG. 14 is a cross-sectional view taken along the line XIV-XIV in FIG.
  • FIG. 15 is a sectional view of a microwave plasma processing apparatus according to a fourth embodiment of the present invention.
  • FIG. 1 is a side sectional view of a plasma processing apparatus according to a first embodiment of the present invention.
  • the plasma processing apparatus according to the first embodiment of the present invention includes a vacuum vessel 101, a dielectric partition 102, a dielectric shower plate 103, a gap 104, and a plasma excitation gas supply port 105.
  • Plasma excitation gas inlet passage 106 Plasma excitation gas emission hole 107, O-rings 108, 109, Radial line slot antenna 110, Grid-shaped shower plate 111, Process It has a gas supply port 1 1 2, a process gas discharge port 1 1 3, a stage 1 1 5 and an exhaust port 1 1 6.
  • the substrate to be plasma-processed is placed on a stage.
  • the vacuum vessel 101 is formed of aluminum
  • the dielectric shower plate 102 and the dielectric shower plate 103 are formed of aluminum nitride having a relative dielectric constant of 8.63.
  • the frequency of the microwave for plasma excitation is 2.45 GHz.
  • the substrate 114 is a silicon substrate having a diameter of 200 mm.
  • Micro mouth waves radiated from the radial line slot antenna 110 installed in the atmosphere pass through the dielectric partition 102, the gap 104, and the dielectric shower plate 103, and the vacuum vessel 10 1 is introduced inside, and the gas in the vacuum vessel 101 is ionized to generate plasma.
  • This device has a structure that can discharge plasma excitation gas and process gas from different shower plates.
  • the plasma excitation gas is supplied from a plasma excitation gas supply port 105, and is guided to the center of the dielectric shield plate 103 through a plasma excitation gas introduction passage 106. Thereafter, the gas radially flows from the central portion to the peripheral portion in the gap 104, and is discharged from the plurality of gas excitation holes 107 for plasma excitation into the vacuum chamber.
  • the process gas is supplied from the process gas supply port 1 1 2, passes through the inside of the grid-shaped shower plate 1 1 1 composed of a metal tube, and passes through the plurality of process gas discharge holes 1 1 3 to the substrate 1. It is released to the 14 side.
  • FIG. 2 is a plan view of the grid-like shower plate 1 11 viewed from the substrate 1 14 side.
  • the grid-shaped shower plate 111 has a main pipe 201, a branch pipe 202, a process gas discharge hole 113, and a grid-shaped shower plate gas supply port 204.
  • a circle 205 shown by a dotted line is an area facing the substrate 114.
  • two grid-like shower plate gas supply ports 204 are provided in order to uniformly discharge the gas onto the substrate 114.
  • the main pipe 201 and the branch pipe 202 are metal pipes with an outer diameter of 9.5 mm (3/8 inch) and 6.35 mm (1 Z 4 inch), respectively. It is.
  • the branch pipes 202 are arranged in a lattice pattern, and an opening 206 is formed between the main pipe 201 and the branch pipe 202.
  • a large number of gas discharge holes 113 are formed in the branch pipe 202 at a position where the process gas is obliquely incident on the substrate surface and is substantially uniformly incident on the entire surface of the substrate.
  • the process gas is obliquely incident on the substrate surface in order to improve the in-plane uniformity of the process.
  • the process gas may be incident perpendicularly on the substrate surface.
  • the aluminum component was increased compared to the conventional SUS316L material used for pipes (4.16%).
  • this pipe was heated in a weakly oxidizing atmosphere at a high temperature. (900 ° C), and a very thermodynamically stable aluminum oxide passivation film is formed on the pipe surface. It has been confirmed that when an aluminum oxide passivation film is formed, it exhibits excellent corrosion resistance to plasma of highly corrosive chlorine gas and fluorine gas.
  • the radial line slot antenna 110, the dielectric partition 102, the dielectric shower plate 103, the grid-like shower plate 111, and the substrate 114 are arranged in parallel.
  • the distance between the dielectric shower plate 103 and the grid-like shower plate 111 is 1 Z 4 times (30 mm) the microwave wavelength in vacuum, and the antenna 110 side of the dielectric bulkhead.
  • the distance between the surface of the substrate and the surface of the dielectric shower plate 103 on the substrate 114 side is 3 Z 4 times the wavelength at the relevant portion of the microwave (30.7 mm, of which the gap 104 is 0). 7 mm), and the thickness of the dielectric shower plate is set to 1 Z twice (20 mm) the wavelength in the relevant part of the microwave.
  • the distance between the radial line slot antenna and the dielectric partition is set to 1 Z 4 times (30 mm) the microwave wavelength.
  • the shower plate member When a grid-shaped shower plate as shown in Fig. 2 is installed in the chamber, the shower plate member is sputtered by ions incident from the plasma to the surface of the shower plate and mixed near the surface of the substrate. May occur. A sheath is formed near the surface of the object inserted into the plasma, and the ions in the plasma are accelerated by the electric field in the sheath and enter the object surface. If the incident energy of ions is higher than the threshold value inherent to the material or ion species, spalling occurs, and if the energy is lower than the threshold value, sputtering does not occur. For example, when Ar + ions are incident on various metal surfaces, the threshold value is 10 eV to 3 O eV. In order to prevent contamination by spattering, it is desirable to keep the energy of ions incident on the grid-shaped shower plate 1 11 to 10 eV or less.
  • FIG. 3 is a graph showing the distribution of the plasma potential in the plasma space.
  • a01 shows the result in the microphone mouth wave plasma processing apparatus of FIG. 1
  • a02 shows the result in the high frequency excitation parallel plate type plasma processing apparatus.
  • the plasma space spacing was 120 mm, the gas was Ar, and the pressure was about 67 Pa (50 O mTbrr).
  • FIG. 1 The energy (eV) of ions incident on the surface of a grounded object in the plasma is approximately equal to eVp (e is the electron charge), where Vp is the voltage applied to the system, ie, the plasma potential. Similar value when the surface of a grounded object is covered with an insulating film become.
  • FIG. 3 is a graph showing the distribution of the plasma potential in the plasma space.
  • a01 shows the result in the microphone mouth wave plasma processing apparatus of FIG. 1
  • a02 shows the result in the high frequency excitation parallel plate type plasma processing apparatus.
  • the plasma space spacing was 120 mm
  • the gas was Ar
  • the horizontal axis z is the position in the plasma space perpendicular to the substrate.
  • the surface of the high-frequency application electrode opposite to was used as a reference.
  • a microwave of 2.45 GHz is introduced through the dielectric shower plate 103, and in the parallel plate type plasma device, a high frequency of 13.56 MHz is applied to the high frequency application electrode. Plasma was generated.
  • the plasma potential is about 33 V, and it is clear that contamination by sputtering occurs when the grid-like shower plate 11 is installed in the chamber.
  • the voltage drops below 8 V at a distance of more than 20 mm from the dielectric shower plate 103, and there is no danger of spattering even if the grid-like shower plate 11 is installed in the plasma.
  • Other plasma devices used in the semiconductor manufacturing process include an inductively coupled plasma device and an electron cyclotron resonance plasma device, and the plasma potential of each device is usually 30 V or more.
  • the microwave plasma device has a feature that the plasma potential is overwhelmingly low as compared with other plasma devices.
  • Fig. 4 shows how the electron density in the plasma changes with the elapse of the deposition time when the deposition of the intaral is started with the adhering matter on the surface of the dielectric shower plate 103 completely removed.
  • Curve 301 shows the configuration of a conventional microwave plasma apparatus, that is, without installing a grid-like shower plate 111, mixing a plasma excitation gas and a process gas, and using a dielectric shower plate 103 for both. This is the result in the case of release from.
  • Curve 302 is the result of the configuration of the microwave plasma apparatus of the present invention, that is, the case where the grid-shaped shower plate 111 is installed and the gas for plasma excitation and the gas for process are separately emitted.
  • the electron density was measured at a point 15 mm away from the center on the center axis of the center.
  • a process gas a gas obtained by publishing liquid Ta (O—C2H5) 5 with an Ar carrier gas was used.
  • Ar was used as the plasma excitation gas.
  • the flow rates of the process gas and the plasma excitation gas were set at 150 sccm and 50 Osccm, respectively, and the pressure in the vacuum vessel was set at about 80 Pa (0.6 Torr).
  • the frequency of the microwave for plasma excitation was 2.45 GHz and the power was 1.1 kW.
  • the electron density did not change at all even when the film was formed for 20 minutes, and the attachment of the tantalum thin film to the surface of the dielectric shower plate 103 was not confirmed.
  • the reason why the electron density is slightly lower immediately after the start of film formation than in the conventional configuration is that the presence of the lattice-like shower plate 11 1 slightly blocks the diffusion of plasma around the wafer.
  • the tantalum thin film adheres to the surface of the shower plate during film formation, so it is not necessary to frequently clean and maintain the inner surface of the chamber using chlorine-based gas plasma or the like after film formation.
  • the economy was low in productivity. However, according to the configuration of the present invention, almost no cleaning or maintenance is required, and the productivity is greatly improved.
  • the curve 401 shows the configuration of the conventional microwave plasma device, that is, the result when the lattice-shaped shower plate 111 does not exist
  • the curve 402 shows the configuration of the microwave plasma device of the present invention, that is, This is the result when the grounded grid-like shower plate 111 is present.
  • Ar was used as a plasma excitation gas.
  • the pressure in the vacuum vessel was about 4 Pa (3 O mlbrr)
  • the frequency of the microwave for plasma excitation was 2.45 GHz
  • the power was 1.1 kW.
  • the high frequency applied to the substrate was 2 MHz.
  • FIG. 5 is a graph showing how the ion incident energy on the ground surface changes when the power required to obtain the ion incident energy on the substrate surface required for etching is applied to the substrate.
  • Curve 501 shows the structure of a conventional microwave plasma device.
  • Curve 502 shows the configuration of the microwave plasma device of the present invention, that is, the case where a grounded grid-shaped shower plate is present. Is the result of The conditions are the same as in Fig. 5.
  • the ion incident energy on the ground surface is a very high value, which is about the same as the ion incident energy on the substrate surface.
  • the ion incident energy on the ground surface is a very high value, which is about the same as the ion incident energy on the substrate surface.
  • the reactive ion etching of a silicon oxide film it is necessary to make ions of about 40 OeV incident on the substrate surface.
  • the ion incident energy on the ground surface becomes 37 OeV.
  • the life is extremely short because it is cut by sputtering.
  • the ion incident energy on the ground plane is suppressed to a low value from 10 eV to 2 O eV.
  • the ground surface is not spattered.
  • Table 1 shows the etching selectivity between the resist and the silicon oxide film when etching the silicon oxide film on the silicon substrate surface, and the silicon nitride film and the silicon oxide film, which are indispensable for forming self-aligned contacts. The etching selectivity and the results of measuring the contact resistance between the electrode and the underlying silicon by forming an aluminum electrode after forming a silicon oxide film contact hole of 0.25 m are shown.
  • the plasma excitation gas was 3.7 ⁇ 0.48 ⁇ Ar was used as the plasma excitation gas.
  • the flow rate of the plasma excitation gas was 320 sccm.
  • a mixed gas of C 4 F s ZCOZ 0 2 / Xe was used as the process gas.
  • the flow rate of the process gas was 105 sccm.
  • the pressure inside the vacuum vessel was about 4 Pa (3 OmTorr).
  • the frequency of the microwave for plasma excitation was 2.45 GHz, the power was 1. 1 kW, and the frequency of the high frequency applied to the substrate was 2 MHz.
  • the high-frequency power applied to the substrate was set so that the ion incident energy on the substrate surface was 40 OeV.
  • the selectivity between resist and silicon oxide must be 10 or more, and the selectivity between silicon nitride and silicon oxide must be 30 or more.
  • the decomposition of the CF-based gas proceeds excessively, and a large amount of fluorine radicals and fluorine ions, which cause a decrease in the selectivity, are generated.
  • a sufficient etching selectivity cannot be obtained.
  • the surface of the ground portion such as the chamber wall is sputtered and mixed near the silicon surface at the bottom of the contact hole, the contact resistance becomes extremely high. Since it cannot be used for devices as it is, it is necessary to remove the damaged layer near the silicon surface, leading to an increase in semiconductor manufacturing cost and a drop in productivity.
  • the process gas is introduced into the extremely low temperature region of the plasma diffusion section, so that the decomposition of the CF-based gas is appropriately suppressed, and the resist is not affected by the silicon nitride film.
  • a sufficient etching selectivity can be obtained.
  • the contact resistance is kept low.
  • Figure 7 shows how the electron density in the plasma changes when the input microwave power is kept constant and the thickness of the dielectric part (the thickness of the dielectric partition 102 + the thickness of the dielectric shower plate 103) is changed.
  • 7 is a graph showing the result of measuring whether or not it changes.
  • the microwave frequency was 2.45 GHz and the microwave power was 1.8 kW.
  • the gap 104 was 0.7 mm.
  • the gas was Ar and the pressure was about 67 Pa (50 Omlbrr).
  • the electron density was measured at a point 22 mm away from the dielectric shower plate. From Fig. 7, it was found that the electron density in the plasma changes periodically with the thickness of the dielectric part.
  • Plasma excitation efficiency power used for plasma excitation / power supplied by microwave power supply
  • the rate varied periodically from 21% to 75% with changes in the thickness of the dielectric. This phenomenon can be explained as follows.
  • the electron density near the entrance surface of the microphone mouth wave is sufficiently higher than the power cutoff density (7.45 X 10 3 ⁇ 4 ⁇ ⁇ 3 at 2.45 GHz) (more than 10 3 ⁇ 4 3). Waves can penetrate into the plasma only about the penetration length (about 3 mm) from the surface and are almost completely reflected. After the reflected microwave is received by the antenna, it is reflected by the matching device connected between the antenna and the microphone power supply, and is radiated again from the antenna. In other words, there is a microwave resonance between the vicinity of the plasma surface and the matching box. A high energy density microphone mouth wave exists in this portion, and a large loss occurs due to a small conductor loss of the metal wall of the waveguide / a small dielectric loss of the dielectric in the slot antenna.
  • the microphone mouth-wave power density between the vicinity of the plasma surface and the matching box does not depend much on the state of the plasma.
  • the microwave power in the dielectric is set when the thickness of the dielectric part is such that the antenna-side surface is at the antinode of the standing wave of the microphone mouth wave electric field. The density becomes maximum and the plasma can be excited most efficiently.
  • the thickness of the dielectric portion is such that the surface on the antenna side is at the position of the node of the standing wave, the microwave power density in the dielectric is minimized, and the plasma excitation efficiency is minimized.
  • the distance between the surface of the dielectric partition on the antenna side and the surface of the dielectric shower plate 103 on the substrate side is set. It is only necessary that the distance between them is an odd multiple of 1/4 of the wavelength of the relevant part. This is because the surface of the dielectric shower plate 103 on the substrate side can be almost regarded as a short-circuited surface (position of a standing wave node) due to the presence of plasma which can be regarded as a conductor. From FIG. 7, it can be seen that the thickness of the dielectric portion where the electron density takes the maximum value is 30 mm and 50 mm. In these, the distance between the antenna-side surface of the dielectric partition and the substrate-side surface of the dielectric shower plate 103 is equivalent to 3Z4 times and 5Z4 times the wavelength, respectively.
  • the plasma excitation efficiency is as high as about 75%, which is the lowest of the conventional configuration. Large 3.6 times. That is, it has become possible to generate high-density plasma with a small and inexpensive microwave power source with lower power consumption.
  • Figure 8 shows the measurement of the microwave power density at which the discharge starts in the gap 104 when the thickness of the dielectric shower plate 103 is changed while the thickness of the dielectric part is fixed at 30 mm. It is a graph which shows the result. It can be seen that the microwave power density at which discharge starts in the gap changes periodically with the thickness of the dielectric shower plate 103. Discharging must be avoided because discharge in the gap destabilizes the plasma in the process space. To prevent discharge in the gap, the thickness of the dielectric shower plate 103 may be determined so that the gap is at the position of the node of the standing wave of the microphone mouth wave electric field. That is, the thickness of the dielectric shower plate 103 should be an integer multiple of 1 Z 2 of the wavelength of the corresponding part. From FIG. 8, it can be seen that when the thickness of the dielectric shower plate 103 is 20 mm, the discharge is most difficult in the gap 104, and when the thickness is 10 mm, the discharge is most likely. These correspond to 1/2 times the wavelength and 4 times 1Z, respectively.
  • the thickness of the shower plate was determined only by the mechanical strength and the conductance of the gas.Therefore, it was often easy to discharge in the gap, and it was difficult to apply large power to the plasma. Was. In the configuration of the present invention, even if a large amount of power is applied to the plasma, the plasma does not discharge in the gap 104, so that stable high-density plasma can always be excited.
  • Figure 9 shows that when the distance between the dielectric shower plate 103 and the grid-like shower plate 111 is changed, discharge occurs in the process space (between the dielectric shower plate 103 and the substrate 114).
  • 9 is a graph showing the results of measuring the starting microwave power density and the electron density around the substrate 114.
  • the lattice-shaped shower plate 1 1 1 made of metal becomes a short-circuit surface of the microphone mouth-wave when the lattice spacing is sufficiently shorter than the wavelength of the microwave.
  • the distance between the dielectric shower plate 103 and the grid-like shower plate 111 is longer than 1/4 of the wavelength, the antinode of the standing wave of the microwave electric field exists in the plasma space, Discharge starts at the part where this electric field is strong. Immediately after that, high-density plasma is generated near the microwave incidence surface using the plasma as a seed.
  • the distance between the dielectric shower plate 103 and the lattice-shaped shower plate 111 is shorter than 1 Z 4 times the wavelength, the microphone mouth wave electric field is generated on the surface of the dielectric shower plate 103. However, as the interval becomes shorter, the electric field intensity becomes smaller and the discharge becomes more difficult.
  • the electron density around the substrate decreases as the distance between the dielectric shower plate 103 and the grid-like shower plate 11 increases. This is because the plasma is excited near the incident surface of the microphone and diffuses toward the substrate, and the electron density decreases as the distance from the incident surface of the microphone increases. In order to realize a high-speed process with low microwave power, it is better to discharge easily in the process space and to increase the electron density around the substrate. In order to satisfy both of these requirements, the distance between the dielectric shower plate 103 and the lattice-shaped shower plate 111 should be set to 1 Z 4 times the wavelength.
  • FIG. 10 shows that when the thickness of the dielectric part is fixed at 30 mm and the distance between the radial line slot antenna 110 and the dielectric shower plate 103 is changed, the slot of the antenna 110 is changed.
  • 6 is a graph showing a result of measuring a microwave power density at which discharge starts in a portion. It can be seen that the microwave power density at which the discharge starts at the slot changes periodically with the distance between the antenna 110 and the dielectric shower plate 103. If discharge occurs in the slot, the antenna 110 will be damaged and the plasma in the process space will become unstable, so it must be avoided. In order to prevent discharge at the slot, the distance between the antenna 110 and the dielectric shower plate 103 should be set so that the surface of the antenna 110 is located at the node of the standing wave of the microwave electric field.
  • the standing wave of the microwave electric field is an antinode on the antenna-side surface of the dielectric shower plate 103, that is, when the antenna-side surface of the dielectric partition and the substrate side of the dielectric shower plate 103 are
  • the distance between the antenna and the surface is set to an odd multiple of 1/4 of the wavelength of the corresponding part
  • the distance between the antenna 110 and the dielectric shower plate 103 The distance should be an odd multiple of 1 Z 4 of the wavelength.
  • the distance between the antenna 110 and the dielectric shower plate 103 is 30 mm and 90 mm, the discharge is least likely to occur in the slot, and when the distance is 60 mm, the discharge is the least. You can see that it is easy to happen.
  • 30 mm, 60 mm and 90 mm correspond to 1Z4, 2/4 and 3Z4 times the wavelength, respectively.
  • the use of the apparatus of the present embodiment makes it possible to apply a high-efficiency high-frequency bias to the substrate and generate a high-efficiency microphone mouth-wave plasma, and reduce the area occupied by the apparatus by downsizing the high-frequency power supply and the microwave power supply.
  • the cost of the device can be reduced. Furthermore, even if a high-power microphone mouth wave is applied, the dielectric barrier and the dielectric shower plate
  • FIG. 11 is a plan view of a grid-like shower plate 600 provided in a plasma processing apparatus according to a second embodiment of the present invention as viewed from the substrate side.
  • FIG. 12 is a cross-sectional view taken along the line XII_XII of FIG.
  • the plasma processing apparatus according to the second embodiment of the present invention is the same as the plasma processing apparatus according to the first embodiment of the present invention shown in FIG. 1 except for the lattice-shaped shower plate 600 shown in FIG. The description is omitted.
  • the grid-shaped shower plate 600 includes a main pipe 601, a branch pipe 602, a process gas discharge section 603 (hatched section), and a grid-shaped shower plate gas supply port. Consists of 6 4. As shown in Fig. 12, the branch 602 has a coating 606 Have. Note that a circle 605 shown by a dotted line in FIG. 11 indicates a region facing the substrate.
  • the main pipe 601 is formed of alumina ceramic having a porosity of 0.03%
  • the branch pipe 602 is formed of porous alumina ceramic having a porosity of 32%.
  • the branch pipes 602 are arranged in a lattice pattern, and the main pipe 601 and the branch pipe 602 are joined with a ceramic adhesive. Therefore, an opening 607 is formed by the main pipe 601 and the branch pipe 602.
  • Porous alumina ceramics have the property of permeating gas, and function as a shower plate by setting the pressure inside the pipe to a moderately higher pressure than outside the pipe.
  • the gas can be discharged more uniformly as compared with the case where a large number of gas discharge holes are provided as in the first embodiment described above.
  • a portion of the surface of the branch pipe 602 other than the gas release portion is covered with a coating 606 so that gas is not released.
  • the film 606 is made of alumina ceramics having a thickness of 220 mm and a porosity of 0.8%.
  • Alumina ceramics have excellent durability against corrosive gas plasma and can constitute a long-life shower plate.
  • the apparatus of the present embodiment be used for thin film formation such as CVD, oxidation, and nitriding, and resist ashes.
  • the lattice-shaped shower plate 600 is made of alumina ceramics in this embodiment, it may be made of aluminum nitride ceramics having high thermal conductivity. Alternatively, conductive alumina ceramics containing a large amount of metal such as aluminum or stainless steel may be used. In this case, the effect of increasing the ground plane with which the plasma comes into contact is increased, so that the method can be applied to a process in which high-energy ions must be incident on the substrate surface.
  • FIG. 13 is a plan view of a lattice-shaped shower plate 700 provided in a plasma processing apparatus according to a third embodiment of the present invention, as viewed from the substrate side.
  • FIG. FIG. 14 is a cross-sectional view taken along line XIV-XIV of FIG.
  • the plasma processing apparatus according to the third embodiment of the present invention is the same as the plasma processing apparatus according to the first embodiment of the present invention shown in FIG. 1 except for a grid-like shower plate 700 shown in FIG. The description is omitted.
  • the grid-shaped shower plate 700 shown in FIG. 12 includes a gas introduction path 701, a process gas discharge hole 702, a grid-shaped shower plate gas supply port 73, a grid-shaped plate body 705. , And a grid-shaped shower plate lid 706. Note that a circle 704 indicated by a dotted line indicates a region corresponding to the substrate.
  • a gas introduction path 701 having a rectangular cross section is formed in a grid pattern in the vertical and horizontal directions, and an opening 707 for passing plasma or gas is formed between adjacent gas introduction paths.
  • the lattice-shaped shower plate body 705 and the lattice-shaped shower plate lid 706 are made of aluminum containing 3% of magnesium, and both are joined by electron beam welding.
  • the grid-shaped shower plate body 705 and the grid-shaped shower plate lid 706 are subjected to heat treatment in a fluorine gas atmosphere after electron beam welding in order to improve resistance to corrosive gases, and the surface is treated with magnesium fluoride. And a mixed film of aluminum fluoride.
  • the lattice-shaped shower plate 700 is made of aluminum, but may be made of stainless steel or stainless steel containing high-concentration aluminum.
  • FIG. 15 is a sectional view of a plasma processing apparatus according to a fourth embodiment of the present invention.
  • the plasma processing apparatus according to the fourth embodiment of the present invention includes a vacuum vessel 81, a dielectric partition 802, a dielectric shower plate 803, a gap 804, a shower plate fixing jig 805, Gas supply port for plasma excitation 806, Gas emission hole for plasma excitation 807, Microwave mouth waveguide 808, Grid-shaped shower plate 809, Gas supply port for process 810, Gas emission hole for process 8 1 1, stage 8 1 3, and exhaust It has a mouth 8 14.
  • the substrate 812 to be subjected to the plasma processing is placed on the stage 813.
  • the vacuum vessel 800 is made of aluminum
  • the dielectric partition wall 802 is made of aluminum
  • the dielectric shower plate 803 is made of aluminum nitride
  • the shower plate fixing jig 805 is made of aluminum. It is configured.
  • the lattice-shaped shower plate 809 has the same configuration as any one of the above-described first to third embodiments.
  • the high-pressure shower plate subjected to the oxidation passivation treatment is used. It is made of stainless steel containing high concentration of aluminum.
  • the frequency of the microwave for plasma excitation is 2.45 GHz.
  • the substrate 812 is a rectangular glass substrate for a liquid crystal display, and has a size of 550 ⁇ 650 mm 2 .
  • the microphone mouthwave waveguide 808 is a single-mode rectangular waveguide extending in a direction perpendicular to the plane of the paper, and the lower surface is surrounded by a dielectric wall, and the other part is surrounded by a metal wall.
  • Microwaves are generated by a single microwave power source and distributed to and supplied to two microphone aperture waveguides 808 by a waveguide near the center of the device.
  • a part of the microwave propagating in the microwave waveguide 808 leaks out of the dielectric partition 802 and is introduced into the vacuum vessel 801 via the dielectric shower plate 803, and the plasma To excite.
  • the plasma is excited, a surface wave propagating along the surface of the dielectric shower plate 803 near the boundary between the plasma and the dielectric shower plate 803 is excited.
  • a uniform surface wave produces a large-area, uniform plasma.
  • two dielectric shower plates 803 are provided.
  • a grounded shower is provided between the dielectric shower plates 803 so that surface waves propagating on these surfaces do not interfere with each other. It is electrically separated by a plate fixing jig 805.
  • the dielectric shower plate 803, the grid-like shower plate 809, and the substrate 812 are arranged in parallel with each other.
  • the distance between the dielectric shower plate 803 and the grid-like shower plate 809 is set to 14 times (30 mm) the wavelength of the microwave.
  • the plasma processing apparatus of this example was used for manufacturing a back gate type TFT (Thin Film Transistor) liquid crystal display.
  • the applied processes are: 1) a silicon nitride film formation process, and 2) a polycrystalline silicon film on the silicon nitride film. 3) n + silicon film formation process on polycrystalline silicon film, 4) silicon film etching process, and 5) silicon surface direct oxidation process.
  • Table 2 below shows the gas types and pressures used for each of these processes.
  • Substrates tend to be large in both semiconductors and liquid crystal displays. It is technically difficult and costly to transport large substrates at high speed without any problems. In addition, as the size of the substrate increases, the size of the equipment also increases, and the initial investment cost and running cost of the equipment and the manufacturing plant (clean room) become enormous. For this reason, there is a strong demand for a single device to perform many processes in a continuous manner and to perform manufacturing without moving the substrate as much as possible.
  • the processes from 1) to 3) can be performed continuously by switching the gas without moving the substrate 812.
  • the plasma processing apparatus of this embodiment switches the gas discharged from the dielectric shower plate 803 and the grid-shaped shower plate 809 to form a film, etch, oxidize, nitride,
  • the feature is that many plasma processes such as atssing can be performed, and it can flexibly cope with such continuous processes. it can.
  • Table 3 below compares the results obtained when the same process was performed using the plasma processing apparatus according to the present embodiment and the parallel plate plasma processing apparatus (conventional apparatus) currently widely used in the manufacture of liquid crystal displays. Things.
  • Silicon nitride film is used as a gate insulating film and an interlayer insulating film, and it is required to form a film having a high withstand voltage and a small leak current at a high speed.
  • the energy of ions incident on the film formation surface is as low as 1/3 or less of the conventional apparatus (4 to 7 eV) and does not cause ion irradiation damage to the thin film.
  • a high quality silicon nitride film with a near breakdown voltage is formed.
  • the electron density for about one digit higher than the conventional flat row plate plasma apparatus (> 2 X 10i2 C n 3 ) the deposition rate is high productivity is remarkably improved.
  • the silicon film is used for a channel portion which is the heart of the TFT.
  • silicon films with high channel mobility must be deposited on insulating films.
  • the mobility of the conventional device was very low because only an amorphous film could be formed (0.2 cm 2 / V'sec @ 3 ⁇ 4).
  • Laser anneal treatment for irradiating the amorphous film with a laser to polycrystallize it provides high mobility polycrystalline silicon. Although a recon film is obtained, it takes a very long time to process and is not practical.
  • a high-mobility polycrystalline silicon film of about 280 cm 2 / Vsec could be deposited by CVD without annealing at a substrate temperature of 250 ° C. .
  • it is capable of high-speed film formation and has excellent productivity.
  • n + silicon film is used for the source and drain contacts of the TFT, and is required to have a high carrier density and a low resistivity in order to improve the current driving capability of the transistor.
  • the use of the apparatus of the present invention did not damage the film because the energy of the ions radiated on the film formation surface was small, and the activation rate of the carrier was improved to obtain a film having a smaller resistivity.
  • the substrate temperature must be about 350 ° C or less.
  • oxidation proceeds only to a depth of about 7 nm in 3 minutes. As a result, the entire film cannot be oxidized, and insulation between the source and the drain cannot be obtained.
  • the apparatus of the present embodiment since the oxidation proceeds up to 2 8 nm or more depth-third of the acid I spoon at a substrate temperature of 3 0 0, the source and drain by oxidizing the entire n + silicon film Can be completely insulated. This is because, due to the high electron density, a large amount of oxygen radicals, which are oxidizing species, is generated, and the diffusion of oxygen radicals in the oxygen-rich film is promoted by irradiating a large amount of ions to the substrate surface.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Description

プラズマプロセス装置
技術分野
本発明は、 プラズマプロセス用装置に係わり、 特に高性能プラズマプロセスが 可能で電力効率が高く、メンテナンス周期が長いプラズマプロセス装置に関する。 背景技術
近年、 半導体や液晶ディスプレイの高性能化と高生産性化を実現するために、 これらの製造にはプラズマプロセスが欠かせないものになっている。 プラズマの 励起方式は様々であるが、 半導体や液晶ディスプレイの製造には、 主に平行平板 型高周波励起プラズマ装置あるいは誘導結合型プラズマ装置が使われている。 こ れらのプラズマ装置は、 デバイスに与えるダメージが大きく、 高速で高性能なプ 口セスが困難であるなど、いくつかの本質的な問題を内在している。したがって、 半導体や液晶ディスプレイの高性能化、 高生産性化に対する要求を満たすことが 困難になってきている。
そこで最近注目されているのが、 直流磁場を用いずにマイクロ波電界により高 密度プラズマを励起するマイク口波プラズマ装置である。 この種のマイクロ波プ ラズマ装置としては、 均一なマイク口波を発生するように配列された多数のス口 ットを有する平面状のアンテナ (スロットアンテナ) から真空容器内にマイクロ 波を放射し、 このマイクロ波電界により真空容器内のガスを電離してプラズマを 励起させる装置 (特開平 9— 6 3 7 9 3 ) が知られている。 また、 スロットアン テナを真空容器外に設置し、 スロットアンテナから放射されたマイクロ波を誘電 体隔壁および誘電体シャワープレートを通して真空容器内部に導入してプラズマ を励起させる装置 (W0 9 8 Z 3 3 3 6 2 ) も知られている。 このような手法で 励起されたマイクロ波プラズマは、 プラズマ密度が高く電子温度が低いため、 高 速でダメージを一切伴わないプロセスを行うことができる。 さらに大面積基板上 にも均一なプラズマを励起できるため、 半導体基板や液晶ディスプレイ基板の大 型化にも容易に対応できる。
しかしながら、 これらの従来のマイクロ波プラズマ装置では、 プロセス用ガス がブラズマにより解離、 結合して生成された物質がマイク口波の通り道である誘 電体隔壁や誘電体シャワープレート表面に付着するという問題がある。 抵抗率が 低い膜が付着するとマイク口波が反射され、 抵抗率が高い膜が付着するとマイク 口波が吸収されてしまう。 これにより、 誘電体隔壁や誘電体シャワープレート表 面への膜の付着によりプラズマ励起電力が低下してしまい、 プラズマ密度が減少 してプラズマの安定性が損なわれる。 最悪の場合、 プラズマが全く励起できなく なるという状態となってしまう。 このような問題を回避するには、 付着膜を取り 除くためのチヤンバクリ一ニングゃメンテナンスを頻繁に行う必要があり、 生産 性が著しく低下してしまう。
半導体や液晶ディスプレイの製造で欠かせない反応性イオンエッチングでは、 プラズマ中のイオンを基板とプラズマとの間に形成されるシース中の電界で数 1 0 O eVまで加速して基板表面に照射することにより、異方性ェッチングを実現し ている。 イオンを所望のエネルギまで加速するための直流電圧 (自己バイアス電 圧) を基板周辺のシースに発生させるために、基板には数 1 0 0 KHz力、ら数 1 0 MHz程度の高周波が印加される。 プラズマは導体と見なすことができるため、 基板に印加された高周波電圧は、 基板周辺のシースとチャンバ壁などの接地部周 辺のシースとにほぼ分圧される。 すなわち、 基板に高周波を印加すると、 基板周 辺のシースだけでなく接地部周辺のシースにも高周波電圧がかかり、 接地部周辺 のシースの直流電圧が増加してプラズマ電位が上昇する。 プラズマ電位が 1 5〜 3 0V以上になると、 加速されたイオンの入射により接地部表面がスパッ夕され て汚染が引き起こされる。
基板周辺のシースと接地部周辺のシースとにかかる高周波電圧の比は、 これら のシースのインピーダンス比で決まる。 接地部周辺のシースのィンピ一ダンスを 基板周辺のシースのインピーダンスよりも十分小さくすれば、 基板に印加された 高周波電圧の大半は基板周辺のシースにかかる。 すなわち、 プラズマが接する接 地部の面積を基板電極の面積より十分大きく (通常 4倍以上) とれば、 基板に高 周波を印加してもプラズマ電位はほとんど上昇せず、 スパッ夕による汚染の問題 を回避することができる。 さらに、 基板周辺のシースに大きな直流電圧を効率的 に発生させることができる。
ところが、 従来のマイクロ波プラズマ装置では、 基板の対向面が全て誘電体で 覆われているため、プラズマが接する接地部の面積を大きくとることができない。 通常、プラズマが接する接地部の面積は基板電極の面積の 3倍程度しかとれない。 このため、 スパッ夕による汚染の問題により、 反応性イオンエッチングなど基板 表面に高いエネルギのイオンを入射させる必要があるプロセスに適用することが 困難であった。
金属薄膜や強誘電体薄膜、 高誘電率薄膜など金属を含む薄膜をブラズマ C V D (Chemical Vapor Deposition) 法で形成するプロセスでは、 金属原子と有機物 分子の化合物である有機金属ガスが用いられる。 プラズマにより金属原子と有機 物分子間の結合のみを選択的に切断すれば不純物汚染のない良好な特性の薄膜を 形成できる。 しかし、 有機物分子が分解されると膜中に不純物である炭素原子が 多量に混入して薄膜の特性が著しく劣ィ匕してしまう。 また、 エッチングプロセス では、 プロセス用ガスの解離が進行し過ぎると、 被エッチング膜とレジストマス クゃ下地材料との選択性が悪化し、 さらにァスぺクト比の大きな微細パターンの エッチングが困難になる。 従来のマイクロ波プラズマプロセス装置では、 プラズ マ密度が高く比較的電子温度が高いマイク口波入射面付近に、 プロセス用ガスが 直接導入される。 このため、 プロセス用ガスの解離が進行し過ぎて、 有機金属ガ スを用いた薄膜の形成や微細パターンのエッチングでは、 良好な結果を得ること ができなかった。
マイクロ波をプラズマに入射したとき、 電子密度が次式で表されるカツトオフ 密度 ncより低ければマイク口波はプラズマ中を伝搬する。
nc= ε ^2 a e 2
ここで、 ε。は真空の誘電率、 ωはマイクロ波角周波数、 m。は電子の質量、 eは 電子の電荷である。 一方、 電子密度がカットオフ密度より高ければ、 マイクロ波 はプラズマ表面近傍で反射される。 このとき、 マイクロ波は侵入長程度 (通常数 mm〜10mm程度) プラズマ中に侵入して、 プラズマ中の電子にエネルギを与え プラズマを維持する。 マイクロ波プラズマ励起では、 電子密度がカットオフ密度 より低いとマイク口波がチヤンバ内に分散するため均一で安定なプラズマを励起 することができない。 均一で安定なプラズマを励起するには、 マイクロ波入射面 付近にカツトオフ密度より十分電子密度が高いプラズマを励起して、 入射したマ ィク口波の大半をプラズマ表面近傍で反射させることが不可欠である。 電子密度 が高く安定なプラズマを励起するには、 A r、 K r、 X eなどの希ガスをプラズ マ励起ガスとして用いればよい。 希ガスに単原子分子以外のガスを添加すると、 ガス分子の解離にマイク口波のエネルギが使われるため、 電子密度が低下してプ ラズマの安定性が損なわれる傾向がある。 従来のマイクロ波プラズマ装置では、 希ガス以外のガスを少量 (数%) しか添加することができないため、 プロセスの 自由度が低く、 また高速のプロセスに対応できないという問題があった。
プラズマ表面付近の電子密度がカツトオフ密度以上になっていると、 プラズマ に入射したマイクロ波の大半は表面近傍で反射される。 この反射波は、 スロット アンテナで受信された後、 スロットアンテナとマイクロ波電源との間に接続され た整合器で再び反射されてスロットアンテナから放射される。 マイクロ波はブラ ズマ表面近傍と整合器で反射を繰り返しながら、 徐々にそのエネルギをプラズマ に与える。 すなわち、 プラズマ表面近傍と整合器の間は、 マイクロ波の共振状態 になっている。 この部分には高いエネルギ密度のマイクロ波が存在することにな り、 導波路の金属壁のわずかな導体損ゃスロットァンテナ内の誘電体のわずかな 誘電損により、 大きな損失が生じる。 従来のマイクロ波プラズマ装置では、 これ らの損失が大きくプラズマ励起電力効率が低かった。 さらに、 高密度プラズマを 得ようとして大電力のマイクロ波を投入すると、 スロットアンテナ表面に形成さ れたスロット部でァ一ク放電が生じ、 これによりアンテナが破損したり、 誘電体 隔壁と誘電体シャワープレート間のガス流路で放電が生じたりするという問題が あつ 7こ。
発明の開示
本発明は、 上述の問題を解決した改良された有用なプラズマプロセス装置を提 供することを目的とする。
本発明のより具体的な目的は、 マイクロ波導入部の誘電体シャワープレート表 面にプロセス用ガスの解離、 結合による膜付着がないため、 いかなるプロセス用 ガスを用いてもプラズマの安定性が高いプラズマプロセス装置を提供することで ある。
本発明の他の目的は、 チヤンバクリ一ニングゃメンテナンスの周期が長いブラ r I / J I ν υ u o o Ϊ ズマプロセス装置を提供することである。
本発明の更に他の目的は、 基板表面に高いイオンエネルギを入射させなければ ならないプロセスにも対応可能なプラズマプロセス用装置を提供することである。
また、 本発明の他の目的は、 プロセス用ガスの解離が適度に抑制されるため優 れた成膜プロセスやエッチングプロセスが可能で、 プラズマ励起効率が高いブラ ズマプロセス装置を提供することである。
本発明では上記目的を達成するため、 従来のマイク口波プラズマプロセス用装 置のプラズマ拡散部 (誘電体シャワープレートと基板との間) に新たなプロセス 用ガス放出器 (格子状シャワープレートと呼ぶ) を設け、 希ガスを主体としたプ ラズマ励起用ガスとプロセス用ガスを異なる場所から放出できるようにした。 ま た、 金属製の格子状シャワープレートを接地することにより、 従来のマイクロ波 プラズマプロセス用装置では対応できなかった基板表面に高いエネルギのイオン を入射させなければならないプロセスにも対応可能とした。 さらに、 マイクロ波 導入部の誘電体部の厚さ (誘電体隔壁の厚さ +誘電体シャワープレートの厚さ) を最適ィ匕してプラズマの励起効率を最大化すると同時に、 誘電体シャワープレー 卜の厚さおよびスロットアンテナと誘電体隔壁との間隔を最適化して大電力のマ イク口波を投入可能にした。
本発明のプラズマプロセス装置は、 従来のマイク口波プラズマ用装置の誘電体 シャワープレートと基板との間に新たなガス放出手段 (格子状シャワープレー卜) を設け、 ガス分子の解離を抑制することが望ましいプロセス用ガスを基板に向か つて放出する構成になっている。 一方、 プロセス用ガスが誘電体シャワープレー トの方へ拡散しないように、 希ガスを主体としたプラズマ励起用ガスを格子状シ ャワープレートを挟んで基板と反対側に設置された誘電体シャワープレートから 放出させる。 マイク口波の通り道である誘電体シャワープレート表面に膜が付着 することがなくなるため、 チャンバクリーニングやメンテナンス周期が著しく長 期化し、 常に安定したプラズマが得られるようになる。 また、 プラズマ密度が高 く電子温度が比較的高いマイクロ波入射面付近にはプロセス用ガスがほとんど存 在しない状態が形成されるため、 プロセス用ガスの解離が適度に抑制されて高性 能プロセスが実現される。 同時に、 格子状シャワープレートから多量のプロセス 用ガスを放出してもマイクロ波入射面付近にカツトオフ密度を十分上回る高密度 で安定したプラズマを励起できるため、 プロセスの自由度が飛躍的に向上し、 よ り高速なプロセスが可能である。
接地された金属製格子状シャワープレートをプラズマ中に導入することにより、 プラズマが接する接地部の面積は大幅に増加する。 基板に高周波バイアスを印加 した場合、 高周波電圧の大半を基板周辺のシースに印加できるようになり、 ブラ ズマ電位を増加させずに基板表面に入射するイオンのエネルギを効率よく増加さ せることが可能である。 従って、 基板表面に高いイオンエネルギを入射させなけ ればならなレ ^反応性ィオンエッチングなどのプロセスにも十分対応可能である。 さらに、 本発明のプラズマプロセス装置によれば、 マイクロ波導入部の誘電体 部の厚さ (誘電体隔壁の厚さ +誘電体シャワープレートの厚さ) を最適化してプ ラズマの励起効率を最大化すると同時に、 誘電体シャワープレートの厚さおよび スロットアンテナと誘電体隔壁との間隔を最適ィヒして大電力のマイクロ波を投入 できるため、 より安定した高密度プラズマの高効率生成が可能である。 金属製格 子状シャワープレートは、 腐食性ガスのプラズマに対する耐性に優れた酸化アル ミニゥム被膜を有するアルミニウム及びステンレス鋼で構成され、 長期間にわた つて使用可能である。
図面の簡単な説明
図 1は、 本発明の第 1の実施例によるマイク口波プラズマプロセス装置の断面 図である。
図 2は、図 1の装置の格子状シャヮ一プレートを基板側から見た平面図である。 図 3は、 プラズマ空間中のプラズマ電位分布を示すグラフである。
図 4は、 タンタルの成膜を行つた場合の電子密度の時間変ィヒを示すグラフであ る。
図 5は、 基板に印加する高周波電力の基板表面へのイオン入射エネルギ依存性 を示すグラフである。
図 6は、 接地部表面へのィォン入射エネルギの基板表面へのィォン入射エネル ギ依存性を示すグラフである。
図 7は、 電子密度の誘電体部の厚さ依存性を示すグラフである。 図 8は、 ギヤップ中で放電が開始するマイク口波電力密度の誘電体シャワープ レートの厚さ依存性を示すグラフである。
図 9は、 プロセス空間で放電が開始するマイク口波電力密度の誘電体シャワー プレートと格子状シャワープレ一トとの間隔依存性を示すグラフである。
図 1 0は、 スロット部で放電が開始するマイク口波電力密度のラジアルライン スロットアンテナと誘電体シャワープレートとの間隔依存性を示すグラフである。 図 1 1は、 本発明の第 2の実施例によるマイクロ波プラズマプロセス装置に設 けられた多孔質セラミックスで構成された格子状シャワープレートを基板側から 見た平面図である。
図 1 2は、 図 1 1の X I I— X I I線に沿った断面図である。
図 1 3は、 本発明の第 3の実施例によるマイクロ波プラズマプロセス装置に設 けられたアルミニウムで構成された格子状シャワープレートを基板側から見た平 面図である。
図 1 4は、 図 1 3の X I V— X I V線に沿った断面図である。
図 1 5は、 本発明の第 4の実施例によるマイクロ波プラズマプロセス装置の断 面図である。
発明を実施するための最良の実施の形態
以下、 図面を参照しながら本発明の実施例によるプラズマプロセス装置を説明 するが、 本発明はこれらの実施例に限定されるものではない。
(実施例 1 )
図 1は、 本発明の第 1の実施例によるプラズマプロセス装置の側部断面図であ る。 本は発明の第 1の実施例によるプラズマプロセス装置は、 真空容器 1 0 1、 誘電体隔壁 1 0 2、 誘電体シャワープレート 1 0 3、 ギャップ 1 0 4、 プラズマ 励起用ガス供給口 1 0 5、 プラズマ励起用ガス導入路 1 0 6、 プラズマ励起用ガ ス放出孔 1 0 7、 Oリング 1 0 8 , 1 0 9、 ラジアルラインスロットアンテナ 1 1 0、 格子状シャワープレート 1 1 1、 プロセス用ガス供給口 1 1 2、 プロセス 用ガス放出孔 1 1 3、 ステージ 1 1 5及び排気口 1 1 6を有する。 プラズマ処理 される基板 1 1 4はステージ 1 1 5上に載置される。
本実施例では、 真空容器 1 0 1はアルミニウムにより形成され、 誘電体隔壁 1 0 2および誘電体シャワープレート 1 0 3は比誘電率 8 . 6 3の窒化アルミニゥ ムにより形成されている。 プラズマ励起用マイクロ波の周波数は、 2 . 4 5 GHz である。 基板 1 1 4は、 直径 2 0 0 mmのシリコン基板である。
大気中に設置されたラジアルラインスロットアンテナ 1 1 0から放射されたマ イク口波は、 誘電体隔壁 1 0 2、 ギャップ 1 0 4および誘電体シャワープレート 1 0 3を通過して真空容器 1 0 1内部に導入され、 真空容器 1 0 1内のガスを電 離してプラズマを生成する。
本装置は、 プラズマ励起用ガスとプロセス用ガスを異なるシャワープレートか ら放出できる構造になっている。 プラズマ励起用ガスは、 プラズマ励起用ガス供 給口 1 0 5から供給され、 プラズマ励起用ガス導入路 1 0 6を通り誘電体シャヮ —プレート 1 0 3の中央部まで導かれる。 その後、 ギャップ 1 0 4において中央 部から周辺部へ放射状に流れ、 複数のプラズマ励起用ガス放出孔 1 0 7から真空 容器内部に放出される。 一方、 プロセス用ガスは、 プロセス用ガス供給口 1 1 2 から供給され、金属管で構成された格子状シャワープレート 1 1 1の内部を通り、 複数のプロセス用ガス放出孔 1 1 3から基板 1 1 4側に放出される。
図 2は、格子状シャワープレート 1 1 1を基板 1 1 4側から見た平面図である。 格子状シャワープレート 1 1 1は、 本管 2 0 1、 枝管 2 0 2、 プロセス用ガス放 出孔 1 1 3、 及び格子状シャワープレートガス供給口 2 0 4を有する。 点線で示 す円 2 0 5は基板 1 1 4に対向する領域である。 本実施例では、 ガスを基板 1 1 4上に均一に放出するため、 格子状シャワープレートガス供給口 2 0 4が 2っ設 けられている。 本管 2 0 1、 枝管 2 0 2は、 それぞれ外径 9. 5 3 mm ( 3 / 8 インチ)、 6 . 3 5 mm ( 1 Z 4インチ)の金属管であり、接続部は溶接してある。 枝管 2 0 2は格子状配列されており、 本管 2 0 1及び枝管 2 0 2の間には開口部 2 0 6が形成されている。 枝管 2 0 2には、 プロセス用ガスを基板面に斜めに、 基板全面にわたってほぼ均一に入射するような位置に多数のガス放出孔 1 1 3が 形成されている。 本実施例では、 プロセスの基板面内均一性を向上させるために プロセス用ガスを基板面に斜めに入射させるようにしたが、 基板面に垂直に入射 させるようにしてもよい。
本実施例では、腐食性ガスプラズマ雰囲気中でも半永久的に使用できるように、 配管の材料として従来用いられていた S U S 3 1 6 L材よりもアルミニウム成分 が増量された (4. 1 6 %) 高濃度アルミニウム含有ステンレス鋼を用いて、 こ の配管を弱酸化性雰囲気で高温 (9 0 0 °C) の処理を行い、 配管表面に熱力学的 に非常に安定な酸化アルミニウム不働態膜を形成している。 酸化アルミニウム不 働態膜を形成すると、 腐食性の高い塩素ガスやフッ素ガスのプラズマに対しても 優れた耐食性を示すことが確認されている。
ラジアルラインスロットアンテナ 1 1 0、 誘電体隔壁 1 0 2、 誘電体シャワー プレート 1 0 3、 格子状シャワープレート 1 1 1、 および基板 1 1 4は、 それぞ れ平行に配置されている。 誘電体シャワープレート 1 0 3と格子状シャワープレ ート 1 1 1との間隔は真空中でのマイクロ波の波長の 1 Z 4倍 (3 0 mm) に、 誘電体隔壁のアンテナ 1 1 0側の面と誘電体シャワープレート 1 0 3の基板 1 1 4側の面との間の距離はマイクロ波の該当部における波長の 3 Z 4倍 (3 0 . 7 mm、 うちギャップ 1 0 4が 0 . 7 mm) に、 誘電体シャワープレートの厚さは マイクロ波の該当部における波長の 1 Z 2倍 (2 0 mm) に設定されている。 さ らに、 ラジアルラインスロットアンテナと誘電体隔壁との間隔は、 マイクロ波の 波長の 1 Z 4倍 (3 0 mm) に設定されている。
図 2に示すような格子状シャワープレート 1 1 1をチャンバ内に設置すると、 プラズマからシャワープレート表面へのイオン入射によりシャワープレ一ト部材 がスパッ夕されて基板表面付近に混入し、 汚染の問題が生じる可能性がある。 プ ラズマ中に挿入された物体の表面近傍にはシースが形成され、 このシース中の電 界によりプラズマ中のイオンが加速されて物体表面に入射する。 イオンの入射ェ ネルギが、材料やイオン種固有のしきい値以上であればスパッ夕リングが起こり、 しきい値以下であればスパッタリングは起こらない。 例えば、 各種金属表面に A r +イオンを入射させた場合、 しきい値は 1 0数 eV〜3 O eV となる。 スパッ夕 リングによる汚染を防止するには、 格子状シャワープレート 1 1 1に入射するィ オンのエネルギを 1 0数 eV以下に抑えることが望ましい。
プラズマ中の接地された物体表面に入射するイオンのエネルギ (eV) は、 シ一 スにかかる電圧、 すなわちプラズマ電位を Vpとして、 eVp (eは電子の電荷) に ほぼ等しい。 接地された物体の表面が絶縁膜で被覆されている場合も同程度の値 になる。 図 3は、 プラズマ空間中のプラズマ電位の分布を示すグラフである。 図 3中、 a 0 1は図 1のマイク口波プラズマプロセス装置における結果を示し、 a 0 2は高周波励起平行平板型プラズマプロセス装置における結果を示している。 プラズマ空間の間隔は 1 2 0 mm、ガスは A r、圧力は約 6 7 P a ( 5 0 O mTbrr) に統一した。 図 3において、 横軸 zは基板に垂直方向のプラズマ空間中の位置で あり、マイクロ波プラズマ装置では誘電体シャワープレート 1 0 3表面を基準(z = 0 ) とし、 平行平板型プラズマ装置では基板と対向した高周波印加電極の表面 を基準とした。 なお、 マイクロ波プラズマ装置では 2 . 4 5 GHzのマイクロ波を 誘電体シャワープレート 1 0 3を通して導入し、 平行平板型プラズマ装置では 1 3 . 5 6 MHz の高周波を高周波印加電極に印加することによりプラズマを生成 した。
平行平板型プラズマ装置では、 プラズマ電位は 3 3 V程度であり、 チヤンバ内 に格子状シャワープレート 1 1 1を設置するとスパッタリングによる汚染が発生 することが明らかである。 一方、 マイクロ波プラズマ装置では、 誘電体シャワー プレート 1 0 3から 2 0 mm以上離れると 8 V以下となり、 プラズマ中に格子状 シャワープレート 1 1 1を設置してもスパッ夕される恐れはない。 半導体製造プ 口セスに用いられている他のプラズマ装置として、 誘導結合プラズマ装置や電子 サイクロトロン共鳴プラズマ装置等があるが、 何れの装置でもプラズマ電位は通 常 3 0 V以上になる。 このように、 マイクロ波プラズマ装置は、 他のプラズマ装 置と比較してプラズマ電位が圧倒的に低いという特徴がある。 これは、 プラズマ 励起部も含めてプラズマ全体に渡って電子温度が低く抑えられていることに起因 している。 格子状シャワープレート 1 1 1をマイクロ波プラズマ装置と組み合わ せることにより、 スパッタリングによる汚染を発生させることなく初めてその効 果を発揮させることが可能になる。
図 1のプラズマプロセス装置を用いて、 シリコン酸化膜で被われた直径 2 0 0 mmのシリコン基板上にプラズマ C V D (Chemical Vapor Deposition) 法によ りタンタル薄膜を形成する実験を行った。 図 4は、 誘電体シャワープレート 1 0 3表面の付着物が完全に取り除かれた状態で夕ンタルの成膜を開始し、 成膜時間 の経過とともにプラズマ中の電子密度がどのように変化するかを測定した結果で ある。 曲線 3 0 1は従来のマイクロ波プラズマ装置の構成、 すなわち格子状シャ ワープレー卜 1 1 1を設置せずに、 プラズマ励起用ガスとプロセス用ガスを混合 して双方とも誘電体シャワープレート 1 0 3から放出した場合の結果である。 曲 線 3 0 2は本発明のマイクロ波プラズマ装置の構成、 すなわち、 格子状シャワー プレー卜 1 1 1を設置してプラズマ励起用ガスとプロセス用ガスを分けて放出し た場合の結果である。
電子密度の測定は、 ゥエー八の中心軸上でゥエー八から 1 5 mm離れた地点で 行った。 プロセス用ガスとしては、 液体である T a (O - C2H5) 5を A rキヤリ ァガスによりパブリングしたガスを用いた。 プラズマ励起用ガスとしては A rを 用いた。 プロセス用ガスとプラズマ励起用ガスの流量は、 それぞれ 1 5 0 sccm、 5 0 O sccm, 真空容器内の圧力は約 8 0 P a ( 0 . 6 Torr) とした。 プラズマ励 起用マイクロ波の周波数は、 2 . 4 5 GHz、 電力は 1 . l kWであった。
従来の構成では、 成膜時間が 3分を過ぎると次第に電子密度が低下してプラズ マが不安定になり、 1 1分でプラズマが消失した。 これは、 誘電体シャワープレ ート 1 0 3表面に付着したタンタル薄膜が、 マイクロ波を反射、 吸収したことが 原因である。 実際に、 誘電体シャワープレート 1 0 3表面に付着した膜を分析し た結果、 炭素を多量に含む夕ンタル薄膜が付着していることが明らかになった。 タンタル薄膜の平均膜厚は 4. 3 mであった。
一方、 本発明の構成では、 2 0分の成膜を行っても電子密度は全く変化せず、 誘電体シャワープレート 1 0 3の表面へのタンタル薄膜の付着は確認されなかつ た。 従来の構成よりも成膜開始直後に電子密度が若千低いのは、 格子状シャワー プレート 1 1 1の存在により、 プラズマのゥエーハ周辺への拡散が若干遮られる ためである。 従来の構成では、 成膜中にシャワープレート表面にタンタル薄膜が 付着してしまうため、 成膜後に塩素系ガスプラズマ等を用いたチャンバ内面のク リ一ニングとメンテナンスを頻繁に行う必要があり不経済で生産性が低かった。 しかし、 本発明の構成では、 クリーニングやメンテナンスがほとんど必要なくな り、 生産性が大幅に向上した。
次に、 シリコン酸化膜上に形成された夕ン夕ル薄膜の特性を評価した結果につ いて述べる。 2次イオン質量分析装置で夕ン夕ル薄膜中の炭素含有量を測定した ところ、 従来の構成では 1 0 . 5 %と非常に多かったが、 本発明の構成では 0. 3 %であることが分かった。 従来の構成では、 有機金属ガスを誘電体シャワープ レート 1 0 3から放出したため、 プラズマ入射面付近の高密度で比較的電子温度 が高いプラズマによりガス分子が過度に分解して分子量の小さな有機物が発生し て膜中に混入した。 しかし、 本実施例の構成では、 有機金属ガスを格子状シャヮ —プレート 1 1 1から電子温度が低い拡散プラズマ領域に放出したため、 タンタ ル原子と有機物分子間の結合のみが選択的に切れて蒸気圧の高い有機物しか発生 しなかったためである。
さらにタンタル薄膜の電気抵抗率を測定したところ、 従来の構成では炭素の含 有量が多いため 2 2 5 X 10-6 Ω cmであったが、本発明の構成では 2 1 X lO'C Qcm と一桁以上も低く、 ほぼ理想的な薄膜が形成されていることが明らかになつた。 このように、 本発明のプラズマプロセス装置を金属薄膜や強誘電体薄膜、 高誘電 率薄膜の C VDに適用すると、薄膜の特性を大幅に向上させること力可能になる。 次に、 マイク口波プラズマ装置のエッチングプロセスへの適合性について述べ る。 図 5は、 エッチングに必要な基板表面へのイオン入射エネルギを得るのに基 板にどれだけ高周波電力を印加すればよいかを示すグラフである。曲線 4 0 1は、 従来のマイクロ波プラズマ装置の構成、 すなわち、 格子状シャワープレート 1 1 1が存在しない場合の結果であり、 曲線 4 0 2は、 本発明のマイクロ波プラズマ 装置の構成、 すなわち、 接地された格子状シャワープレート 1 1 1が存在する場 合の結果である。 プラズマ励起用ガスとしては、 A rを用いた。 真空容器内の圧 力は約 4 P a ( 3 O mlbrr) , プラズマ励起用のマイクロ波の周波数は、 2 . 4 5 GHz,電力は 1 . l kWであった。また、基板へ印加した高周波の周波数は 2 MHz であった。
図 5より、 同じ基板表面へのイオン入射エネルギを得るのに、 本発明の構成で は従来の 1 / 5程度の高周波電力を印加すれば十分であることが分かる。 すなわ ち、 大幅な効率化と高周波電源や整合器の小型化、 低コスト化が可能になる。 図 6は、 エッチングに必要な基板表面へのイオン入射エネルギを得るのに必要 な電力を基板に印加したときに、 接地部表面へのィォン入射エネルギがどう変化 するかを示すグラフである。 曲線 5 0 1は、 従来のマイクロ波プラズマ装置の構 成、 すなわち、 格子状シャワープレート 1 1 1が存在しない場合の結果であり、 曲線 5 0 2は、 本発明のマイクロ波プラズマ装置の構成、 すなわち、 接地された 格子状シャワープレー卜が存在する場合の結果である。 条件は図 5の場合と同じ である。
図 6より、 従来の構成では、 接地部表面へのイオン入射エネルギは基板表面へ のイオン入射エネルギと同程度の非常に高い値であることが分かる。 例えば、 シ リコン酸ィ匕膜の反応性イオンエッチングでは、基板表面に 4 0 O eV程度のイオン を入射させる必要がある。 このイオン入射エネルギを得るためには基板に 1 6 0 0 Wの高周波電力を印加する必要があり、 このとき接地部表面へのイオン入射ェ ネルギは 3 7 O eVとなる。このような高い運動エネルギを持ったイオンがチャン バ壁ゃ格子状シャワープレートなどの接地部表面に入射すると、 壁面がスパッ夕 されて不純物汚染の原因になる。 また、 スパッタリングにより削られるため、 寿 命が著しく短い。 一方、 本発明の構成では、 プラズマが接する接地部表面の面積 が基板の面積と比較して十分大きいため、 接地面へのイオン入射エネルギは 1 0 eVから 2 O eVと低い値に抑えられており、接地面がスパッ夕されることはない。 表 1は、 シリコン基板表面のシリコン酸化膜のエッチングを行ったときの、 レ ジストとシリコン酸化膜のェッチング選択比、 セルファラインコンタクト形成時 に不可欠なシリコン窒ィヒ膜とシリコン酸ィ匕膜のエッチング選択比、 および 0. 2 5 m のシリコン酸化膜コンタクトホールを形成した後にアルミニウム電極を 形成して電極と下地のシリコンとのコンタクト抵抗を測定した結果を示す。
表 1
従来の装置と本発明の装置でエッチングを行った場合のエッチング特性の比較 従来の装置を用い 本発明の装置を用 た場合 いた場合 レジストのシリコン酸化膜に対する
4. 8 1 0. 9 シリコン窒化膜のシリコン酸化膜に
1 8 3 8
対するエッチング選択比
コンタクト径 0. のコ
3 . 7 Ω 0. 4 8 Ω ン夕ク卜抵抗 プラズマ励起用ガスには、 A rを用いた。 プラズマ励起用ガスの流量は 320 sccmであった。 また、 プロセス用ガスには、 C4FsZCOZ02/Xeの混合ガ スを用いた。プロセス用ガスの流量は 105sccmであった。真空容器内の圧力は 約 4P a (3 OmTorr)であった。プラズマ励起用のマイクロ波の周波数は、 2. 45GHz、 電力は 1. lkWであり、 基板へ印加した高周波の周波数は 2 MHz であった。 基板へ印加した高周波の電力は、 基板表面へのイオン入射エネルギが 40 OeVになるように設定した。
次世代の超微細高性能半導体デバイスを実現するには、 レジス卜とシリコン酸 化膜の選択比は 10以上、 シリコン窒化膜とシリコン酸化膜の選択比は 30以上 必要である。 従来の構成では、 CF系ガスの分解が過度に進行して選択比を低下 させる要因となるフッ素ラジカルやフッ素イオンが多量に生成されるため、 レジ ストに対しても、 シリコン窒化膜に対しても十分なエッチング選択比を得ること ができない。 また、 チャンバ壁など接地部表面がスパッ夕されてコンタクトホー ル底のシリコン表面付近に混入するため、 コンタクト抵抗が非常に高くなる。 こ のままではデバイスには使えないため、 シリコン表面付近のダメージ層を取り除 く行程が必要になり、 半導体製造コスト増大と生産性低下を招いていた。
一方、 本発明の構成では、 プロセス用ガスがプラズマ拡散部の電子温度が極め て低い部分に導入されるため、 CF系ガスの分解が適度に抑制され、 レジストに 対してもシリコン窒化膜に対しても十分なエッチング選択比を得ることができる。 また、 不純物の汚染がないため、 コンタクト抵抗も低く抑えられている。
図 7は、 投入マイクロ波電力を一定にして誘電体部の厚さ (誘電体隔壁 102 の厚さ +誘電体シャワープレート 103の厚さ) を変えたときに、 プラズマ中の 電子密度がどのように変化するかを測定した結果を示すグラフである。 マイクロ 波周波数は 2. 45 GHz, マイクロ波電力は 1. 8kWであった。 ギャップ 10 4は 0. 7mmであった。 ガスは Ar、 圧力は約 67Pa (50 Omlbrr) であ つた。 電子密度は誘電体シャワープレー卜から 22 mm離れた地点で測定した。 図 7より、 プラズマ中の電子密度は、 誘電体部の厚さとともに周期的に変化す ることが分かった。 プラズマ励起効率 (プラズマ励起に使われた電力/マイクロ 波電源が供給した電力) は電子密度に比例する。 本実施例では、 プラズマ励起効 率は、 誘電体部の厚さの変化とともに 2 1 %から 7 5 %まで周期的に変化した。 この現象は、 次のように説明できる。
マイク口波入射面付近の電子密度は力ットオフ密度 ( 2 . 4 5 GHzにおいて 7 . 4 5 X 1 0 ¾πι·3)よりも十分高い (1 0 ¾ 3以上) ため、 プラズマ表面に入射 したマイクロ波は表面から侵入長( 3 mm位)程度しかブラズマ中に侵入できず、 ほぼ完全に反射される。 反射されたマイクロ波はアンテナで受信された後、 アン テナとマイク口波電源間に接続された整合器で反射されて再びァンテナから放射 される。 すなわち、 プラズマ表面近傍と整合器の間は、 マイクロ波の共振状態に なっている。この部分には高いエネルギ密度のマイク口波が存在することになり、 導波路の金属壁のわずかな導体損ゃスロットァンテナ内の誘電体のわずかな誘電 損により、 大きな損失が生じる。 この損失がマイクロ波からプラズマに与えられ る電力よりも大きい場合には、 プラズマ表面近傍と整合器の間のマイク口波電力 密度は、 プラズマの状態にあまり依存しない。 共振器中のマイクロ波電力密度を 一定と仮定すると、 誘電体部がァンテナ側の面がマイク口波電界の定在波の腹の 位置になるような厚さの時に誘電体中のマイクロ波電力密度が最大となり、 最も 効率よくプラズマを励起できる。 逆に、 誘電体部がアンテナ側の面が定在波の節 の位置になるような厚さの時に誘電体中のマイクロ波電力密度が最小となり、 プ ラズマ励起効率は最も低くなる。 誘電体部のアンテナ側の面をマイク口波電界の 定在波の腹の位置にするには、 誘電体隔壁のアンテナ側の面と誘電体シャワープ レート 1 0 3の基板側の面との間の距離が、 該当部の波長の 1 / 4の奇数倍にな つていればよい。 誘電体シャワープレート 1 0 3の基板側の面は、 導体と見なせ るプラズマの存在によりほぼ短絡面 (定在波の節の位置) と見なすことができる ためである。 図 7より、 電子密度が最大値をとる誘電体部の厚さは 3 0 mmおよ び 5 0 mmであることが分かる。 これらは誘電体隔壁のアンテナ側の面と誘電体 シャワープレート 1 0 3の基板側の面との距離が、 それぞれ波長の 3 Z 4倍およ び 5 Z 4倍に相当する。
従来の構成では、 誘電体部の厚さが機械的強度のみで決定されていたため、 プ ラズマ励起効率が低い場合が多く、 また励起効率が装置によってまちまちであつ た。 本発明の構成では、 プラズマ励起効率が 7 5 %程度と高く、 従来の構成の最 大 3 . 6倍にもなる。 即ち、 より低消費電力、 小型で安価なマイクロ波電源で高 密度プラズマを生成することが可能になった。
図 8は、 誘電体部の厚さを 3 0 mmに固定して誘電体シャワープレート 1 0 3 の厚さを変えたときに、 ギャップ 1 0 4中で放電が開始するマイクロ波電力密度 を測定した結果を示すグラフである。 ギャップ中で放電が開始するマイクロ波電 力密度は、 誘電体シャワープレート 1 0 3の厚さとともに周期的に変化すること が分かる。ギャップ中で放電するとプロセス空間のプラズマが不安定になるため、 放電は絶対に避けなければならない。 ギャップ中での放電を防止するには、 ギヤ ップがマイク口波電界の定在波の節の位置になるように誘電体シャワープレート 1 0 3の厚さを決めればよい。 すなわち、 誘電体シャワープレート 1 0 3の厚さ が、 該当部の波長の 1 Z 2の整数倍になっていればよい。 図 8より、 誘電体シャ ワープレート 1 0 3の厚さ力 2 0 mmのときギャップ 1 0 4中で最も放電しにく く、 1 0 mmのとき最も放電しやすいことが分かる。 これらは、 それぞれ波長の 1 / 2倍および 1 Z 4倍に相当する。
従来の構成では、 シャワープレートの厚さが機械的強度およびガスのコンダク 夕ンスのみで決定されていたため、 ギャップ中で放電しやすい場合が多く、 ブラ ズマに大電力を投入することが困難であった。 本発明の構成では、 プラズマに大 電力を投入してもギャップ 1 0 4中で放電することがないため、 常に安定な高密 度プラズマを励起することが可能である。
図 9は、 誘電体シャヮ一プレート 1 0 3と格子状シャワープレート 1 1 1との 間隔を変えたときに、 プロセス空間 (誘電体シャワープレート 1 0 3と基板 1 1 4の間) で放電が開始するマイクロ波電力密度と、 基板 1 1 4周辺の電子密度を 測定した結果を示すグラフである。
誘電体シャワープレート 1 0 3と格子状シャワープレート 1 1 1との間隔が波 長の 1 Z 4倍より短くなると、 プロセス空間において急に放電しにくくなること が分かる。 これは、 次のように説明される。 金属で構成された格子状シャワープ レート 1 1 1は、 格子間隔をマイクロ波の波長よりも十分短くしておくとマイク 口波の短絡面となる。 マイクロ波をチャンバ内に投入した後、 プラズマ着火前に は、 格子状シャワープレート 1 1 1への入射波と格子状シャワープレート 1 1 1 の表面付近で反射された反射波が定在波を形成する。 誘電体シャワープレート 1 0 3と格子状シャワープレート 1 1 1との間隔が波長の 1 / 4倍より長い場合に は、 プラズマ空間中にマイクロ波電界の定在波の腹の部分が存在し、 この電界が 強い部分で放電が開始する。 直後に、 そのプラズマを種としてマイクロ波入射面 付近に高密度プラズマが生成される。 一方、 誘電体シャワープレート 1 0 3と格 子状シャワープレート 1 1 1との間隔が波長の 1 Z 4倍をより短い場合には、 誘 電体シャワープレート 1 0 3の表面でマイク口波電界が最大になるが、 間隔が短 くなるにつれて電界強度が小さくなり放電しにくくなる。
図 9より、 基板周辺の電子密度は、 誘電体シャワープレート 1 0 3と格子状シ ャワープレ一ト 1 1 1との間隔が増大するにつれて低下することが分かる。 マイ ク口波入射面付近でプラズマが励起されて基板の方へ拡散するため、 マイク口波 入射面から離れるに従って電子密度が低下するためである。 低マイクロ波電力で 高速プロセスを実現するためには、 プロセス空間において放電しやすく、 基板周 辺の電子密度は高い方がよい。 これらの要請を両立させるには、 誘電体シャワー プレート 1 0 3と格子状シャワープレート 1 1 1との間隔を波長の 1 Z 4倍にす ればよいことになる。
図 1 0は、 誘電体部の厚さを 3 0 mmに固定してラジアルラインスロットアン テナ 1 1 0と誘電体シャワープレート 1 0 3との間隔を変えたときに、 アンテナ 1 1 0のスロット部で放電が開始するマイクロ波電力密度を測定した結果を示す グラフである。 スロット部で放電が開始するマイクロ波電力密度は、 アンテナ 1 1 0と誘電体シャワープレート 1 0 3との間隔とともに周期的に変ィ匕することが 分かる。 スロット部で放電するとアンテナ 1 1 0が破損し、 またプロセス空間の プラズマが不安定になるため、 絶対に避けなければならない。 スロット部での放 電を防止するには、 アンテナ 1 1 0の表面がマイクロ波電界の定在波の節の位置 になるようにアンテナ 1 1 0と誘電体シャワープレート 1 0 3との間隔を決めれ ばよい。 誘電体シャワープレート 1 0 3のアンテナ側の面でマイクロ波電界の定 在波が腹になっているとき、 すなわち誘電体隔壁のアンテナ側の面と誘電体シャ ワープレート 1 0 3の基板側の面との間の距離が該当部の波長の 1 / 4の奇数倍 に設定されている場合、 アンテナ 1 1 0と誘電体シャワープレート 1 0 3との間 隔が波長の 1 Z 4の奇数倍になっていればよい。 図 1 0より、 アンテナ 1 1 0と 誘電体シャワープレート 1 0 3との間隔が 3 0 mm及び 9 0 mmのとき、 スロッ ト部で最も放電が起こりにくく、 6 0 mmのときに最も放電が起こりやすいこと が分かる。 3 0 mm、 6 0 mmおよび 9 0 mmは、 それぞれ波長の 1 Z 4、 2 / 4および 3 Z 4倍に相当する。
従来の構成では、 アンテナ 1 1 0のスロット部で放電しやすい場合が多く、 プ ラズマに大電力を投入することが困難であった。 本発明の構成では、 プラズマに 大電力を投入してもスロット部で放電することがないため、 常に安定な高密度プ ラズマを励起することが可能である。
このように、 本実施例の装置を用いれば、 基板への高効率高周波バイアス印加 および高効率マイク口波プラズマ生成が可能になり、 高周波電源やマイクロ波電 源の小型化による装置専有面積の縮小、 装置の低コスト化を図ることができる。 さらに、 大電力のマイク口波を投入しても誘電体隔壁と誘電体シャワープレ一ト
1 0 3間のギャップやラジアルラインスロットアンテナ 1 1 0のスロット部で放 電することがないため、 より高密度で安定したプラズマを生成することが可能に なり、 高生産性プロセスが実現される。 プロセス用ガスの過剰解離が抑制され不 純物汚染がないため、 従来のプラズマプロセスを革新する高性能プロセスが可能 になる。
(実施例 2 )
次に、 本発明の第 2の実施例によるプラズマプロセス装置について図 1 1及び 図 1 2を参照しながら説明する。 図 1 1は、 本発明の第 2の実施例によるプラズ マプロセス装置に設けられた格子状シャワープレート 6 0 0を基板側から見た平 面図である。 図 1 2は図 1 1の X I I _ X I I線に沿った断面図である。 本発明 の第 2の実施例によるプラズマプロセス装置は、 図 1 1に示す格子状シャワープ レート 6 0 0以外は図 1に示す本発明の第 1の実施例によるプラズマプロセス装 置と同様であり、 その説明は省略する。
図 1 1に示すように、 格子状シャワープレート 6 0 0は、 本管 6 0 1、 枝管 6 0 2、 プロセス用ガス放出部 6 0 3 (ハッチング部)、及び格子状シャワープレー トガス供給口 6 0 4よりなる。 図 1 2に示すように、 枝管 6 0 2は被膜 6 0 6を 有する。 なお、 図 1 1において点線により示す円 6 0 5は、 基板に対向する領域 を示している。
本実施例では、 本管 6 0 1は気孔率 0 . 0 3 %のアルミナセラミックスにより 形成され、 枝管 6 0 2は気孔率 3 2 %の多孔質アルミナセラミックスにより形成 されている。枝管 6 0 2は格子状に配列されており、本管 6 0 1と枝管 6 0 2は、 セラミックス系の接着剤で接合されている。 したがって、 本管 6 0 1と枝管 6 0 2とにより開口部 6 0 7が形成されている。 多孔質アルミナセラミックスはガス を透過する性質があり、 管内の圧力を管外よりも適度に高い圧力にすることによ りシャワープレ一トとして機能する。 多孔質アルミナセラミックスをシャワープ レートに用いると、 上述の第 1の実施例のようにガス放出孔を多数設けた場合と 比較して、 より均一にガスを放出することが可能になる。 枝管 6 0 2の表面のう ちガス放出部以外は、 ガスが放出されないように被膜 6 0 6で覆われている。 被 膜 6 0 6は、 厚さ 2 2 0 ΓΠ、 気孔率 0 . 8 %のアルミナセラミックスである。 アルミナセラミックスは腐食性ガスプラズマに対する耐久性に優れており、 長 寿命のシャワープレートを構成できる。 一方、 電気伝導性がないため、 プラズマ が接する接地面を増大させる効果がなく、 基板表面に高いエネルギのイオンを入 射させなければならない反応性ィオンエッチング等のプロセスには適さない。 こ のため、 本実施例の装置は、 C VDや酸化、 窒化などの薄膜形成やレジストアツ シングに使用することが望ましい。
本実施例では格子状シャワープレート 6 0 0をアルミナセラミックスで構成し たが、 熱伝導率が高い窒化アルミニウムセラミックスで構成してもよい。 また、 アルミニウムやステンレスなどの金属を多量に含有する導電性のあるアルミナセ ラミックスを用いてもよい。 この場合には、 プラズマが接する接地面を増大させ る効果があるため、 基板表面に高いエネルギのィオンを入射させなければならな いプロセスにも適用可能になる。
(実施例 3 )
次に、 本発明の第 3の実施例によるプラズマプロセス装置について図 1 3及び 図 1 4を参照しながら説明する。 図 1 3は、 本発明の第 3の実施例によるプラズ マプロセス装置に設けられた格子状シャワープレート 7 0 0を基板側から見た平 面図である。 図 1 4は図 1 3の X I V— X I V線に沿った断面図である。 本発明 の第 3の実施例によるプラズマプロセス装置は、 図 1 3に示す格子状シャワープ レート 7 0 0以外は図 1に示す本発明の第 1の実施例によるプラズマプロセス装 置と同様であり、 その説明は省略する。
図 1 2に示す格子状シャワープレート 7 0 0は、 ガス導入路 7 0 1、 プロセス 用ガス放出孔 7 0 2、 格子状シャワープレートガス供給口 7 0 3、 格子状シャヮ 一プレート本体 7 0 5、 及び格子状シャワープレート蓋 7 0 6を有する。 なお、 点線により示す円 7 0 4は、 基板に相当する領域を示している。
断面が矩形のガス導入路 7 0 1が縦横に碁盤目状に形成されており、 隣り合う ガス導入路の間にはプラズマやガスを通過させるための開口部 7 0 7が形成され ている。 格子状シャワープレート本体 7 0 5および格子状シャワープレート蓋 7 0 6は、 マグネシウムを 3 %含有するアルミニウムで構成されており、 両者は電 子ビーム溶接により接合されている。 格子状シャワープレート本体 7 0 5および 格子状シャワープレート蓋 7 0 6は、 腐食性ガスに対する耐性を向上させるため に、 電子ビーム溶接後にフッ素ガス雰囲気中で熱処理が施され、 表面にフッ化マ グネシゥムとフッ化アルミニウムの混合膜が形成されている。
本実施例は、 上述の第 1の実施例と比較して、 格子状シャワープレート 7 0 0 の高周波電流が流れるパスの断面積が大きく抵抗率の小さな材料を用いているた め、よりプラズマと接地間のインピ一ダンスを低下させる効果が高い。すなわち、 より電力効率が高いプラズマ装置を構成できる。 なお、 本実施例では、 格子状シ ャワープレート 7 0 0をアルミニウムで構成したが、 ステンレスや高濃度アルミ ニゥム含有ステンレスで構成してもよい。
(実施例 4 )
図 1 5は、本発明の第 4の実施例によるプラズマプロセス装置の断面図である。 本発明の第 4の実施例によるプラズマプロセス装置は、 真空容器 8 0 1、 誘電体 隔壁 8 0 2、 誘電体シャワープレート 8 0 3、 ギャップ 8 0 4、 シャワープレー ト固定治具 8 0 5、 プラズマ励起用ガス供給口 8 0 6、 プラズマ励起用ガス放出 孔 8 0 7、 マイク口波導波路 8 0 8、 格子状シャワープレート 8 0 9、 プロセス 用ガス供給口 8 1 0、 プロセス用ガス放出孔 8 1 1、 ステージ 8 1 3、 及び排気 口 8 1 4を有する。 プラズマ処理される基板 8 1 2はステージ 8 1 3上に載置さ れる。
本実施例では、 真空容器 8 0 1はアルミニウム、 誘電体隔壁 8 0 2は酸ィ匕アル ミニゥム、 誘電体シャワープレート 8 0 3は窒化アルミニウム、 シャワープレ一 ト固定治具 8 0 5はアルミニウムで構成されている。 格子状シャワープレート 8 0 9は、上述の第 1乃至第 3の実施例のいずれか一つと同様な構成とされており、 上述の第 1の実施例と同様に、 酸化不働態処理された高濃度アルミニウム含有ス テンレスで形成されている。 プラズマ励起用マイクロ波の周波数は 2 . 4 5 GHz である。 基板 8 1 2は液晶ディスプレイ用の角形ガラス基板であり、 サイズは 5 5 0 X 6 5 0 mm2である。
マイク口波導波路 8 0 8は、 紙面に垂直方向に延在する単一モードの矩形導波 管であり、 下面は誘電体壁、 他の部分は金属壁で囲まれている。 マイクロ波は、 単一のマイクロ波電源で発生され、 装置の中央部付近にて導波管により 2本のマ イク口波導波路 8 0 8に分配して供給される。 マイクロ波導波路 8 0 8中を伝搬 するマイクロ波の一部は、 誘電体隔壁 8 0 2から漏れ出し、 誘電体シャワープレ —ト 8 0 3を介して真空容器 8 0 1内に導入され、 プラズマを励起する。 プラズ マが励起されると、 プラズマと誘電体シャワープレート 8 0 3との境界付近に誘 電体シャワープレート 8 0 3の表面に沿って伝搬する表面波が励起される。 均一 な表面波を励起することにより、 大面積で均一なプラズマが得られる。 本実施例 では 2枚の誘電体シャワープレート 8 0 3力設置されているが、 これらの表面を 伝搬する表面波が互いに干渉しないように、 誘電体シャワープレート 8 0 3の間 は接地されたシャワープレート固定治具 8 0 5で電気的に仕切られている。 誘電体シャワープレ一ト 8 0 3、 格子状シャワープレ一ト 8 0 9、 および基板 8 1 2は、 それぞれ平行に配置されている。 誘電体シャワープレート 8 0 3と格 子状シャワープレート 8 0 9との間隔はマイクロ波の波長の 1 4倍(3 0 mm) に設定されている。
本実施例のプラズマプロセス装置をバックゲート型の T F T (Thin Film Transistor) 液晶ディスプレイ製造に用いた。 適用したプロセスは、 C V D法に よる、 1 ) シリコン窒化膜形成プロセス、 2 ) シリコン窒化膜上への多結晶シリ コン膜形成プロセス、 3 ) 多結晶シリコン膜上への n+シリコン膜形成プロセス、 4 ) シリコン膜エッチングプロセス、 及び 5 ) シリコン表面直接酸化プロセスで あった。 以下の表 2は、 これらの各プロセスに使用したガス種と圧力が示されて いる。
表 2 各液晶ディスプレイ製造プロセスとそのプロセス条件
Figure imgf000024_0001
半導体でも液晶ディスプレイでも基板が大型化される傾向にある。 大型基板を 全くトラブルなく高速に搬送することは技術的に困難でコストもかかる。 また、 基板の大型化に伴い装置も大型化し、 装置や製造工場 (クリーンルーム) の初期 投資コスト、 ランニングコストが膨大なものになっている。 このため、 1台の装 置で多くのプロセスを連続して行い、 極力基板を移動させないで製造を行うこと が強く求められている。
本実施例では、 1 ) から 3 ) までのプロセスは、 基板 8 1 2を動かすことなく ガスを切り替えることにより連続して行うことができる。 4 ) と 5 ) のプロセス も同様である。 本実施例のプラズマプロセス装置は、 誘電体シャワープレート 8 0 3と格子状シャワープレート 8 0 9から放出されるガスを切り替えることによ り、 1台の装置で成膜、 エッチング、 酸化、 窒化、 アツシングなど多数のプラズ マプロセスを行えるという特徴があり、 このような連続プロセスにも柔軟に対応 できる。
以下の表 3は、 現在液晶ディスプレイ製造に広く用いられている平行平板型プ ラズマプロセス装置 (従来の装置) と本実施例によるプラズマプロセス装置を用 いて同じプロセスを行った場合の結果を比較したものである。
表 3
従来の装置と本発明の装置のプロセス性能の比較
Figure imgf000025_0001
シリコン窒化膜はゲート絶縁膜や層間絶縁膜として用いられ、 高耐圧でリーク 電流が小さい膜を高速に成膜することが求められている。 本実施例の装置では、 成膜表面に入射するイオンのエネルギが従来の装置の 1 / 3以下と低く (4〜 7 eV)、 薄膜にイオン照射ダメージを与えることがないため、 従来の 3倍近い耐圧 を有する高品質シリコン窒化膜が形成されている。 さらに、 電子密度が従来の平 行平板型プラズマ装置より 1桁程度高いため (〉2 X 10i2 Cn 3)、 成膜速度が速く 生産性が飛躍的に向上する。
シリコン膜は、 T F Tの心臓部であるチャネル部に用いられる。 トランジスタ の電流駆動能力を向上させるために、 チャネル移動度が高いシリコン膜を絶縁膜 上に堆積させる必要がある。 従来の装置では、 アモルファス膜しか形成できなか つたため移動度が非常に低かった (0 . 2 cm2/V'sec @¾)。 アモルファス膜にレ —ザ一を照射して多結晶化するレーザァニール処理を行うと高移動度の多結晶シ リコン膜が得られるが、処理に非常に時間がかかり実用的ではない。本発明のマイク 口波プラズマプロセス装置を用いると、 2 5 0 °Cという低い基板温度でァニール なしで 2 8 0 cm2/Vsec程度の高移動度多結晶シリコン膜が C VD法で堆積でき た。 また、 高速成膜が可能で生産性にも優れ、 他に類を見ない画期的な薄膜形成 技術である。
n+シリコン膜は、 T F Tのソース、 ドレインコンタクト部に用いられ、 トラン ジス夕の電流駆動能力を向上させるためにキヤリァ密度が高く、 抵抗率が小さい ことが求められる。 本発明の装置を用いると、 成膜表面に照射するイオンのエネ ルギが小さいため膜にダメージを与えることがなく、 キヤリァの活性化率が向上 してより抵抗率の小さな膜が得られた。
表 3の 4 ) シリコン膜エッチングプロセス及び 5 ) シリコン直接酸化プロセス は、基板 8 1 2を装置外部に取り出すことなく同一チャンバで連続して行われた。 バックゲート型 T F Tのソースとドレイン間のギヤップをェッチングした後、 下 地のソース、 ドレインコンタクト用 n+シリコン (アモルファスまたはマイクロク リスタル) 膜を酸化して絶縁物 ( S i 02) に代えてソースとドレイン間を絶縁す る行程である。 エッチングは高速で行わなければならないが、 本実施例の装置を 用いるとプラズマ密度が高いためェッチング反応が促進されて従来の倍以上のェ ツチング速度が得られた。
ソースとドレイン間の絶縁をとるには、 11+シリコン膜 (膜厚 1 5 mn程度) の 内部まで完全に酸化する必要がある。 このとき、 基板温度は 3 5 0 °C程度以下で なければならない。従来の装置で基板温度 3 0 0 °Cの低温プラズマ酸ィ匕を行うと、 3分で 7 nm程度の深さまでしか酸化が進まない。 このため、 膜全体を酸化する ことができず、 ソースとドレイン間の絶縁はとれない。 一方、 本実施例の装置で は、 基板温度 3 0 0でにおいて 3分の酸ィ匕で 2 8 nm以上の深さまで酸化が進行 するため、 n +シリコン膜全体を酸化してソースとドレイン間を完全に絶縁するこ とが可能である。 これは、 電子密度が高いため酸化種である酸素ラジカル力多量 に生成され、 かつ基板表面への多量のイオン照射により酸素ラジカルの酸ィヒ膜中 の拡散が促進されるためである。
本発明は具体的に開示された上述の実施例に限定されるものではなく、 本発明 の範囲を逸脱すること無く様々な改良例及び変形例がなされるであろう,

Claims

請求の範囲
1. 内部が減圧可能な容器 (101) と、 該容器内にガスを供給するガス供 給システムと、 該容器内に供給されたガスを排気するとともに該容器内を減圧す るための排気システムとを有し、 該容器 (101) を構成する壁の一部はマイク 口波を実質的に損失なく透過する材料からなる平板状の誘電体板 (102) であ り、 該誘電体板と該容器内に励起されたプラズマの間にはマイクロ波を実質的に 損失なく透過する材料からなる平板状の誘電体シャワープレート (103) を有 し、 該誘電体シャワープレートには複数のガス放出孔 (107) が形成されてお り、 該ガス供給システムにより供給されたガスのうち少なくとも一部は該誘電体 板 (102) と該誘電体シャワープレート (103) との間の隙間 (104) を 通って該複数のガス放出孔 (107) 力 放出されるように構成されており、 該 誘電体板 (102) を挟んで該容器 (101) の外側には該誘電体板を通してプ ラズマ励起用のマイクロ波を供給するための平板状のスロットアンテナ(110) を有し、 該容器の内側には非処理基体 (114) を保持する電極 (115) が設 けられ、 該非処理基体 (114) に対して処理を行うプラズマプロセス装置であ つて、
該誘電体シャワープレート (103) と該非処理基体 (114) との間に、 該 誘電体シャワープレートから放出されるガスとは異なる組成のガスを該非処理基 体側に放出する格子状シャワープレート (111 ; 600 ; 700) を有し、 該 誘電体シャワープレート (103) から放出されたガスのうち少なくとも一部は 該格子状シャワープレートの開口部 (206 ; 607 ; 707) を通って該処理 基体側に流れるように構成されていることを特徴とするプラズマプロセス装置。 2. 請求の範囲第 1項記載のプラズマプロセス装置であって、 前記格子状シ ャヮ一プレート (111 : 600) は金属管で構成され、 該金属管の前記非処理 基体側には複数のガス放出孔 (203 : 603) が設けられ、 且つ該金属管は接 地されていることを特徴とするプラズマプロセス装置。
3. 請求の範囲第 2項記載のプラズマプロセス装置であって、 前記金属管は アルミニウムを含んだステンレスで構成され、 表面は酸化アルミニウムを主体と する不働態膜で被覆されていることを特徴とするプラズマプロセス装置。
4. 請求の範囲第 1項記載のプラズマプロセス装置であって、
前記誘電体シャワープレート (103) と前記格子状シャワープレート (11 1 ; 600; 700) とは実質的に互いに平行に配置されており、 それらの間隔が 前記マイク口波の真空中での波長の 1 / 4倍に実質的に等しいことを特徴とする プラズマプロセス装置。
5. 請求の範囲第 1項乃至第 4項のうちいずれか一項記載のプラズマプロセ ス装置であって、 前記誘電体板 (102) と前記誘電体シャワープレート (10 3) は実質的に平行に配置されており、 前記誘電体板 (102) の前記スロット アンテナ側の面と前記誘電体シャワープレート (103) の前記非処理基体側の 面との間の距離が、 前記マイクロ波の該当部における波長の 1Z4の奇数倍に実 質的に等しいことを特徴とするプラズマプロセス装置。
6. 請求の範囲第 5項記載のプラズマプロセス装置であって、 前記スロット アンテナ (110) と前記誘電体板 (102) は実質的に互いに平行に配置され ており、 それらの間隔が前記マイク口波の該当部における波長の 1 Z4の奇数倍 に実質的に等しいことを特徴とするプラズマプロセス装置。
7. 請求の範囲第 1項乃至第 4項のうちいずれか一項記載のプラズマプロセ ス装置であって、 前記誘電体シャワープレート (103) の厚さが、 前記マイク 口波の該当部における波長の 1/2の整数倍に実質的に等しいことを特徴とする プラズマプロセス装置。
8. 請求の範囲第 7項記載のプラズマプロセス装置であって、 前記スロット アンテナ (110) と前記誘電体板 (102) は実質的に互いに平行に配置され ており、 それらの間隔が前記マイク口波の該当部における波長の 1 Z4の奇数倍 に実質的に等しいことを特徴とするプラズマプロセス装置。
9. 内部が減圧可能な容器 (801) と、 該容器内にガスを供給するガス供 給システムと、 該容器内に供給されたガスを排気するとともに該容器内を減圧す るための排気システムとを有し、 該容器 (801) を構成する壁の一部はマイク 口波を実質的に損失なく透過する材料からなる平板状の誘電体板 (802) であ り、 該容器の該誘電体板以外の壁の少なくとも一部は接地された金属壁であり、 該誘電体板 (802) および該金属壁と該容器内に励起されたプラズマの間には マイク口波を実質的に損失なく透過する材料からなる平板状の誘電体シャワープ レート (803) を有し、 該誘電体シャワープレートには複数のガス放出孔 (8 07) が形成されており、 該ガス供給システムにより供給されたガスのうち少な くとも一部は該金属壁と該誘電体シャワープレート (803) との間の隙間 (8 04) を通って該複数のガス放出孔 (807) から放出されるように構成されて おり、 該誘電体板 (802) 挟んで該容器 (801) の外側には壁の一部が該誘 電体板 (802) で構成された単一モードの導波管 (808) を有し、 該容器の 内側には非処理基体 (812) を保持する電極 (813) を設け、 該非処理基体 に対して処理を行うプラズマプロセス装置において、
該誘電体シャワープレート (803) と該非処理基体 (812) との間には、 該誘電体シャワープレートから放出されるガスとは異なる組成のガスを該非処理 基体側に放出する格子状シャワープレート (809) が設けられ、 該誘電体シャ ワープレート (803) 力 放出されたガスのうち少なくとも一部は該格子状シ ャヮ一プレート (809) の開口部を通って該処理基体側に流れるように構成さ れていることを特徴とするプラズマプロセス装置。
10. 請求の範囲第 9項記載のプラズマプロセス装置であって、 前記格子状 シャワープレート (809) は金属管で構成され、 該金属管の前記非処理基体側 の面には複数のガス放出孔 (811) が設けられ、 該金属管は接地されているこ とを特徴とするプラズマプロセス装置。
11. 請求の範囲第 10項記載のプラズマプロセス装置であって、 前記金属 管はアルミニウムを含んだステンレスで構成され、 表面は酸化アルミニウムを主 体とする不働態膜で被覆されていることを特徴とするプラズマプロセス装置。
12. 請求の範囲第 9項乃至第 11項のうちいずれか一項記載のプラズマプ ロセス装置であって、 前記誘電体シャワープレート (803) と前記格子状シャ ワープレート (809) は実質的に互いに平行に配置されており、 それらの間隔 が前記マイクロ波の真空中での波長の 1ノ 4倍に実質的に等しいことを特徴とす るプラズマプロセス装置。
13. 内部が減圧可能な容器 (101) と、 該容器内にガスを供給するガス 供給システムと、 該容器内に供給されたガスを排気するとともに該容器内を減圧 するための排気システムとを有し、 該容器を構成する壁の一部はマイクロ波を実 質的に損失なく透過する材料からなる平板状の誘電体板 (102) であり、 該誘 電体板と該容器内に励起されたプラズマの間にはマイク口波を実質的に損失なく 透過する材料からなる平板状の誘電体シャワープレート (103) を有し、 該誘 電体シャワープレートには複数のガス放出孔 (107) が形成されており、 該ガ ス供給システムにより供給されたガスのうち少なくとも一部は該誘電体板 (10 2) と該誘電体シャワープレート (103) との間の隙間 (104) を通って該 複数のガス放出孔 (107) 力、ら放出されるように構成されており、 該誘電体板
(102) を挾んで該容器 (101) の外側には該誘電体板を通してプラズマ励 起用のマイクロ波を供給するための平板状のスロットアンテナ(110)を有し、 該容器の内側には非処理基体 (114) を保持する電極 (115) を設け、 該非 処理基体に対して処理を行うプラズマプロセス装置であって、
該スロットアンテナ (110)、 該誘電体板 (102)、 該誘電体シャワープレ ート (103)はそれぞれ実質的に互いに平行に配置されており、該誘電体板(1 02) の該スロットアンテナ側の面と該誘電体シャワープレート (103) の該 非処理基体側の面との間の距離が、 該マイク口波の該当部における波長の 1 /4 の奇数倍に実質的に等しいことを特徴とするプラズマプロセス装置。
14. 請求の範囲第 13項記載のプラズマプロセス装置であって、 前記誘電 体シャワープレート (103) の厚さが、 前記マイクロ波の該当部における波長 の 1Z2の整数倍に実質的に等しいことを特徴とするプラズマプロセス装置。
15. 請求の範囲第 13項又は第 14項記載のプラズマプロセス装置であつ て、 前記スロットアンテナ (110) と前記誘電体板 (102) との間隔が、 前 記マイクロ波の該当部における波長の 1/4の奇数倍に実質的に等しいことを特 徴とするプラズマプロセス装置。
PCT/JP2000/003365 1999-05-26 2000-05-25 Dispositif de traitement au plasma WO2000074127A1 (fr)

Priority Applications (5)

Application Number Priority Date Filing Date Title
EP00931563A EP1115147A4 (en) 1999-05-26 2000-05-25 DEVICE FOR PLASMA TREATMENT
JP2001500327A JP3384795B2 (ja) 1999-05-26 2000-05-25 プラズマプロセス装置
US09/678,741 US6830652B1 (en) 1999-05-26 2000-10-04 Microwave plasma processing apparatus
US10/861,388 US7520245B2 (en) 1999-05-26 2004-06-07 Plasma processing apparatus
US12/402,172 US7819082B2 (en) 1999-05-26 2009-03-11 Plasma processing apparatus

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP11/186258 1999-05-26
JP18625899 1999-05-26

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US09/678,741 Continuation US6830652B1 (en) 1999-05-26 2000-10-04 Microwave plasma processing apparatus

Publications (1)

Publication Number Publication Date
WO2000074127A1 true WO2000074127A1 (fr) 2000-12-07

Family

ID=16185137

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2000/003365 WO2000074127A1 (fr) 1999-05-26 2000-05-25 Dispositif de traitement au plasma

Country Status (6)

Country Link
US (3) US6830652B1 (ja)
EP (2) EP1879213B1 (ja)
JP (1) JP3384795B2 (ja)
KR (1) KR100416308B1 (ja)
TW (1) TW477009B (ja)
WO (1) WO2000074127A1 (ja)

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002080250A1 (fr) * 2001-03-28 2002-10-10 Tokyo Electron Limited Dispositif de traitement au plasma
WO2002080251A1 (fr) * 2001-03-28 2002-10-10 Tokyo Electron Limited Dispositif de traitement au plasma
WO2002080253A1 (fr) * 2001-03-28 2002-10-10 Tokyo Electron Limited Dispositif et procede de traitement par plasma, et plaque a onde lente
WO2002080248A1 (en) * 2001-03-28 2002-10-10 Tokyo Electron Limited Plasma processing device
GB2374454A (en) * 2001-01-11 2002-10-16 Samsung Electronics Co Ltd Gas injector and etching apparatus comprising the same
JP2003059900A (ja) * 2001-08-15 2003-02-28 Sony Corp プラズマ処理装置及びプラズマ処理方法
WO2004006319A1 (ja) * 2002-07-05 2004-01-15 Tokyo Electron Limited プラズマ処理装置
JP2005033167A (ja) * 2003-06-19 2005-02-03 Tadahiro Omi シャワープレート、プラズマ処理装置、及び、製品の製造方法
JP2005093737A (ja) * 2003-09-17 2005-04-07 Tadahiro Omi プラズマ成膜装置,プラズマ成膜方法,半導体装置の製造方法,液晶表示装置の製造方法及び有機el素子の製造方法
WO2005067022A1 (ja) * 2003-12-26 2005-07-21 Tadahiro Ohmi シャワープレート、プラズマ処理装置、及び製品の製造方法
JP2005268651A (ja) * 2004-03-19 2005-09-29 Advanced Lcd Technologies Development Center Co Ltd 絶縁膜の形成方法及び絶縁膜形成装置
EP1300876A4 (en) * 2001-03-28 2005-12-07 Tadahiro Ohmi PLASMA TREATMENT DEVICE
WO2006033166A1 (ja) * 2004-09-24 2006-03-30 Tadahiro Ohmi 有機el発光素子、その製造方法および表示装置
JP2006324400A (ja) * 2005-05-18 2006-11-30 Shimadzu Corp シャワーヘッドおよび表面波励起プラズマ処理装置
JP2007005705A (ja) * 2005-06-27 2007-01-11 Tokyo Electron Ltd 処理ガス供給構造およびプラズマ処理装置
JP2007194355A (ja) * 2006-01-18 2007-08-02 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
JP2007208208A (ja) * 2006-02-06 2007-08-16 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
JP2007221116A (ja) * 2006-01-20 2007-08-30 Tokyo Electron Ltd プラズマ処理装置
JP2007258570A (ja) * 2006-03-24 2007-10-04 Mitsui Eng & Shipbuild Co Ltd プラズマ処理装置
JP2007317745A (ja) * 2006-05-23 2007-12-06 Shimadzu Corp ガス導入装置
JP2008091571A (ja) * 2006-09-29 2008-04-17 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理装置の使用方法およびガス供給管パーツの製造方法
KR100828502B1 (ko) * 2001-04-14 2008-05-13 삼성전자주식회사 건식 식각 장치
JP2010062582A (ja) * 2009-11-17 2010-03-18 Tohoku Univ プラズマ処理装置
JP2011049595A (ja) * 2010-11-08 2011-03-10 Advanced Lcd Technologies Development Center Co Ltd 絶縁膜の形成装置
US8021975B2 (en) 2007-07-24 2011-09-20 Tokyo Electron Limited Plasma processing method for forming a film and an electronic component manufactured by the method
US8026187B2 (en) 2009-01-21 2011-09-27 Tokyo Electron Limited Method of forming silicon oxide film and method of production of semiconductor memory device using this method
US8197913B2 (en) 2007-07-25 2012-06-12 Tokyo Electron Limited Film forming method for a semiconductor

Families Citing this family (167)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW477009B (en) * 1999-05-26 2002-02-21 Tadahiro Ohmi Plasma process device
JP4504511B2 (ja) * 2000-05-26 2010-07-14 忠弘 大見 プラズマ処理装置
JP2002299240A (ja) * 2001-03-28 2002-10-11 Tadahiro Omi プラズマ処理装置
JP4090225B2 (ja) * 2001-08-29 2008-05-28 東京エレクトロン株式会社 半導体装置の製造方法、及び、基板処理方法
JP2003166047A (ja) * 2001-09-20 2003-06-13 Shin Meiwa Ind Co Ltd ハロゲン化合物の成膜方法及び成膜装置、並びにフッ化マグネシウム膜
JP4252749B2 (ja) * 2001-12-13 2009-04-08 忠弘 大見 基板処理方法および基板処理装置
US6845734B2 (en) * 2002-04-11 2005-01-25 Micron Technology, Inc. Deposition apparatuses configured for utilizing phased microwave radiation
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
JP4381001B2 (ja) * 2003-02-25 2009-12-09 シャープ株式会社 プラズマプロセス装置
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
JP4179041B2 (ja) * 2003-04-30 2008-11-12 株式会社島津製作所 有機el用保護膜の成膜装置、製造方法および有機el素子
CN101068950A (zh) * 2003-05-30 2007-11-07 阿维扎技术公司 气体分配系统
US20060124455A1 (en) * 2003-06-02 2006-06-15 Yizhou Song Thin film forming device and thin film forming method
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
JP4273932B2 (ja) * 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
TW200537695A (en) * 2004-03-19 2005-11-16 Adv Lcd Tech Dev Ct Co Ltd Insulating film forming method, insulating film forming apparatus, and plasma film forming apparatus
JP4351571B2 (ja) * 2004-03-31 2009-10-28 財団法人国際科学振興財団 プラズマ処理方法及び電子装置の製造方法
KR100574569B1 (ko) * 2004-04-30 2006-05-03 주성엔지니어링(주) 박막 증착방법 및 분리된 퍼지가스 분사구를 구비하는박막 증착장치
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
JP3913244B2 (ja) * 2004-10-21 2007-05-09 松下電器産業株式会社 基板処理方法
CN101065999B (zh) * 2004-11-29 2011-04-06 皇家飞利浦电子股份有限公司 用于产生波长范围从大约1nm至大约30nm的辐射并在光刻装置或计量学中使用的方法和设备
JP2006273670A (ja) * 2005-03-29 2006-10-12 Ngk Insulators Ltd アルミナ管
TW200640301A (en) * 2005-05-12 2006-11-16 Shimadzu Corp Surface wave plasma processing apparatus
JP4664119B2 (ja) * 2005-05-17 2011-04-06 東京エレクトロン株式会社 プラズマ処理装置
JP2007025117A (ja) * 2005-07-14 2007-02-01 Seiko Epson Corp 配向膜の製造装置、液晶装置、及び電子機器
KR20080033406A (ko) * 2005-07-29 2008-04-16 에비자 테크놀로지, 인크. 반도체 처리용 증착 장치
JP4724487B2 (ja) * 2005-08-02 2011-07-13 横浜ゴム株式会社 タイヤ加硫成形用金型の洗浄方法及びその装置
WO2007034747A1 (ja) * 2005-09-22 2007-03-29 Sekisui Chemical Co., Ltd. プラズマ処理装置
CN101351871B (zh) * 2005-11-02 2010-08-18 松下电器产业株式会社 等离子体处理装置
WO2007055185A1 (ja) * 2005-11-08 2007-05-18 Tohoku University シャワープレート及びシャワープレートを用いたプラズマ処理装置
US7493869B1 (en) * 2005-12-16 2009-02-24 The United States Of America As Represented By The Administration Of Nasa Very large area/volume microwave ECR plasma and ion source
US20080254220A1 (en) * 2006-01-20 2008-10-16 Tokyo Electron Limited Plasma processing apparatus
JP4978985B2 (ja) * 2006-03-30 2012-07-18 東京エレクトロン株式会社 プラズマ処理方法
JP2007273637A (ja) * 2006-03-30 2007-10-18 Tokyo Electron Ltd マイクロ波プラズマ処理装置,マイクロ波プラズマ処理装置の製造方法およびプラズマ処理方法
JP2008047869A (ja) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
KR101123538B1 (ko) * 2006-07-28 2012-03-15 도쿄엘렉트론가부시키가이샤 석영제부재
JP5425361B2 (ja) * 2006-07-28 2014-02-26 東京エレクトロン株式会社 プラズマ表面処理方法、プラズマ処理方法およびプラズマ処理装置
JP5010234B2 (ja) 2006-10-23 2012-08-29 北陸成型工業株式会社 ガス放出孔部材を一体焼結したシャワープレートおよびその製造方法
US9157191B2 (en) * 2006-11-02 2015-10-13 Apjet, Inc. Treatment of fibrous materials using atmospheric pressure plasma polymerization
JP5252613B2 (ja) * 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
JP5188496B2 (ja) * 2007-03-22 2013-04-24 パナソニック株式会社 プラズマ処理装置及びプラズマ処理方法
CN101657565A (zh) * 2007-04-17 2010-02-24 株式会社爱发科 成膜装置
JP5364293B2 (ja) * 2007-06-01 2013-12-11 株式会社半導体エネルギー研究所 表示装置の作製方法およびプラズマcvd装置
US20080314311A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Hvpe showerhead design
JP5058084B2 (ja) * 2007-07-27 2012-10-24 株式会社半導体エネルギー研究所 光電変換装置の作製方法及びマイクロ波プラズマcvd装置
JP5216446B2 (ja) * 2007-07-27 2013-06-19 株式会社半導体エネルギー研究所 プラズマcvd装置及び表示装置の作製方法
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
JP5137798B2 (ja) 2007-12-03 2013-02-06 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP5572307B2 (ja) 2007-12-28 2014-08-13 株式会社半導体エネルギー研究所 光電変換装置の製造方法
KR101431197B1 (ko) * 2008-01-24 2014-09-17 삼성전자주식회사 원자층 증착설비 및 그의 원자층 증착방법
US8361276B2 (en) 2008-02-11 2013-01-29 Apjet, Inc. Large area, atmospheric pressure plasma for downstream processing
JP4585574B2 (ja) * 2008-02-26 2010-11-24 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP2009302324A (ja) * 2008-06-13 2009-12-24 Tokyo Electron Ltd ガスリング、半導体基板処理装置および半導体基板処理方法
US8491720B2 (en) 2009-04-10 2013-07-23 Applied Materials, Inc. HVPE precursor source hardware
US8183132B2 (en) * 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
WO2010124261A2 (en) * 2009-04-24 2010-10-28 Applied Materials, Inc. Substrate pretreatment for subsequent high temperature group iii depositions
US20100273291A1 (en) * 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
CN102414797A (zh) * 2009-04-29 2012-04-11 应用材料公司 在HVPE中形成原位预GaN沉积层的方法
TWI556309B (zh) 2009-06-19 2016-11-01 半導體能源研究所股份有限公司 電漿處理裝置,形成膜的方法,和薄膜電晶體的製造方法
KR101747158B1 (ko) 2009-11-06 2017-06-14 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치를 제작하기 위한 방법
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US8598586B2 (en) * 2009-12-21 2013-12-03 Semiconductor Energy Laboratory Co., Ltd. Thin film transistor and manufacturing method thereof
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
WO2012032596A1 (ja) * 2010-09-06 2012-03-15 株式会社イー・エム・ディー プラズマ処理装置
TWI538218B (zh) 2010-09-14 2016-06-11 半導體能源研究所股份有限公司 薄膜電晶體
RU2484549C2 (ru) * 2010-10-25 2013-06-10 Российская Федерация, от имени которой выступает Государственная корпорация по атомной энергии "Росатом" Лазерно-плазменный генератор многозарядных ионов
TWI418264B (zh) * 2010-12-09 2013-12-01 Ind Tech Res Inst 電漿裝置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9499905B2 (en) * 2011-07-22 2016-11-22 Applied Materials, Inc. Methods and apparatus for the deposition of materials on a substrate
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
WO2013122954A1 (en) * 2012-02-13 2013-08-22 Applied Materials, Inc. Linear pecvd apparatus
CN103388132B (zh) 2012-05-11 2015-11-25 中微半导体设备(上海)有限公司 气体喷淋头、其制造方法及薄膜生长反应器
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20150118416A1 (en) * 2013-10-31 2015-04-30 Semes Co., Ltd. Substrate treating apparatus and method
US9530621B2 (en) 2014-05-28 2016-12-27 Tokyo Electron Limited Integrated induction coil and microwave antenna as an all-planar source
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6624833B2 (ja) * 2015-07-31 2019-12-25 東京エレクトロン株式会社 マイクロ波プラズマ源およびプラズマ処理装置
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
AT519217B1 (de) 2016-10-04 2018-08-15 Carboncompetence Gmbh Vorrichtung und Verfahren zum Aufbringen einer Kohlenstoffschicht
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
JP2019161165A (ja) * 2018-03-16 2019-09-19 東京エレクトロン株式会社 プラズマ処理装置
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
RU2685418C1 (ru) * 2018-07-03 2019-04-18 Федеральное государственное бюджетное учреждение "Институт теоретической и экспериментальной физики имени А.И. Алиханова Национального исследовательского центра "Курчатовский институт" Лазерно-плазменный генератор ионов с активной системой электростатической фокусировки пучка
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7233348B2 (ja) * 2019-09-13 2023-03-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11851758B2 (en) * 2021-04-20 2023-12-26 Applied Materials, Inc. Fabrication of a high temperature showerhead
TWI809706B (zh) * 2022-02-10 2023-07-21 緊固電子束科技有限公司 氣體擴散結構及其維修方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0252328U (ja) * 1988-09-30 1990-04-16
JPH06260434A (ja) * 1993-03-04 1994-09-16 Nissin Electric Co Ltd プラズマcvd装置
WO1997048834A1 (fr) * 1996-06-20 1997-12-24 Ultraclean Technology Research Institute Procede de formation d'une couche passive a l'oxydation, d'une piece au contact d'un fluide et d'un systeme d'alimentation/decharge de fluide
WO1998033362A1 (fr) * 1997-01-29 1998-07-30 Tadahiro Ohmi Dispositif a plasma
JPH11302824A (ja) * 1998-04-22 1999-11-02 Tadahiro Omi 酸化アルミニウム不働態膜の形成方法並びに接流体部品及び流体供給・排気システム

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5364519A (en) * 1984-11-30 1994-11-15 Fujitsu Limited Microwave plasma processing process and apparatus
JPH0644558B2 (ja) * 1987-11-04 1994-06-08 住友金属工業株式会社 マイクロ波プラズマ発生装置
JPH0252328A (ja) 1988-08-15 1990-02-21 Fuji Photo Film Co Ltd 画像情報読取装置
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
JP2993675B2 (ja) * 1989-02-08 1999-12-20 株式会社日立製作所 プラズマ処理方法及びその装置
JPH02237020A (ja) * 1989-03-10 1990-09-19 Fujitsu Ltd 半導体製造装置
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
EP0413239B1 (en) * 1989-08-14 1996-01-10 Applied Materials, Inc. Gas distribution system and method of using said system
JPH03120382A (ja) * 1989-10-04 1991-05-22 Hitachi Ltd プラズマ処理装置の冷却方法及び同冷却構造
US6545420B1 (en) * 1990-07-31 2003-04-08 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US6518195B1 (en) * 1991-06-27 2003-02-11 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
JPH0521393A (ja) * 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
FR2693619B1 (fr) * 1992-07-08 1994-10-07 Valeo Vision Dispositif pour le dépôt de polymère par l'intermédiaire d'un plasma excité par micro-ondes.
JP3132599B2 (ja) 1992-08-05 2001-02-05 株式会社日立製作所 マイクロ波プラズマ処理装置
JPH06112141A (ja) * 1992-09-25 1994-04-22 Sumitomo Metal Ind Ltd マイクロ波プラズマ装置
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5556475A (en) * 1993-06-04 1996-09-17 Applied Science And Technology, Inc. Microwave plasma reactor
JPH0734253A (ja) * 1993-07-20 1995-02-03 Hitachi Ltd マイクロ波プラズマ処理装置
TW296534B (ja) * 1993-12-17 1997-01-21 Tokyo Electron Co Ltd
JP3422583B2 (ja) * 1994-03-23 2003-06-30 東京エレクトロン株式会社 処理装置
JP3136054B2 (ja) * 1994-08-16 2001-02-19 東京エレクトロン株式会社 プラズマ処理装置
JPH0963793A (ja) 1995-08-25 1997-03-07 Tokyo Electron Ltd プラズマ処理装置
US5698036A (en) * 1995-05-26 1997-12-16 Tokyo Electron Limited Plasma processing apparatus
JPH09115882A (ja) * 1995-10-19 1997-05-02 Hitachi Ltd プラズマ処理方法およびその装置
US5955382A (en) * 1995-10-30 1999-09-21 Kabushiki Kaisha Toshiba Microwave excitation plasma processing apparatus and microwave excitation plasma processing method
TW312815B (ja) * 1995-12-15 1997-08-11 Hitachi Ltd
TW340957B (en) * 1996-02-01 1998-09-21 Canon Hanbai Kk Plasma processor and gas release device
US5803975A (en) * 1996-03-01 1998-09-08 Canon Kabushiki Kaisha Microwave plasma processing apparatus and method therefor
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
JPH1064881A (ja) * 1996-08-20 1998-03-06 Hitachi Ltd プラズマエッチング装置及びプラズマエッチング方法
US5874706A (en) * 1996-09-26 1999-02-23 Tokyo Electron Limited Microwave plasma processing apparatus using a hybrid microwave having two different modes of oscillation or branched microwaves forming a concentric electric field
US6059922A (en) * 1996-11-08 2000-05-09 Kabushiki Kaisha Toshiba Plasma processing apparatus and a plasma processing method
JP3966932B2 (ja) * 1996-11-20 2007-08-29 富士通株式会社 アッシング装置
JP3844274B2 (ja) * 1998-06-25 2006-11-08 独立行政法人産業技術総合研究所 プラズマcvd装置及びプラズマcvd方法
JP2000100790A (ja) * 1998-09-22 2000-04-07 Canon Inc プラズマ処理装置及びそれを用いた処理方法
JP2000195800A (ja) * 1998-12-24 2000-07-14 Rohm Co Ltd 表面処理装置
JP3430053B2 (ja) * 1999-02-01 2003-07-28 東京エレクトロン株式会社 プラズマ処理装置
KR100745495B1 (ko) * 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 반도체 제조방법 및 반도체 제조장치
US7537672B1 (en) * 1999-05-06 2009-05-26 Tokyo Electron Limited Apparatus for plasma processing
TW477009B (en) * 1999-05-26 2002-02-21 Tadahiro Ohmi Plasma process device
JP3668079B2 (ja) * 1999-05-31 2005-07-06 忠弘 大見 プラズマプロセス装置
JP2001203099A (ja) * 2000-01-20 2001-07-27 Yac Co Ltd プラズマ生成装置およびプラズマ処理装置
KR100635975B1 (ko) * 2000-02-14 2006-10-20 동경 엘렉트론 주식회사 플라즈마 처리 장치 및 방법과, 플라즈마 처리 장치용 링 부재
TW527683B (en) * 2000-03-13 2003-04-11 Tadahiro Ohmi Formation method of dielectric film
JP4713752B2 (ja) * 2000-12-28 2011-06-29 財団法人国際科学振興財団 半導体装置およびその製造方法
JP5010781B2 (ja) * 2001-03-28 2012-08-29 忠弘 大見 プラズマ処理装置
IL153154A (en) * 2001-03-28 2007-03-08 Tadahiro Ohmi Plasma processing device
JP4001498B2 (ja) * 2002-03-29 2007-10-31 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜の形成システム
JP4369264B2 (ja) * 2003-03-25 2009-11-18 東京エレクトロン株式会社 プラズマ成膜方法
US7879182B2 (en) * 2003-12-26 2011-02-01 Foundation For Advancement Of International Science Shower plate, plasma processing apparatus, and product manufacturing method
TW200537695A (en) * 2004-03-19 2005-11-16 Adv Lcd Tech Dev Ct Co Ltd Insulating film forming method, insulating film forming apparatus, and plasma film forming apparatus
JP4843274B2 (ja) * 2004-08-25 2011-12-21 東京エレクトロン株式会社 プラズマ成膜方法
JP2006135303A (ja) * 2004-10-05 2006-05-25 Tokyo Electron Ltd プラズマ成膜方法及びプラズマ成膜装置、並びにプラズマ成膜装置に用いられる記憶媒体
JP4701691B2 (ja) * 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
TW200709296A (en) * 2005-05-31 2007-03-01 Tokyo Electron Ltd Plasma treatment apparatus and plasma treatment method
JP4997842B2 (ja) * 2005-10-18 2012-08-08 東京エレクトロン株式会社 処理装置
KR20080015466A (ko) * 2006-01-31 2008-02-19 동경 엘렉트론 주식회사 기판 처리 장치 및 그것에 이용되는 기판 탑재대,플라즈마에 노출되는 부재
JP5041713B2 (ja) * 2006-03-13 2012-10-03 東京エレクトロン株式会社 エッチング方法およびエッチング装置、ならびにコンピュータ読取可能な記憶媒体
JP5463536B2 (ja) * 2006-07-20 2014-04-09 北陸成型工業株式会社 シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP5010234B2 (ja) * 2006-10-23 2012-08-29 北陸成型工業株式会社 ガス放出孔部材を一体焼結したシャワープレートおよびその製造方法
JP5252613B2 (ja) * 2006-12-25 2013-07-31 国立大学法人東北大学 イオン注入装置およびイオン注入方法
US8197913B2 (en) * 2007-07-25 2012-06-12 Tokyo Electron Limited Film forming method for a semiconductor
JP4486135B2 (ja) * 2008-01-22 2010-06-23 東京エレクトロン株式会社 温度制御機構およびそれを用いた処理装置
JP5396745B2 (ja) * 2008-05-23 2014-01-22 東京エレクトロン株式会社 プラズマ処理装置
JP5297885B2 (ja) * 2008-06-18 2013-09-25 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
JP4694596B2 (ja) * 2008-06-18 2011-06-08 東京エレクトロン株式会社 マイクロ波プラズマ処理装置及びマイクロ波の給電方法
JP5222040B2 (ja) * 2008-06-25 2013-06-26 東京エレクトロン株式会社 マイクロ波プラズマ処理装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0252328U (ja) * 1988-09-30 1990-04-16
JPH06260434A (ja) * 1993-03-04 1994-09-16 Nissin Electric Co Ltd プラズマcvd装置
WO1997048834A1 (fr) * 1996-06-20 1997-12-24 Ultraclean Technology Research Institute Procede de formation d'une couche passive a l'oxydation, d'une piece au contact d'un fluide et d'un systeme d'alimentation/decharge de fluide
WO1998033362A1 (fr) * 1997-01-29 1998-07-30 Tadahiro Ohmi Dispositif a plasma
JPH11302824A (ja) * 1998-04-22 1999-11-02 Tadahiro Omi 酸化アルミニウム不働態膜の形成方法並びに接流体部品及び流体供給・排気システム

Cited By (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2374454A (en) * 2001-01-11 2002-10-16 Samsung Electronics Co Ltd Gas injector and etching apparatus comprising the same
GB2374454B (en) * 2001-01-11 2003-09-17 Samsung Electronics Co Ltd Gas injector comprising block of ceramic material having gas injection holes extending therethrough, and etching apparatus incorporating the samme
US7083701B2 (en) 2001-03-28 2006-08-01 Tokyo Electron Limited Device and method for plasma processing, and slow-wave plate
JP2002299330A (ja) * 2001-03-28 2002-10-11 Tadahiro Omi プラズマ処理装置および半導体製造装置
WO2002080250A1 (fr) * 2001-03-28 2002-10-10 Tokyo Electron Limited Dispositif de traitement au plasma
JP2002299314A (ja) * 2001-03-28 2002-10-11 Tadahiro Omi プラズマ処理装置
WO2002080253A1 (fr) * 2001-03-28 2002-10-10 Tokyo Electron Limited Dispositif et procede de traitement par plasma, et plaque a onde lente
EP1804274A3 (en) * 2001-03-28 2007-07-18 Tadahiro Ohmi Plasma processing apparatus
WO2002080251A1 (fr) * 2001-03-28 2002-10-10 Tokyo Electron Limited Dispositif de traitement au plasma
EP1376669A1 (en) * 2001-03-28 2004-01-02 OHMI, Tadahiro Plasma processing device
US7670454B2 (en) 2001-03-28 2010-03-02 Tokyo Electron Limited Plasma processing apparatus
JP4727057B2 (ja) * 2001-03-28 2011-07-20 忠弘 大見 プラズマ処理装置
CN1298027C (zh) * 2001-03-28 2007-01-31 大见忠弘 等离子体处理装置
WO2002080248A1 (en) * 2001-03-28 2002-10-10 Tokyo Electron Limited Plasma processing device
US7115184B2 (en) 2001-03-28 2006-10-03 Tadahiro Ohmi Plasma processing device
EP1300876A4 (en) * 2001-03-28 2005-12-07 Tadahiro Ohmi PLASMA TREATMENT DEVICE
EP1376669A4 (en) * 2001-03-28 2006-01-04 Tadahiro Ohmi DEVICE FOR A PLASMA PROCESS
US7097735B2 (en) 2001-03-28 2006-08-29 Tadahiro Ohmi Plasma processing device
EP1804274A2 (en) 2001-03-28 2007-07-04 Tadahiro Ohmi Plasma processing apparatus
KR100828502B1 (ko) * 2001-04-14 2008-05-13 삼성전자주식회사 건식 식각 장치
JP2003059900A (ja) * 2001-08-15 2003-02-28 Sony Corp プラズマ処理装置及びプラズマ処理方法
JP4608827B2 (ja) * 2001-08-15 2011-01-12 ソニー株式会社 プラズマ処理装置及びプラズマ処理方法
CN100405557C (zh) * 2002-07-05 2008-07-23 东京毅力科创株式会社 等离子体处理装置
WO2004006319A1 (ja) * 2002-07-05 2004-01-15 Tokyo Electron Limited プラズマ処理装置
JP4502639B2 (ja) * 2003-06-19 2010-07-14 財団法人国際科学振興財団 シャワープレート、プラズマ処理装置、及び、製品の製造方法
JP2005033167A (ja) * 2003-06-19 2005-02-03 Tadahiro Omi シャワープレート、プラズマ処理装置、及び、製品の製造方法
JP2005093737A (ja) * 2003-09-17 2005-04-07 Tadahiro Omi プラズマ成膜装置,プラズマ成膜方法,半導体装置の製造方法,液晶表示装置の製造方法及び有機el素子の製造方法
US7879182B2 (en) 2003-12-26 2011-02-01 Foundation For Advancement Of International Science Shower plate, plasma processing apparatus, and product manufacturing method
WO2005067022A1 (ja) * 2003-12-26 2005-07-21 Tadahiro Ohmi シャワープレート、プラズマ処理装置、及び製品の製造方法
JP4659377B2 (ja) * 2004-03-19 2011-03-30 株式会社 液晶先端技術開発センター 絶縁膜の形成方法
JP2005268651A (ja) * 2004-03-19 2005-09-29 Advanced Lcd Technologies Development Center Co Ltd 絶縁膜の形成方法及び絶縁膜形成装置
WO2006033166A1 (ja) * 2004-09-24 2006-03-30 Tadahiro Ohmi 有機el発光素子、その製造方法および表示装置
US7887385B2 (en) 2004-09-24 2011-02-15 Canon Kabushiki Kaisha Organic EL light emitting element, manufacturing method thereof, and display device
JP4506557B2 (ja) * 2005-05-18 2010-07-21 株式会社島津製作所 シャワーヘッドおよび表面波励起プラズマ処理装置
JP2006324400A (ja) * 2005-05-18 2006-11-30 Shimadzu Corp シャワーヘッドおよび表面波励起プラズマ処理装置
JP2007005705A (ja) * 2005-06-27 2007-01-11 Tokyo Electron Ltd 処理ガス供給構造およびプラズマ処理装置
JP4597792B2 (ja) * 2005-06-27 2010-12-15 東京エレクトロン株式会社 処理ガス供給構造およびプラズマ処理装置
JP2007194355A (ja) * 2006-01-18 2007-08-02 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
JP2007221116A (ja) * 2006-01-20 2007-08-30 Tokyo Electron Ltd プラズマ処理装置
JP2007208208A (ja) * 2006-02-06 2007-08-16 Tokyo Electron Ltd プラズマ処理装置およびプラズマ処理方法
JP2007258570A (ja) * 2006-03-24 2007-10-04 Mitsui Eng & Shipbuild Co Ltd プラズマ処理装置
JP2007317745A (ja) * 2006-05-23 2007-12-06 Shimadzu Corp ガス導入装置
JP2008091571A (ja) * 2006-09-29 2008-04-17 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理装置の使用方法およびガス供給管パーツの製造方法
US8021975B2 (en) 2007-07-24 2011-09-20 Tokyo Electron Limited Plasma processing method for forming a film and an electronic component manufactured by the method
US8197913B2 (en) 2007-07-25 2012-06-12 Tokyo Electron Limited Film forming method for a semiconductor
US8026187B2 (en) 2009-01-21 2011-09-27 Tokyo Electron Limited Method of forming silicon oxide film and method of production of semiconductor memory device using this method
JP2010062582A (ja) * 2009-11-17 2010-03-18 Tohoku Univ プラズマ処理装置
JP2011049595A (ja) * 2010-11-08 2011-03-10 Advanced Lcd Technologies Development Center Co Ltd 絶縁膜の形成装置

Also Published As

Publication number Publication date
EP1879213B1 (en) 2012-03-14
KR100416308B1 (ko) 2004-01-31
EP1115147A4 (en) 2007-05-02
KR20010072001A (ko) 2001-07-31
US6830652B1 (en) 2004-12-14
US20090205782A1 (en) 2009-08-20
EP1879213A3 (en) 2010-05-19
TW477009B (en) 2002-02-21
EP1115147A1 (en) 2001-07-11
US7819082B2 (en) 2010-10-26
US7520245B2 (en) 2009-04-21
US20040221809A1 (en) 2004-11-11
JP3384795B2 (ja) 2003-03-10
EP1879213A2 (en) 2008-01-16

Similar Documents

Publication Publication Date Title
JP3384795B2 (ja) プラズマプロセス装置
JP4256763B2 (ja) プラズマ処理方法及びプラズマ処理装置
US7728251B2 (en) Plasma processing apparatus with dielectric plates and fixing member wavelength dependent spacing
JP3164200B2 (ja) マイクロ波プラズマ処理装置
JP4524354B2 (ja) マイクロ波プラズマ処理装置、それに用いる誘電体窓部材および誘電体窓部材の製造方法
IL153154A (en) Plasma processing device
EP1895565A1 (en) Plasma processing apparatus and method
TW200823977A (en) Plasma doping method and plasma doping apparatus
TW200414350A (en) Plasma treatment device
JP4209253B2 (ja) フッ素添加カーボン膜の形成方法
JP2008243827A (ja) プラズマ処理方法
JPH08124902A (ja) プラズマ処理装置
WO2012043250A1 (ja) 絶縁膜形成装置及び方法
US20060281323A1 (en) Method of cleaning substrate processing apparatus
JP2004296512A (ja) プラズマ処理装置のクリーニング方法
JP3339219B2 (ja) 成膜装置
JP2005064062A (ja) プラズマ処理装置及びプラズマ処理方法
KR20000029408A (ko) 마이크로파 인가기, 이를 구비한 플라즈마 처리 장치, 및플라즈마 처리 방법
JPH11238597A (ja) プラズマ処理方法及び装置
JPH0896990A (ja) プラズマ処理装置およびプラズマ処理方法
JPH11193466A (ja) プラズマ処理装置及びプラズマ処理方法
JP2002329716A (ja) プラズマ処理装置、プラズマ処理方法および素子の製造方法
JP2006012962A (ja) 斜め貫通孔付真空紫外光遮光板を用いたマイクロ波プラズマ処理装置及び処理方法
KR100733440B1 (ko) 불소 첨가 카본막의 형성 방법
JP2000195843A (ja) プラズマ処理方法及び装置

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 09678741

Country of ref document: US

AK Designated states

Kind code of ref document: A1

Designated state(s): JP KR US

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

ENP Entry into the national phase

Ref country code: JP

Ref document number: 2001 500327

Kind code of ref document: A

Format of ref document f/p: F

WWE Wipo information: entry into national phase

Ref document number: 2000931563

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 1020017000855

Country of ref document: KR

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWP Wipo information: published in national office

Ref document number: 2000931563

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020017000855

Country of ref document: KR

WWG Wipo information: grant in national office

Ref document number: 1020017000855

Country of ref document: KR