JP5188496B2 - プラズマ処理装置及びプラズマ処理方法 - Google Patents

プラズマ処理装置及びプラズマ処理方法 Download PDF

Info

Publication number
JP5188496B2
JP5188496B2 JP2009507408A JP2009507408A JP5188496B2 JP 5188496 B2 JP5188496 B2 JP 5188496B2 JP 2009507408 A JP2009507408 A JP 2009507408A JP 2009507408 A JP2009507408 A JP 2009507408A JP 5188496 B2 JP5188496 B2 JP 5188496B2
Authority
JP
Japan
Prior art keywords
gas
process gas
outer peripheral
dielectric plate
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009507408A
Other languages
English (en)
Other versions
JPWO2008120459A1 (ja
Inventor
満 廣島
浩海 朝倉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Corp
Panasonic Holdings Corp
Original Assignee
Panasonic Corp
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Panasonic Corp, Matsushita Electric Industrial Co Ltd filed Critical Panasonic Corp
Priority to JP2009507408A priority Critical patent/JP5188496B2/ja
Publication of JPWO2008120459A1 publication Critical patent/JPWO2008120459A1/ja
Application granted granted Critical
Publication of JP5188496B2 publication Critical patent/JP5188496B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • ing And Chemical Polishing (AREA)

Description

本発明はドライエッチング装置、プラズマCVD装置等のプラズマ処理装置及びプラズマ処理方法に関する。
誘導結合プラズマ(ICP)型のプラズマ処理装置では、チャンバの上部が誘電体板で閉鎖され、この誘電体板上に高周波電力が投入されるコイルが配置された構成が知られている。例えば特許文献1〜3には、梁状構造物で誘電体板の下面側を支持することにより、機械的強度を確保しつつ誘電体板の薄型化を図ったプラズマ処理装置が開示されている。また、特許文献4,5には誘電体板を支持する構造物からガスを供給するための構成が開示されている。
これらのプラズマ処理装置では、誘電体板が薄く、かつ梁状構造物の形状がコイルとの相互作用を小さくするものになっているため、高密度なプラズマを生成することができる。
近年、ディープSiエッチングと呼ばれるSi基板の深掘りないしは高アスペクトのエッチング等を高速で行うために、プラズマ処理装置内の圧力を例えば10Pa程度以上に増大させ、かつ投入する高周波電力を大きくする等によりプラズマ密度を更に増大させることが求められている。
しかし、このような高圧かつ高パワーのSiエッチングプロセスでは、石英からなる誘電体板のエッチングないしは摩耗が極めて顕著となる。特許文献1〜5に開示されたものを含め、従来提案されているプラズマ処理装置では、このような高圧かつ高パワーのプロセスの特性を踏まえた上で、誘電体板のエッチングないしは摩耗を効果的に抑制することについて、十分な考慮がなされていない。
一般に、ガスの流れの状態は、低圧下(高真空度)の分子流領域、高圧下(低真空度)の粘性流領域、及び分子流領域と粘性流領域との中間の領域である中間流領域とに分類できる。ガス流が分子流領域と粘性流領域との中間流領域から粘性流領域となるような高圧下でのプラズマ処理(以下、「高圧プロセス」という。)では、ガス流が分子流領域となるような高圧下でのプラズマ処理(以下、「低圧プロセス」という)と比較すると、ガス流れが粘性を帯びてくるので、ガスの導入口位置及び導入方法がエッチングの特性に与える影響が大きくなってくる。例えば、高圧プロセスでは、プロセスガスの流れとプラズマ密度の高い領域との相対的な位置関係がプロセスガスのプラズマ化の効率に大きく影響する。また、高圧プロセスでは、プロセスガスの流れと基板との相対的な位置関係が、基板へのラジカルやイオンの供給量やその面内分布に大きく影響する。しかし、特許文献1〜5に開示されたものを含め、従来提案されているプラズマ処理装置では、このような高圧プロセスの特性を踏まえた上でのエッチングレート等の処理速度の向上と、エッチングレートの分布等の処理特性の均一化を図ることについて、十分な考慮がなされていない。
特許第3384795号明細書 特許第3729939号明細書 特開2001−110577号 特開2005−122939号 特開2003−332326号
本発明は、高圧かつ高パワーの超高密度プラズマ生成プロセスにおいて、エッチングレート等の処理速度の向上、誘電体板の摩耗の効果的な抑制、及びエッチングレートの分布等の処理特性の均一化を図ることを課題とする。
本発明の第1の態様は、内部に基板(2)が配置された真空容器(3)と、前記基板と対向する前記真空容器の上部開口に配置され、前記真空容器によって下面(7d)が支持される環状の外周部(7a)と、平面視で前記外周部によって囲まれた領域の中央に位置する中央部(7b)と、前記中央部から前記外周部まで放射状に延びる複数の梁部(7c)とを備え、前記外周部、前記中央部、及び前記梁部で囲まれた領域が窓部(30)を構成する梁状構造物(7)と、前記梁状構造物の上面(7g)に下面(8a)が支持される誘電体板(8)と、前記誘電体板の上面側に複数の導電体(11)を螺旋状に配置してなり、高周波電力が投入されるプラズマ発生のためのスパイラルコイル(9)と、前記梁状構造物の前記外周部の前記窓部に臨む面(7j)に下向きに設けられた、前記基板に向いた俯角を有する複数のプロセスガス導入口(31,36,71,73)と、前記プロセスガス導入口にプロセスガスを供給して噴出させるプロセスガス供給源(21)と、前記梁状構造物の前記窓部に臨むように上向きに設けられ、前記誘電体板の下面近傍に形成された高密度プラズマ生成領域に向いた仰角を有する複数の摩耗防止ガス導入口(41,61,62,141)と、前記摩耗防止ガス導入口に摩耗防止ガスを供給して噴出させる摩耗防止ガス供給源(22,142)とを備えることを特徴とする、プラズマ処理装置を提供する。
本明細書において第1及び第2のガス導入口について「俯角」及び「仰角」とは、ガス導入口の向きが鉛直面内で水平方向に対してなす角度をいい、ガス導入口からガスが噴出される向きも鉛直面内で水平方向に対してこの角度を有する。また、鉛直面内での水平方向に対する角度のうち下向きのものを「俯角」といい、上向きのものを「仰角」という。
誘電体板の下面近傍のスパイラルコイルの外周側に対応する領域、すなわち窓部のうち平面視で梁状構造物の外周部に近い領域において、強い高周波磁場が生成し、これによって電子が加熱されてドーナツ状の高密度プラズマ領域が生じる。プロセスガス導入口は梁状構造物の外周部の窓部に臨む面に設けられている。換言すれば、プロセスガスはドーナツ状の高密度プラズマの近傍から真空容器内に噴出される。その結果、プロセスガスのプラズマ化が効果的に促進される。また、環状の外周部と、外周部によって囲まれた領域の中央に位置する中央部と、中央部から外周部まで放射状に延びる複数の梁部とを備える梁状構造物で誘電体板を支持しているので、真空容器内を減圧した際に誘電体板の変形をも考慮した機械的強度を確保しつつ、誘電体板の薄型化を図ることができる。その結果、高周波パワーの投入損失を大幅に低減してプラズマをより高密度化できる。これらの理由による、基板のエッチングレート等の処理速度を向上できる。
具体的には、前記摩耗防止ガス供給源は、前記摩耗防止ガス導入口に希ガスを供給して噴出させる希ガス供給源(22)を備える。希ガスは、ヘリウム、アルゴン、キセノン、及びネオンからなる群のうちの少なくとも1種類を含む。
プラズマ処理装置は、前記希ガス供給源に代えて、又は前記希ガス供給源に加えて、前記第2のガス供給源として前記第2のガス導入口に酸素ガス(O)ガスを供給して噴出させる酸素ガス供給源(142)を備える。
誘電体板の下面近傍のスパイラルコイルの外周側に対応する領域は、前述のように高密度プラズマが生じている。この高密度プラズマ生成領域に向けて摩耗防止ガス導入口から誘電体板の下面の削れ防止のためのガスを吹き付ける。この摩耗防止ガス導入口から吹き付けるガス選定は、誘電体板の削れ防止の観点からは、誘電体板の材料との反応性が低いものあるという条件を満たす必要があり、例えば誘電体板が石英(SiO)からなる場合、吹き付けるガスはHeやAr等の希ガス及び/又はOが相当する。また、第2のガス導入口から吹き付けるガスの選定は、エッチングの観点からは、基板材料のエッチングに必要なガスであるプロセスガスに含まれているもの、及び/又は、総流量に対する割合が大きい希ガス(He、Ar、Xe、及びNeからなる群のうちの少なくとも1種類を含む。)が好適である。例えば、誘電体板がSiOからなり、エッチング対象膜がSiであり、プロセスガスと希ガスの混合ガスがSF/O/Hである場合、誘電板の削れ防止のために吹き付けるガスは、Oと希ガスであるHeのうちの少なくともいずれか一方を選ぶのが好適である。また、誘電体板8がSiOからなり、エッチング対象膜がSiであり、プロセスガスと希ガスの混合ガスがSF/Oであって希ガス(He)を含まない場合、誘電体板8の削れ防止のために吹き付けるガスは、Oガスを選択するのが好適である。さらに、誘電体板がSiOからなり、エッチング対象膜がSiOであり、プロセスガスと希ガスの混合ガスがCF/Arである場合、誘電体板の削れ防止のために吹き付けるガスは、希ガスであるArが好適である。
摩耗防止ガス導入口から希ガスを噴出すると、真空容器内の他の領域と比較して誘電体板直下における希ガスの分圧が相対的に高くなる。すなわち誘電体板直下における希ガスのガス密度が高くなる。希ガスは反応性が極めて低いので、誘電体板の下面のエッチングないしは摩耗が効果的に抑制ないしは防止される。同様に、プロセスガスに酸素ガスが含まれる場合、摩耗防止ガス導入口からこの酸素ガスを噴出すると、誘電体板直下の高密度プラズマ生成領域において酸素ガスの分圧が相対的に高くなり、誘電体板が石英(SiO)であればOプラズマをあてても誘電体板は削れにくい。また、酸素ガスは基板のエッチングガスとして使用できるので、基板のエッチングに対して許容できる範囲で第2のガス導入口から噴出するガスとして酸素ガスを使用できる。
梁状構造物の外周部の窓部に臨む面は、誘電体板の下面近傍のスパイラルコイルの外周側に対応する領域、すなわち高密度プラズマ生成領域が形成される領域に近いので、希ガスや酸素ガスの噴出によってより効果的に誘電体板の下面のエッチングないしは摩耗を抑制できる。
本発明の第2の態様は、内部に基板(2)が配置された真空容器(3)と、前記基板と対向する前記真空容器の上部開口に配置され、前記真空容器によって下面(7d)が支持される環状の外周部(7a)と、平面視で前記外周部によって囲まれた領域の中央に位置する中央部(7b)と、前記中央部から前記外周部まで放射状に延びる複数の梁部(7c)とを備え、前記外周部、前記中央部、及び前記梁部で囲まれた領域が窓部(30)を構成する梁状構造物(7)と、前記梁状構造物の上面(7g)に下面(8a)が支持される誘電体板(8)と、前記誘電体板の上面側に複数の導電体(11)を螺旋状に配置してなり、高周波電力が投入されるプラズマ発生のためのスパイラルコイル(9)と、前記梁状構造物に下向きに設けられた、前記基板に向いた俯角を有する複数のプロセスガス導入口(31,36,71,73)と、前記プロセスガス導入口にプロセスガスを供給して噴出させるプロセスガス供給源(21)と、前記梁状構造物の前記窓部に臨むように上向きに設けられ、前記誘電体板に向いた仰角を有する複数の摩耗防止ガス導入口(41,61,62,141)と、前記摩耗防止ガス導入口に摩耗防止ガスを供給して噴出させる摩耗防止ガス供給源(22,142)とを備え、前記梁状構造物に交換可能に取り付けられ、それぞれ前記プロセスガス導入口と摩耗防止ガス導入口のうちのいずれか一方が形成された複数の導入口部材(43,37)を備えることを特徴とする、プラズマ処理装置を提供する。
導入口部材を交換することにより、第2のガス導入口の仰角や開口面積を簡単に変更できる。仰角や開口面積の変更によって、プロセス条件に変更があった場合でも誘電体板の摩耗を効果的に抑制できる。
本発明の第の態様は、基板(2)をプラズマにより処理するプラズマ処理方法であって、真空容器(3)の底部側に前記基板を配置し、前記基板と対向する前記真空容器の上部開口に、前記真空容器によって下面(7d)が支持される環状の外周部(7a)と、平面視で前記外周部によって囲まれた領域の中央に位置する中央部(7b)と、前記中央部から前記外周部まで放射状に延びる複数の梁部(7c)とを備え、前記外周部、前記中央部、及び前記梁部で囲まれた領域が窓部(30)を構成する梁状構造物(7)を配置し、前記梁状構造物の上面(7g)で下面(8a)が支持されるように誘電体板(8)を配置し、前記誘電体板の上面側にプラズマ発生のためのスパイラルコイル(9)を配置し、前記梁状構造物の前記外周部の前記窓部に臨む面(7j)に下向きに設けられた、前記基板に向いた俯角を有する複数のプロセスガス導入口(31,36)から前記真空容器内にプロセスガスを噴出し、前記梁状構造物の前記窓部に臨むように上向きに設けられ、前記誘電体板に向いた仰角を有する複数の摩耗防止ガス導入口(41,56)から前記誘電体板の下面に向けて摩耗防止ガスを噴出し、前記プロセスガス導入口から前記プロセスガスを噴出し、かつ前記摩耗防止ガス導入口から前記摩耗防止ガスを噴出しつつ、前記真空容器内を排気して前記真空容器内を一定圧力に維持し、前記スパイラルコイルに高周波電力を投入して前記真空容器内にプラズマを発生させ、前記誘電体板の下面近傍に形成された高密度プラズマ生成領域に向けて、前記磨耗防止ガス導入口から前記磨耗防止ガスを吹き付けつつ、前記基板を処理することを特徴とする、プラズマ処理方法を提供する。
本発明の第4の態様は、基板(2)をプラズマにより処理するプラズマ処理方法であって、真空容器(3)の底部側に前記基板を配置し、前記基板と対向する前記真空容器の上部開口に、前記真空容器によって下面(7d)が支持される環状の外周部(7a)と、平面視で前記外周部によって囲まれた領域の中央に位置する中央部(7b)と、前記中央部から前記外周部まで放射状に延びる複数の梁部(7c)とを備え、前記外周部、前記中央部、及び前記梁部で囲まれた領域が窓部(30)を構成する梁状構造物(7)を配置し、前記梁状構造物の上面(7g)で下面(8a)が支持されるように誘電体板(8)を配置し、前記誘電体板の上面側にプラズマ発生のためのスパイラルコイル(9)を配置し、前記梁状構造物に下向きに設けられた、前記基板に向いた俯角を有する複数のプロセスガス導入口(31,36)から前記真空容器内にプロセスガスを噴出し、前記梁状構造物の前記窓部に臨むように上向きに設けられ、前記誘電体板に向いた仰角を有する複数の摩耗防止ガス導入口(41,56)から前記誘電体板の下面に向けて摩耗防止ガスを噴出し、前記プロセスガス導入口から前記プロセスガスを噴出し、かつ前記摩耗防止ガス導入口から前記摩耗防止ガスを噴出しつつ、前記真空容器内を排気して前記真空容器内を一定圧力に維持し、前記スパイラルコイルに高周波電力を投入して前記真空容器内にプラズマを発生させて前記基板を処理し、前記プロセスガス導入口と前記磨耗防止ガス導入口のうちのいずれか一方が形成された複数の導入口部材(43,37)が、前記梁状構造物に交換可能に取り付けられていることを特徴とする、プラズマ処理方法を提供する
本発明のプラズマ処理装置及びプラズマ処理方法では、環状の外周部と、外周部によって囲まれた領域の中央に位置する中央部と、中央部から外周部まで放射状に延びる複数の梁部とを備える梁状構造物で誘電体板を支持し、かつ基板に向いた俯角を有してプロセスガスを噴出する第1のガス導入口に加え、誘電体板に向いた仰角を有して希ガスと酸素ガスの少なくともいずれか一方を噴出する複数の第2のガス導入口を備えるので、エッチングレート等の処理速度の向上と、誘電体板の摩耗の効果的な抑制とを図ることができる。
また、梁状構造物の外周部の窓部に臨む面に設けられた複数のプロセスガス導入口が少なくとも2種類の俯角を有するものを含むことにより、エッチングレート等の処理速度の向上と、エッチングレートの分布等の処理特性の均一性とを図ることができる。
本発明の第1実施形態に係るドライエッチング装置の模式的な断面図。 図1のII−II線での断面図。 梁状スペーサとICPコイルを示す模式的な平面図。 梁状スペーサを示す模式的な部分斜視図。 梁状スペーサの外周部を示す部分拡大断面図。 導入口チップを示す斜視図。 梁状スペーサの中央部を示す部分拡大断面図。 導入口プレートを示す斜視図。 誘電体板のエッチングレートの分布を示す模式図。 本発明の第2実施形態に係るドライエッチング装置の模式的な断面図。 図10のXI−XI線での断面図。 梁状スペーサの外周部を示す部分拡大断面図。 梁状スペーサの中央部を示す模式図。 本発明の第3実施形態に係るドライエッチング装置の模式的な断面図。 本発明の第4実施形態に係るドライエッチング装置の模式的な断面図。 図15のドライエッチング装置が備える梁状スペーサの下面側から見た斜視図。 本発明の第4実施形態に係る梁状スペーサの模式的な部分平面図。 本発明の第5実施形態に係るドライエッチング装置の模式的な断面図。 本発明の第7実施形態に係るドライエッチング装置の模式的な断面図。 図9のXX−XX線での断面図。 梁状スペーサとICPコイルを示す模式的な平面図。 梁状スペーサを示す模式的な部分斜視図。 プロセスガス導入口チップ及び希ガス導入口チップを示す部分拡大断面図。 プロセスガス導入口チップ及び希ガス導入口チップを示す部分拡大断面図。 プロセスガス導入口チップ及び希ガス導入口チップを示す部分拡大断面図。 エッチングレートの分布を示す模式図。 本発明の第7実施形態に係るドライエッチング装置が備える梁状スペーサを示す模式的な部分斜視図。 希ガス導入口チップを示す部分拡大断面図。 プロセスガス導入口チップを示す部分拡大断面図。 プロセスガス導入口チップを示す部分拡大断面図。 本発明の第8実施形態に係るドライエッチング装置が備える梁状スペーサを示す模式的な部分斜視図。 導入口チップを示す部分拡大断面図。 導入口チップの代案を示す斜視図。 導入口チップの代案を示す部分拡大断面図。 ガス導入口の代案を示す部分拡大断面図。 ガス導入口の代案を示す部分拡大断面図。 基板に対してプロセスガスを一様に流した場合のガスの圧力の面内分布。 基板に対してプロセスガスを一様に流した場合のガスの滞留時間の面内分布。 基板に対してプロセスガスを一様に流した場合の反応物の再付着量の面内分布。 基板に対してプロセスガスを一様に流した場合のエッチングレートの面内分布を示す。 ガスの圧力の面内分布。 ガスの滞留時間の面内分布。 反応物の再付着量の面内分布。 エッチングレートの面内分布を示す。 表皮深さの変化を説明するための模式図。 本発明の第7実施形態に係るドライエッチング装置の模式的な断面図。
高圧かつ高パワーのSiエッチングプロセスでは石英からなる誘電体板のエッチングないしは摩耗が極めて顕著となる理由を以下に説明する。
まず、プラズマの導電率σは以下の式(1)で示すように電子密度nの関数として表される。プラズマの導電率σは電子密度nに対して正の相関を示す。
Figure 0005188496
次に、表皮深さδ(skin depth:コイルが生成した磁界が入ることができるプラズマ中の距離)は以下の式(2)で示すように、プラズマの導電率σの関数として表される。この式(2)において、記号ωは高周波電力の周波数、記号μは真空の透磁率である。
Figure 0005188496
一般に、真空容器内の圧力が高圧となると、ガス密度が増加し、電子―中性粒子の衝突周波数が増加することにより、プラズマの導電率が増加する。式(2)を参照すれば明らかなように、プラズマの導電率σが増加すると表皮深さδが小さくなる(例えば数センチメートル以下)。その結果、高密度のプラズマが誘電体板の下面近傍で閉じ込められたような状態で生成を続ける傾向がある。すなわち、表皮深さδは、圧力と負の相関があると言える。
図34に表皮深さδを模式的に示す。この図34では、誘電体板100の上方にコイル101が配置され、コイル101には模式的に示す高周波電源102から高周波電力が印加される。実線110と破線111は表皮深さδを表している。破線111は、実線110の場合よりも電子密度nが増加した場合を示し、表皮深さδは小さくなっている。
また、コイル101へ投入する高周波パワーが増加すると、電子密度nが増えるためプラズマの導電率σが増加して表皮深さδが小さくなる。
すなわち、1つめの観点では、圧力が増大するか、高周波パワーが増大することで表皮深δは小さくなり、高密度プラズマは誘電体板100の下面近傍に閉じ込められつつ生成し続ける。
2つめの観点では、一般にコイル101へ投入する高周波パワーが増加するか、又は真空容器内の圧力が高圧となることで電子密度nが増加すると、容量結合による垂直方向の電界成分が増大する。その結果、プラズマ中のイオンがより加速されることになり、誘電体板100の下面へのイオンのアタックが激しくなる傾向がある。
以上のように一般にコイル101へ投入する高周波パワーが増加するか、又は真空容器内の圧力が高圧となると、高密度のプラズマが誘電体板100の下面近傍で閉じこめられたような状態で生成を続ける傾向と、加速されたイオンによる誘電体板100の下面へのアタックが激しくなる傾向とが生じるので、高圧かつ高パワーのプロセスでは誘電体板100のエッチングないしは摩耗が顕著となる。
(第1実施形態)
図1及び図2は本発明の実施形態に係るICP(誘導結合プラズマ)型のドライエッチング装置1を示す。ドライエッチング装置1は、その内部に基板2が収容される処理室を構成するチャンバ(真空容器)3を備える。チャンバ3は、上部が開口したチャンバ本体4と、このチャンバ本体4の上部開口を密閉する蓋体6を備える。チャンバ本体4には基板2を搬入出するためのゲート(図示せず)が設けられている。蓋体6はチャンバ本体4の側壁上端に支持された梁状スペーサ(梁状構造物)7と、この梁状スペーサ7に支持されて天板として機能する円板状の誘電体板8を備える。
本実施形態では、ターゲットである基板2はシリコンであり、ドライエッチング装置1は、シリコン製の基板を高アスペクト比かつ高速でエッチングするための、10Pa程度以上の高圧(低真空度)かつ高パワーの超高密度プラズマ生成プロセスを実行する。後に詳述するように、本実施形態のドライエッチング装置1によって、高圧かつ高パワーの超高密度プラズマ生成プロセスにおいて基板2のエッチングレートの向上と、誘電体板8のエッチングないしは摩耗の効果的な抑制とが可能である。
梁状スペーサ7はアルミニウム、ステンレス鋼(SUS)等のような十分な剛性を有する金属材料からなる。梁状スペーサ7にはアルミアルマイト処理、酸化イットリウム溶射等の耐磨耗性を向上させる表面処理を行ってもよい。
本実施形態では、誘電体板8を構成する誘電体材料は石英(Si0)である。ただし、誘電体板8は酸化イットリウム(Y)、窒化アルミニウム(AlN)、アルミナ(Al)等の他の誘電体材料であってもよい。石英は削れや摩耗が生じた場合のコンタミネーションがプロセスに与える影響が酸化イットリウムや窒化アルミニウムと比較して大幅に小さい点で好ましい。石英は耐磨耗性ないしはエッチングされにくさでは酸化イットリウムや窒化アルミニウムに劣る。しかし、本実施形態では、後に詳述する希ガス導入口41からの希ガスの噴出によって石英製の誘電体板8のエッチングを効果的に抑制している。
誘電体板8の上面側には、マルチスパイラルコイルであるICPコイル9が配設されている。図3に示すようにICPコイル9は平面視で誘電体板8の中央から外周に向けて螺旋状に延びる複数(本実施形態では6本)の導電体11からなる。平面視で誘電体板8の中央に対応する部分(巻き始め部分)では、隣接する導電体11間の隙間が広い。換言すれば、誘電体板8の中央に対応する部分では導電体11の巻付密度が粗である。これに対して、平面視で誘電体板8の外周に対応する部分では、隣接する導電体11間の隙間が狭く、巻付密度が密である。ICPコイル9にはマッチング回路12を介して、高周波電源13が電気的に接続されている。
誘電体板8及び梁状スペーサ7と対向するチャンバ3内の底部側には、バイアス電圧が印加される下部電極としての機能及び基板2を静電吸着等によって保持する機能を有する基板サセプタ14が配設されている。基板サセプタ14にはバイアス用の高周波電源16から高周波電源が印加される。また、基板サセプタ14内には冷媒の循環流路が設けられており、冷媒循環装置17から供給される温調された冷媒がこの循環流路中を循環する。さらに、基板サセプタ14の上面と基板2の裏面との間の微細な隙間に伝熱ガスを供給する伝熱ガス循環装置18が設けられている。
ドライエッチング装置1は、梁状スペーサ7に形成されたプロセスガス導入口31,36に基板2のエッチングに必要なガスであるプロセスガスを供給してチャンバ3内で基板2に向けて噴出させるプロセスガス供給源21と、梁状スペーサ7に形成された希ガス導入口(摩耗防止ガス導入口)41に希ガスを供給してチャンバ3内に誘電体板8に向けて噴射させる希ガス供給源(摩耗防止ガス供給源)22とを備える。プロセスガス導入口31,36と希ガス導入口41については後に詳述する。なお、本実施形態では、プロセスガスと希ガスの供給源を別個に設けているが、希ガス供給源と、プロセスガスと希ガスを含むガスを供給するプロセスガス供給源とを設けた構成としてもよい。
コントローラ23は、高周波電源13,16、伝熱ガス循環装置18、冷媒循環装置17、プロセスガス供給源21、及び希ガス供給源22を含む装置全体の動作を制御する。
チャンバ3内は、まず排気口5を介して接続された真空排気装置24により排気される。続いて、プロセスガス供給源21からプロセスガス導入口31,36を経てプロセスガス(エッチングガス)が導入されつつ(矢印FLd,FLd’)、排気口5から真空排気装置24により排気され、チャンバ3内は10Pa程度以上の高圧(低真空)に維持される。エッチングの対象である基板2がシリコンである本実施形態では、プロセスガスとして例えば六フッ化硫黄(SF)、酸素(O)、及びヘリウム(He)の混合ガスが使用される。次に、高周波電源13からICPコイル9に高周波電力が投入され、チャンバ3内にプラズマが発生する。プラズマ中のイオンは、高周波電源16から基板サセプタ14に高周波が印加されることで生成されたセルフバイアス電圧により加速され、ラジカルが基板2に吸着すると共にイオンが基板2に衝突し、その結果基板2の表面がエッチングされる。高圧プロセスであるために、ラジカルやイオンは、主としてプロセスガス導入口31,36から噴出されるプロセスガスの流れFLd,FLd’によって基板2へ向けて搬送される。エッチング中は、希ガス供給源21から希ガス導入口41を経て希ガスが導入される(矢印FLe)。本実施形態では、希ガスとしてヘリウム(He)が使用される。ただし、希ガスはヘリウム、アルゴン(Ar)、キセノン(Xe)、及びネオン(Ne)のうちの少なくとも1種類を含むものであればよい。ヘリウムはアルゴン等よりも分子量が小さく、誘電体板8をエッチングするエネルギが小さいのでより好ましい。
図1から図4を参照すると、本実施形態における梁状スペーサ7は、円環状の外周部7a、平面視で外周部7aによって囲まれた領域の中央に位置する中央部7b、及び中央部7bから外周部7aまで放射状に延びる複数(本実施形態では6個)の梁部7cを備える。
図5を併せて参照すると、梁状スペーサ7の外周部7aの下面7dが、チャンバ本体4の側壁の上端面に支持されている。外周部7aの下面7dには環状の溝7e,7fが形成されており、これらの溝7e,7fに収容されたOリング26,27によって梁状スペーサ7とチャンバ本体4の接合部分の密閉性が確保されている。また、外周部7aの上面7gに誘電体板8の下面8aが支持されている。外周部7aの上面7gには環状の溝7hが形成されており、この溝7hにOリング28が収容されている。Oリング28により、梁状スペーサ7と誘電体板8の接合部分での密閉性が確保されている。
梁状スペーサ7の6個の梁部7cは、幅がほぼ一定の直方体状であり、平面視(図2及び図3参照)において等角度間隔で中央部7bから放射状に延びている。梁部7cの一端は中央部7bと一体に連結されており、他端は外周部7aに一体に連結されている。
梁状スペーサ7の中央部7bには、上面7gに3個の凹部7iが設けられており、これらの凹部7iにそれぞれ弾性部材29が収容されている。弾性部材29は梁状スペーサ7の中央部7bと誘電体板8の下面8aとの間に介在している。
梁状スペーサ7の外周部7a、中央部7b、及び梁部7cで囲まれた領域は、基板サセプタ14側から見て誘電体板8の下面8aが露出している窓部30を構成する。本実施形態の梁状スペーサ7はそれぞれ扇形状である6個の窓部30を備える。
前述のように、梁状スペーサ7は円環状の外周部7aと、外周部7aによって囲まれた領域の中央に位置する中央部7bと、中央部7bから外周部7aまで放射状に延びる複数の梁部7cとを備える。そのため、誘電体板8の下面8aのすべての部分、すなわち外周部分、中央部分、及び外周部分と中央部分の間の部分が梁状スペーサ7によって支持される。換言すれば、誘電体板8は梁状スペーサ7によってその全体が均一に支持される。チャンバ3を減圧するとチャンバ内の圧力(負圧)と大気圧との差圧が誘電体板8に作用するが、この差圧による負荷が作用する際にも誘電体板8の全体が梁状スペーサ7によって均一に支持される。一方、チャンバ3を減圧した際の差圧による負荷によって、特に誘電体板8の中央部分が下方(基板サセプタ14側)に向けて撓みやすい。梁状スペーサ7は梁部7cで外周部7aに連結された中央部7bを備え、この中央部7bが誘電体板8の中央部分を下面8a側から支持する。よって、誘電体板8の中央部分の撓みを効果的に防止ないしは抑制できる。
以上のように、梁状スペーサ7で誘電体板8の下面8aを均一に支持すること、及び撓みの生じやすい誘電体板8の中央部分を梁状スペーサ7の中央部7bで支持することにより、チャンバ3内を減圧した際の大気圧を支持するための機械的強度(チャンバ3内を減圧した際の誘電体板8の変形をも考慮している。)を確保しつつ、誘電体板8を薄型化できる。例えば、誘電体板の外周部分のみを支持するスペーサで直径320mmの誘電体板を支持する場合、機械的強度を確保するために誘電体板の厚みは25mm以上に設定する必要がある。これに対して、本実施形態の梁状スペーサ7で直径320mmの誘電体板8を支持する場合、誘電体板8の厚みが10mm程度あれば必要な機械的強度が得られる。誘電体板8を薄型化することにより、高周波パワーの投入損失を大幅に低減できるので、プラズマの高密度化を図ることができる。また、同じプラズマ密度を得たい場合には、誘電体板の厚みが大きい場合と比較してICPコイル9に投入する高周波電力を低減できるので、誘電体板8等の発熱に起因して処理枚数の増加に伴うエッチングレート、エッチング形状等のプロセス特性が変動するのを防止できる。
梁状スペーサ7の梁部7cはICPコイル9を構成する導電体11の巻付密度が密の部分に対して直交する方向に延びている。そのため、高周波電源13から高周波電力が投入された際にICPコイル9の導電体11の周囲に発生する電磁界に対し、梁状スペーサ7が及ぼす電磁気的な影響を抑制できる。つまり、梁状スペーサ7内に流れる周方向の渦電流を低減するような構成となっている。その結果、高周波パワーの投入損失をさらに低減できる。この投入損失の低減の効果を得るためには、梁部7cと導電体11の巻付密度が密の部分とが正確に直交している必要は必ずしもなく、両者が実質的に直交していればよい。例えば、平面視で梁部7cと導電体11とが90°±10°程度の角度で交差していれば、投入損失低減の効果が得られる。導電体11が梁部7cに対して平面視で直交することに加え、梁状スペーサ7の梁部7cの本数(6本)とICPコイル9を構成する導電体11の本数(6本)が一致することが好ましい。これにより高周波電源13からICPコイル9へ高周波電力が投入された際に発生する電磁界の対称性が向上するので、生成するプラズマの分布の対称性も向上し、梁部7cの存在に起因する投入損失をさらに低減できる。
次に、図1、図2、及び図4から図8を参照して、チャンバ3内にプロセスガスと希ガスを導入するための構成について説明する。
まず、プロセスガスをチャンバ3内に導入するための構成を説明する。
梁状スペーサ7の外周部7aは、窓部30に臨み、かつ中央部7bと対向する内側側壁面7jを有している。この内側側壁面7jに、複数個(本実施形態では18個)のプロセスガス導入口31が設けられている。6個の窓部30のそれぞれについて、3個のプロセスガス導入口31が一組設けられている。また、図2に示す平面視では、すべてのプロセスガス導入口31が梁状スペーサ7の中心、つまり基板2の中心に向いており、18個のガス導入口は梁状スペーサ7の中心、従って基板2の中心に対して対称に配置されている。
図1及び図5に示すように、鉛直面内では、プロセスガス導入口31の向きは斜め下向きである。詳細には、プロセスガス導入口31が鉛直面内で水平方向に対してなす角度(俯角θd)は、矢印FLdで示すように噴出されたプロセスガスが基板2に向かうように設定される。俯角θdは基板2の寸法、基板2からガス導入口31の距離等の条件に応じ、例えば20°〜40°、より好ましくは25°〜35°程度の範囲で設定される。本実施形態では、梁状スペーサ7に設けられたすべてのプロセスガス導入口31の俯角θdは同一である。しかし、第7〜第9実施形態に関して詳述するようにプロセスガス導入口の俯角を異ならせてもよい。
後に詳述するように、梁状スペーサ7の外周部7aの内側側壁面7jに複数個(本実施形態では18個)のプロセスガス導入口チップ44(図6参照)が着脱可能ないしは交換可能に取り付けられており、個々のプロセスガス導入口チップ44にそれぞれプロセスガス導入口31が設けられている。簡略化のため、図1にはプロセスガス導入口31は図示しているが、プロセスガス導入口チップ44は図示していない。
図5を参照すると、梁状スペーサ7の外周部7aの上面7gにはOリング28よりも内側に環状のガス流路溝7kが形成されている。このガス流路溝7kの上部開口は誘電体板8の下面8aで閉鎖されている。また、ガス流路溝7kは梁状スペーサ7の下面7d側に溝幅の狭い下側部分7mを備える一方、上面7g側に下側7mよりも溝幅の広い上側部分7nを備える。下側部分7mと上側部分7nとはOリング25Aによって遮断されている。また、上側部分7nを密閉するOリング25Bが設けられている。そのため、ガス流路溝7iの下側部分7mと上側部分7nは、密閉かつ互いに遮断された環状ガス流路33A,33Bを構成している。個々のプロセスガス導入口31は、下側の環状ガス流路33Aの内周壁33a側と連通している。また、一端が環状ガス流路33Aの外周壁33b側と連通し、他端がプロセスガス供給源21と接続された導入流路34Aが設けられている(図1及び図2を併せて参照。)。従って、プロセスガス供給源21から供給されるプロセスガスは、導入流路34A及び環状ガス流路33Aを通って各プロセスガス導入口31からチャンバ3内に噴出される。
図1、図7、及び図8を参照すると、梁状スペーサ7の中央部7bには収容凹部7pが形成されており、この収容凹部内7pにはプロセスガス導入口36が形成された交換可能な導入口プレート37が収容されている。梁状スペーサ7の中央部7bには一端がガス分配室38を介して個々のプロセスガス導入口36と連通する入口ガス流路39が形成されている。図1に示すように、ガス流路40は一端が下側の環状ガス流路33Aと連通し、6個の梁部7cのうちの1個の内部を通って他端が中央部7bまで達している。図7に示すように、このガス流路40の他端と入口ガス流路39が連通している。従って、プロセスガス供給源21から供給されるプロセスガスは、導入流路34A、環状ガス流路33A、ガス流路40、入口ガス流路39、及びガス分配室38を通り、矢印FLd’で示すように各プロセスガス導入口36からチャンバ3内に噴出される。鉛直面内におけるプロセスガス導入口36の向きはほぼ直角である。ただし、プロセスガス導入口36の向きは放射状に広がっていてもよい。
次に、希ガスをチャンバ3内に導入するための構成を説明する。
梁状スペーサ7の外周部7aの内側側壁面7jに、複数個(本実施形態では18個)の希ガス導入口41が設けられている。6個の窓部30のそれぞれについて、3個の希ガス導入口41が一組設けられている。また、図2に示す平面視では、すべての希ガス導入口41が梁状スペーサ7の中心、つまり誘電体板8の中心に向いており、18個のガス導入口は梁状スペーサ7の中心、従って誘電体板8の中心に対して対称に配置されている
図1及び図5に示すように、鉛直面内では、希ガス導入口41の向きは斜め上向きである。詳細には、希ガス導入口41が鉛直面内で水平方向に対してなす角度(仰角θe)は、矢印FLeで示すように噴出された希ガスが誘電体板8に向かうように設定される。仰角θeは誘電体板8の寸法、基板2からガス導入口31の距離等の条件に応じ、後述する高密度プラズマ生成領域42の近傍の誘電体板8に希ガスが噴出されるように、例えば5°〜30°、より好ましくは10°〜25°程度の範囲で設定される。
後に詳述するように、梁状スペーサ7の外周部7bの内側側壁面7jに複数個(本実施形態では18個)の希ガス導入口チップ43(図6参照)が着脱可能ないしは交換可能に取り付けられており、個々の希ガス導入口チップ43にそれぞれ希ガス導入口41が設けられている。簡略化のため、図1には希ガス導入口41は図示しているが、希ガス導入口チップ43は図示していない。
図5を参照すると、個々の希ガス導入口41は、上側の環状ガス流路33Bの内周壁33a側と連通している。また、一端が環状ガス流路33Bの外周壁33b側と連通し、他端が希ガス供給源22と接続された導入流路34Dが設けられている(図2を併せて参照)。この導入流路34Dはプロセスガス側の導入流路34Aに対して遮断されている。希ガス供給源22から供給される希ガスは、導入流路34D及び環状ガス流路33Bを通って各希ガス導入口41からチャンバ3内に噴出される。
次に、本実施形態のドライエッチング装置1によって、高圧かつ高パワーの超高密度プラズマ生成プロセスにおいて基板2のエッチングレートの向上と、誘電体板8のエッチングないしは摩耗の効果的な抑制とが可能である理由を説明する。
前述のように誘電体板8の外周に対応する領域ではICPコイル9を構成する導電体11が互いに密に配置されている。そのため、誘電体板8の下面近傍のICPコイル9の外周に対応する領域、すなわち個々の窓部30のうち平面視で梁状スペーサ7の外周部7aに近い領域にトロイダル状ないしはドーナツ状の高密度プラズマが生じる。図1及び図2に、この高密度のプラズマが発生している領域42を模式的に示す。梁状スペーサ7aの中央部7bのプロセスガス導入口36に加え、梁状スペーサ7の外周部7aの窓部30に臨む内側側壁面7jにもプロセスガス導入口31が設けられている。高密度のプラズマが存在する領域42のごく近傍に位置するプロセスガス導入口31からプロセスガスがチャンバ3に噴出される。その結果、プロセスガスのプラズマ化が効果的に促進される。また、環状の外周部7aと、外周部7aによって囲まれた領域の中央に位置する中央部7bと、中央部から外周部まで放射状に延びる複数の梁部7cとを備える梁状スペーサ7で誘電体板8を支持しているので、チャンバ3内を減圧した際に誘電体板8の変形をも考慮した機械的強度を確保しつつ、誘電体板8の薄型化を図ることができる。その結果、高周波パワーの投入損失を大幅に低減してプラズマをより高密度化できる。これら2つの理由、すなわちプロセスガスの解離の効率が高いことと、高周波パワーの投入損失が極めて低いこととにより、高いエッチングレートを実現できる。
前述のように誘電体板8の下面近傍のIPCコイル9の外周側に対応する領域42には高密度プラズマが生じている。本実施形態のようにプロセスガス(エッチングガス)がSF/O/Heガスの場合、高密度プラズマ生成領域42にはFラジカルが高密度で存在し、Fラジカルに対応してSFイオンも高密で存在する。これらFラジカルの吸着やSFイオンのスパッタリングは、誘電体板8の下面8aを著しくエッチングないしは摩耗させる原因となる。しかし、本実施形態では、梁状スペーサ7の外周部7aの窓部30に臨む内側側壁面7jに設けた希ガス導入口41から、高密度プラズマ生成領域42の近傍の誘電体板8の下面8aに向けて希ガスが噴出される。そのため、チャンバ3内の他の領域と比較して誘電体板8の直下の窓部30における希ガスの分圧が相対的に高くなる。すなわち誘電体板8の直下の窓部30における希ガスのガス密度が高くなる。希ガスは反応性が極めて低いので、誘電体板8の下面8aのエッチングないしは摩耗が効果的に抑制ないしは防止される。特に、本実施形態では梁状スペーサ7の外周部7aの内側周壁7jに希ガス導入口41が配置されており、高密度プラズマ生成領域42に近い位置から希ガスが噴出される点でも効果的に誘電体板8の下面のエッチングないしは摩耗を抑制できる。
図9において、破線L’と実線Lは誘電体板8のエッチングレート(摩耗)の分布を示す。破線L’はプロセスガス導入口31からのプロセスガスの噴出のみを行い、希ガス導入口41からの希ガスの噴出を行わなかった場合を示す。一方、実線Lはプロセスガス導入口31からのプロセスガスの噴出と、希ガス導入口41からの希ガスの噴出を行った場合を示す。破線L’で示すように、希ガス導入口41からの希ガスの噴出を行わなかった場合、高密度プラズマ生成領域42(図1及び図2参照)の近傍においてFラジカルやSFイオンにより誘電体板8の下面8aが大きく削れている。一方、実線Lで示すように、希ガス導入口41からの希ガスの噴出を行うことで、高密度プラズマ生成領域42の近傍における誘電体板8の下面の削れを激減させることができ、誘電体板8のエッチングないしは摩耗を効果的に低減できる。
次に、プロセスガス導入口チップ44、導入口プレート37、及び希ガス導入口チップ43及びその周辺構造を詳細に説明する。
プロセスガス導入口チップ44と希ガス導入口チップ43は、同様の構造であるので、図5及び図6を参照して希ガス導入口チップ43について説明する。
図5を参照すると、梁状スペーサ7の外周部7bには、環状ガス流路33Bの内周壁33aから内側側壁面7jに到る斜め下向きであって断面円形の取付孔50が複数個設けられている。各取付孔50に希ガス導入口チップ43が交換可能ないしは着脱可能に装着されている。取付孔50は上側の環状ガス流路33B側から順に、環状ガス流路33Bに連通する入口部50a、雌ねじ部50b、及びチャンバ3内に開放した出口部50cを備える。雌ねじ部50bは入口部50aよりも大径であり、雌ねじ部50bと入口部50aの接続部分の段差により座部50dが形成されている。また、出口部50cは雌ねじ部50bよりも大径であり、出口部50cと雌ねじ部50bの接続部分の段差により座部50eが形成されている。
図6を併せて参照すると、希ガス導入口チップ43は雄ねじ部43aと、この雄ねじ部43aの先端に一体に設けられた頭部43bとを備える。頭部43bは雄ねじ部43aよりも大径である。雄ねじ部43aの基端面には凹部43cが形成されている。この凹部43cの底壁から頭部43bの先端面まで貫通するように希ガス導入口41が設けられている。希ガス導入口41は導入口チップ43の中心軸に沿って延びている。希ガス導入口チップ43の雄ねじ部43aは取付孔50の雌ねじ部50bにねじ込まれ、それによって希ガス導入口チップ43は梁状スペーサ7の外周部7aに対して固定される。希ガス導入口チップ43の頭部43bは取付孔50の出口部50cに収容される。また、雄ねじ部43aの基端面が座部50d上に配置され、頭部43bの基端面が座部50e上に配置される。
環状ガス流路33Bからチャンバ3の内部まで、取付孔50の入口部50a、導入口チップ43の凹部43c、及び希ガス導入口41からなる経路が形成されている。プロセスガスはこの経路を通って希ガス導入口41からチャンバ3内に噴出される。
本実施形態では、希ガス導入口チップ43の中心軸線の方向に希ガス導入口41が設けられており、取付孔50の鉛直面内での角度によって、希ガス導入口41の仰角θeを設定している。同様に、本実施形態では、プロセスガス導入口チップ44の中心軸線の方向にプロセスガス導入口31が設けられており、取付孔50の鉛直面内での角度を異ならせることによって、プロセスガス導入口41の俯角θdを設定している。
希ガス導入口41の開口面積や希ガス導入口41の仰角θeが異なる複数種類の希ガス導入口チップ43を準備しておけば、希ガス導入口チップ43を交換することで希ガス導入口41の開口面積や向きを変更することができる。希ガス供給源22の供給圧が同じであれば、一般に希ガス導入口41の開口面積が大きいほど導入される希ガスの流量が増加し、開口面積が小さいほど希ガスの流量が減少する。プロセス条件、基板8の寸法等の条件に応じて異なる希ガス導入口41を備える希ガス導入口チップ43に交換することで、プロセスの変更等があった場合にも、確実に高密度プラズマ生成領域42の近傍の誘電体板8に希ガスを供給することができ、基板8のエッチングないしは摩耗を効果的に抑制できる。
同様に、プロセス条件、基板8の寸法等の条件に応じて開口面積や向きが異なるプロセスガス導入口31を備えるプロセスガス導入口チップ44に交換することで、プロセスの変更等があった場合にも、プロセスガスの供給量や向きを微調整して確実に高いエッチングレートを得ることができる。
次に、図7及び図8を参照して導入口プレート37について説明する。
図7及び図8を参照すると、導入口プレート37は外周縁付近に厚み方向に貫通する貫通孔(本実施形態では4個)37aを備える。この貫通孔37aに貫通させたねじ51を収容凹部7pの底壁に形成したねじ孔にねじ込むことにより、導入口プレート37が収容凹部7p内に固定されている。また、導入口プレート37の上面37bの中央部には凹部37cが形成されている。この凹部37cと収容凹部7pの底壁とにより入口ガス流路39と連通するガス分配室38が形成されている。プロセスガス導入口36は凹部37cの底壁から鉛直方向に延び、導入口プレート37の下面37dまで貫通している。
導入口プレート37の上面37bには凹部37cを取り囲む環状溝37eが形成されており、この環状溝37eに収容されたOリング52によってガス分配室38内の密閉性が確保されている。プロセスガス供給源21から供給されるプロセスガスは、導入流路34A、環状ガス流路33A、ガス流路40、入口ガス流路39、及びガス分配室38を経て導入口プレート37のプロセスガス導入口36から基板8に向けてチャンバ3内に下向きに噴出される。
前述の希ガス導入口チップ43やプロセスガス導入口チップ44と同様に、プロセスガス導入口36の開口面積、向き、数、配置等が異なる導入口プレート37を準備しておけば、導入口プレート37の交換により基板8に供給されるプロセスガスの量やプロセスガスの供給量を変更ないしは調整できる。
(第2実施形態)
図10から図13に示す本発明の第2実施形態のICP型のドライエッチング装置1は、プロセスガスの噴出は梁状スペーサ7の外周部7aに設けたプロセスガス導入口31のみから行う一方、希ガスの噴出は梁状スペーサ7の中央部7bに設けた希ガス導入口61,62のみから行われる。
図10、図11、及び図12を参照すると、プロセスガス導入口31を備えるプロセスガス導入口チップ44は、梁状スペーサ7の外周部7aの2段の環状ガス流路33A,33Bのうち、上側の環状ガス流路33Bに連通する取付孔50に取り付けられている。また、プロセスガス供給源21側の導入流路34Aは、この上側の環状ガス流路33Bに接続されている。従って、プロセスガス供給源21から導入流路34A及び上側の環状ガス流路33Bを介してプロセスガス導入口31からプロセスガスが噴出される。
図10、図11、及び図13を参照すると、本実施形態における導入口プレート37は、プロセスガス導入口41を備えず、凹部37c(ガス分配室38)から外周側面まで斜め上向きに延びる複数の希ガス導入口61を備えている。梁状スペーサ7の中央部7bには、この希ガス導入口61と連通する斜め上向きの希ガス導入口62が設けられている。また、ガス流路40は梁状スペーサ7の外周部7aの2段の環状ガス流路33A,33Bのうち、下側の環状ガス流路33Aに接続されている。さらに、希ガス供給源22側の導入流路34Dは、この下側の環状ガス流路33Aに接続されている。従って、希ガス供給源22から導入流路34D、環状ガス流路33A、ガス流路40、入口ガス流路39、及びガス分配室38を介して希ガス導入口61から誘電体板8に向けて希ガスが噴出される。
第2実施形態のその他の構成及び作用は第1実施形態と同様であるので、同一の要素には同一の符号を付して説明を省略する。
(第3実施形態)
図14に示す本発明の第3実施形態のドライエッチング装置1は、プロセスガスの噴出は梁状スペーサの中央部7bに設けたプロセスガス導入口36のみから行う一方、希ガスの噴出は梁状スペーサ7の外周部7aに設けた希ガスガス導入口41のみから行う構成としている。
第3実施形態のその他の構成及び作用は第1実施形態と同様であるので、同一の要素には同一の符号を付して説明を省略する。
(第4実施形態)
図15及び図16に示す本発明の第4実施形態のドライエッチング装置1は、梁状スペーサ7の外周部7aと梁部7cにプロセスガス導入口71,73を備えている。
梁状スペーサ7には、一つの梁部7cの外周側の端部から直線状に延びて中央部7bを通過して対向する梁部7cの外周側の端部まで延びるガス流路72が形成されている。個々の梁状部7cの下面側には鉛直方向下向きのガス導入口71が複数個設けられている。また、梁状スペーサ7の中央部7bの下面側にも鉛直方向下向きのプロセスガス導入口73が複数個設けられている。これらのガス導入口71,73は基端(上端)側がガス流路72に連通し、先端(下端側)がチャンバ3の内部に開放している。
第4実施形態のその他の構成及び作用は第1実施形態と同様であるので、同一の要素には同一の符号を付して説明を省略する。
(第5実施形態)
図17に示すように、梁状スペーサ7の外周部7a、中央部7b、及び梁部7cに平面視で窓部30の中央に向くように希ガス導入口41,62,71を設けてもよい。これらの希ガス導入口41,62,71は第1から第4実施形態と同様に誘電体板8(例えば図1参照)の下面に向いた仰角θeを有する。
(第6実施形態)
図18に示す本発明の第6実施形態のドライエッチング装置1は、第1実施形態における希ガス供給源22(図1参照)に代えて酸素ガス(Oガス)供給源142を備え、この酸素ガス供給源142から第1実施形態における希ガス導入口41と同一構造の酸素ガス導入口(摩耗防止ガス導入口)141から高密度プラズマ生成領域42の近傍の誘電体板8の下面8aに向けて酸素ガスが噴出される。そのため、チャンバ3内の他の領域と比較して誘電体板8の直下の窓部30における酸素ガスの分圧が相対的に高くなる。すなわち誘電体板8の直下の窓部30における酸素ガスのガス密度が高くなり、誘電体板8の下面8aのエッチングないしは摩耗が効果的に抑制ないしは防止される。
誘電体板8が石英(SiO)からなる場合、Oプラズマをあてても誘電体板8は削れない。また、酸素ガスは基板2のエッチングガスとして使用できるので、基板2のエッチングに対して許容できる範囲で酸素ガスを噴出することで誘電体板8の摩耗を低減できる。
第6実施形態のその他の構成及び作用は第1実施形態と同様であるので、同一の要素には同一の符号を付して説明を省略する。第2実施形態から第5実施形態において本実施形態と同様に希ガス導入口と希ガス供給源に代えて酸素ガス導入口と酸素ガス供給源を採用することも可能である。
(第7実施形態)
図19から図23Cは本発明の第7実施形態に係るICP型のドライエッチング装置1を示す。本実施形態のドライエッチング装置1は、第1実施形態(図1から図8)と同様であるが、プロセスガス導入口31A〜31Cの俯角が互いに異なる点と、梁状スペーサ7の中央部7bのプロセスガス導入口36を備えていない点で相違する。梁状スペーサ7の外周部7aに希ガス導入口41が設けられている点を含む本実施形態のその他の構成は、第1実施形態と同様である。図19から図23Cにおいて、第1実施形態と同一の要素には同一の符号を付している。
次に、図19、図20、図22、及び図23A〜図23Cを参照して、本実施形態におけるチャンバ3内にプロセスガスを導入するための構成について詳細に説明する。
梁状スペーサ7の外周部7aの内側側壁面7jは、窓部30に臨むように複数個(本実施形態では18個)のプロセスガス導入口31A,31B,31Cが設けられている。図20に示す平面視では、すべてのプロセスガス導入口31A〜31Cが梁状スペーサ7の中心、つまり基板2の中心に向いている。図19及び図23A〜図23Cに示すように、鉛直面内では、いずれのプロセスガス導入口31A〜31Bの向きも斜め下向きである。また、プロセスガス導入口31A〜31Cは、それらの向きが鉛直面内で水平方向に対してなす角度(俯角θd1,θd2,θd3)が互いに異なる。換言すれば、プロセスガス導入口31A〜31Cには、3種類の俯角θd1〜θd3がある。鉛直面内では、プロセスガス導入口31A〜31Cから俯角θd1〜θd3で定まる向きにプロセスガスが噴出される。俯角θd1〜θd3は基板2の寸法、基板2からガス導入口31A〜31Cまでの距離等の条件に応じ、例えば10度〜40度程度の範囲で設定される。特に、15度〜36.5度の範囲が好適である。例えば、基板2の寸法がφ8インチである場合は、基板2の表面の外周領域2bに向けて俯角θd2を15度〜24度、基板2の表面の中間領域2cに向けて俯角θd3を29度、基板2の表面の中心領域2aに向けて俯角θd1を36.5度に設定することが好ましい。
図20及び図22に示すように、6個の窓部30のそれぞれについて、俯角θd1〜θd3が互いに異なる3個のプロセスガス導入口31A〜31Cが一組設けられている。また、平面視では、18個のプロセスガス導入口31A〜31Cは平面視で梁状スペーサ7の中心、従って基板2の中心に対して対称に配置されている。
図23A〜図23Cを参照すると、個々のプロセスガス導入口31A〜31Cは、梁状スペーサ7の外周部7aに設けられた環状ガス流路33A,33Bのうち、下段側の環状ガス流路33Aと連通している。梁状スペーサ7の外周部7bの内側側壁面7jに複数個(本実施形態では18個)のプロセスガス導入口チップ44A,44B,44C(図6参照)が着脱可能ないしは交換可能に取り付けられており、個々のプロセスガス導入口チップ44A〜44Cにそれぞれプロセスガス導入口31A〜31Cが設けられている。簡略化のため、図19にはプロセスガス導入口31A〜31Cは図示しているが、プロセスガス導入口チップ44A〜44Cは図示していない。
図19及び図23Aを参照すると、プロセスガス導入口チップ44Aに設けられたプロセスガス導入口31Aは、基板サセプタサセプタ14上の基板2の表面のうち中心領域2aに向いた俯角(第1の俯角)θd1を有する。従って、矢印FLd1で模式的に示すように、プロセスガス導入口31Aから噴出されたプロセスガスの流れは、基板2の表面の中心領域2aに向かう。
図19及び図23Aを参照すると、プロセスガス導入口チップ44Bに設けられたプロセスガス導入口31Bは、基板サセプタサセプタ14上の基板2の表面のうち外周領域2bに向いた俯角(第2の俯角)θd2を有する。従って、矢印FLd2で模式的に示すように、プロセスガス導入口31Bから噴出されたプロセスガスの流れは、基板2の表面の外周領域2bに向かう。
図19及び図23Cを参照すると、プロセスガス導入口チップ44Cに設けられたプロセスガス導入口31Cは、基板サセプタサセプタ14上の基板2の表面のうち中心領域2aと外周領域2bの間の中間領域2cに向いた俯角(第3の俯角)θd3を有する。従って、矢印FLd3で模式的に示すように、プロセスガス導入口31Cから噴出されたプロセスガスの流れは、基板2の表面の中間領域2cに向かう。
プロセスガス導入口チップ44A〜44Cに形成されたプロセスガス導入口31A〜31C間で、噴出させるガスの流量を俯角θd1〜θd3に応じて異ならせている。具体的には、俯角θd1〜θd3が中心領域2aに向いている程、プロセスガス導入口31A〜31Cに噴出されるプロセスガスの流量を大きく設定している。本実施形態では、すべてのプロセスガス導入口31A〜31Cに対して共通の環状ガス流路33Aを介してプロセスガスが供給されるので、俯角θd1〜θd3の異なるプロセスガス導入口31A〜31C間でプロセスガス供給源19からのプロセスガスの供給圧は同一である。そのため、プロセスガス導入口31A〜31Cの開口面積を異ならせることで、プロセスガス導入口31A〜31C間で噴出されるプロセスガスの流量を異ならせている。
中心領域2aを向いた俯角θd1を有するプロセスガス導入口31A、中央領域2cを向いた俯角θd3を有するプロセスガス導入口31C、及び外周領域2bを向いた俯角θd2を有するプロセスガス導入口31Bの順で開口面積S1,S2,S3が大きい。換言すれば、プロセスガス導入口31Aの開口面積S1はプロセスガス導入口31Cの開口面積S3よりも大きく、かつプロセスガス導入口31Cの開口面積S3はプロセスガス導入口31Bの開口面積S2よりも大きい。供給圧が同一の条件下で開口面積S1〜S3の大小関係をこのように設定することで、中心領域2aを向いた俯角θd1を有するプロセスガス導入口31Aからのプロセスガスの流れFLd1、中間領域2cを向いた俯角θd3を有するプロセスガス導入口31Cからのプロセスガスの流れFLd3、及び外周領域2bを向いた俯角θd2を有するプロセスガス導入口31Bからのプロセスガスの流れFLd2の順で流量が多い。
次に、本実施形態のドライエッチング装置1によって、高圧プロセスにおいて高いエッチングレートと均一なエッチングレートの分布が得られる理由を説明する。
誘電体板8の外周に対応する領域ではICPコイル9を構成する導電体11が互いに密に配置されている。そのため、誘電体板8の下面近傍のICPコイル9の外周に対応する領域、すなわち個々の窓部30のうち平面視で梁状スペーサ7の外周部7aに近い領域にトロイダル状ないしはドーナツ状の高密度プラズマが生じる。図19及び図20に、この高密度のプラズマが発生している領域42を模式的に示す。プロセスガス導入口31A〜31Cは梁状スペーサ7の外周部7aの窓部26に内側側壁面7jに設けられている。換言すれば、ガス導入口31A〜31Cは、ICPコイル9から発生した高周波電界が最も強い領域42のごく近傍からチャンバ3に噴出される。その結果、プロセスガスのプラズマ化が効果的に促進される。また、環状の外周部7aと、外周部7aによって囲まれた領域の中央に位置する中央部7bと、中央部から外周部まで放射状に延びる複数の梁部7cとを備える梁状スペーサ7で誘電体板8を支持しているので、チャンバ3内を減圧した際に誘電体板8の変形をも考慮した機械的強度を確保しつつ、誘電体板8の薄型化を図ることができる。その結果、高周波パワーの投入損失を大幅に低減してプラズマをより高密度化できる。これら2つの理由、すなわちプロセスガスのプラズマ化の効率が高いことと、高周波パワーの投入損失が極めて低いこととにより、高いエッチングレートを実現できる。
誘電体板8の下面近傍のうち、ICPコイル9の外周側に対応するドーナツ状の高密度のプラズマが発生している領域42(高密度プラズマ生成領域)では、ラジカルが高密度で生成され、生成されたラジカルは、主としてプロセスガス導入口31A〜31Cから噴出されるプロセスガスの流れFLd1〜FLd3によって基板2に供給される。
ここで、プロセスガスの基板2上における滞在時間が短い方が、基板2がエッチングされることにより生じた反応生成物のうちパターン内に再付着せず基板2外に排気されるものの割合が高い。また、高圧プロセスではガス流れが粘性を帯びているため、高圧プロセスにおける基板2上のプロセスガスの速度分布は、基板2の中心領域2a側でより流れが淀み、外周領域2b側では速度が大きくなる傾向がある。つまり、プロセスガスを基板2に対して一様に流すと、基板2上のプロセスガスの圧力分布は中心領域2a側で圧力が高く外周領域2b側では圧力が低くなるため(図32A)、高圧プロセスでのプロセスガスの滞留時間は、基板2の中心領域2aで長く、次いで基板2の中間領域2cで長くなり、外周領域2bでは短くなる(32B)。従って、高圧プロセスにおいてプロセスガスを基板2に対して一様に流すと、反応生成物の再付着量は、基板2の中心領域2aで多くなり、次いで中間領域2cで多くなり、外周領域2bでは少なくなる(図32C)。そのため、基板の中心領域2aにおけるエッチングレートが小さく、次いで基板の中間領域2cにおけるエッチングレートが小さくなり、面内に不均一な分布となる(図32D)。
これに対し、本実施形態のドライエッチング装置1は、それぞれ基板2の表面の中心領域2a、外周領域2b、及び中間領域2cに向いた俯角θd1,θd2,θd3を有する3種類のプロセスガス導入口31A,31B,31Cを有している。そのため、外周領域2bにプロセスガス導入口31Bからのプロセスガスの流れFLd2でラジカルが供給されるだけでなく、中心領域2aや中間領域2bにもプロセスガス導入口31A,31Cからのプロセスガスの流れFLd1,FLd3でラジカルが供給される。これらのプロセスガス導入口31A〜31Cの俯角は3種類(θd1,θd2,θd3)あるので、基板2の外周領域2b側へのプロセスガスの導入量よりも基板2の中心領域2a側へのプロセスガスの導入量を多くし、次いで基板2の中間領域2cへのプロセスガスの導入量を多くすることで、基板2の中心領域2aと中間領域2cにおけるプロセスガスの圧力を基板2の外周領域2bにおけるガスの圧力に対して相対的に低下させ(図33A)、基板2の中心領域2aと中間領域2cにおけるプロセスガスの淀みを軽減できる(図33B)。これにより基板2上の反応生成物の滞留時間を均一化し、基板2上への反応生成物の再付着量を均一化できる(図33C)。その結果、高圧プロセスにおいてエッチングレートの面内分布を均一化できる(図33D)。
また、前述のように中心領域2aを向いた俯角θd1を有するプロセスガス導入口31Aからのプロセスガスの流れFLd1、中央領域2cを向いた俯角θd3を有するプロセスガス導入口31Cからのプロセスガスの流れFLd3、及び外周領域2bを向いた俯角θd2を有するガス導入口31Bからのプロセスガスの流れFLd2の順で流量が大きい。このプロセスガスの流れFLd1〜FLd3間の流量の設定は、中心領域2aや中間領域2cに供給されるラジカルの量を外周領域2bに供給されるラジカルの量に対して相対的に増加させるので、中心領域2a、外周領域2b、及び中間領域2c間でエッチングレートの均一化が図れる。また、基板2の外周領域2bへのプロセスガスの導入量に対して、基板2の中心領域2aや中間領域2cへのプロセスガスの導入量をさらに多くできるため、中心領域2aや中間領域2cにおけるプロセスガスの淀みをさらに低減してエッチングレートの均一化が図れる。
以上のように、プロセスガス導入口31A〜31Cが複数の俯角θd1〜θd3を有することが主たる要因となり、かつそれに加えて俯角θd1〜θd3が中心領域2aに向いている程プロセスガス導入口31A〜31Cから噴出されるプロセスガスの流量を多く設定したことで、中心領域2a、外周領域2b、及び中間領域2c間での反応生成物の滞留時間の分布を効果的に均一化し、それによってエッチングレートの分布を均一化できる。具体的には、図24において実線L1で模式的に示すように中心領域2a、外周領域2b、及び中間領域2cでエッチングレートの差が非常に小さい均一なエッチングレートの分布を実現できる。
希ガス導入口41から、高密度プラズマ生成領域42の近傍の誘電体板8の下面8aに向けて希ガスが噴出されることで、誘電体板8のエッチングないし摩耗が防止される点は第1実施形態と同様である。
(第8実施形態)
図25及び図26A〜26Cに示す本発明の第8実施形態では、ガス流路溝7kは、下側部分7mの下側にさらに幅狭の底側部分7rを備える。下側部分7mと底側部分7rとはOリング25Cによって遮断されている。従って、ガス流路溝7kは、3つの環状ガス流路33A,33B,33Cに分割されている。環状ガス流路33A〜33Cは、互いに遮断された別系統の導入路34A〜34Cを介して、対応するガス供給源に接続されている。具体的には、環状ガス流路33A,33Cは、それぞれ導入流路34A,34Cを介して別個のプロセスガス供給源21A,21Bに接続されている。また、環状ガス流路33Bは、独立した導入流路34Dを介して希ガス供給源22に接続されている。
図26Aに示すように、希ガス導入口チップ43の取付孔50は、誘電体板8の下面8aを向いた仰角θeを有する希ガス導入口41が環状ガス流路33Bと連通するようにも設けられている。希ガス導入口41からは希ガス供給源22の希ガスが噴出される。また、図26Bに示すように、プロセスガス導入口チップ44Aの取付孔50、基板2の中心領域2aを向いた俯角θd1を有するプロセスガス導入口31Aが環状ガス流路33Aと連通するように設けられている。俯角θd1のガス導入口31Aからはプロセスガス供給源21Aのプロセスガスが噴出される。さらに、図26Cに示すように、プロセスガス導入口44Bの取付孔50は、基板2の外周領域2bを向いた俯角θd2を有するプロセスガス導入口31Bが環状ガス流路33Cと連通するように設けられている。俯角θd2のプロセスガス導入口31Bからは、プロセスガス供給源21Bのプロセスガスが噴出される。
前述のようにプロセスガス導入口31A,31Bに対して別個のプロセスガス供給源21A,21Bからプロセスガスが供給される。そのため個々のプロセスガス供給源21A,21Bからのプロセスガスの供給圧を調節することで個々のプロセスガス導入口31A,31Bから噴出されるプロセスガスの流量を個別に制御できる。また、仮にプロセスガス導入口31A,31Bの開口面積S1,S2が同一であっても、第7実施形態と同様に俯角θd1,θd2が中心領域2aに向いている程、プロセスガス導入口31A,31Bから噴出されるプロセスガスの流量を大きく設定できる。この場合、中心領域2aを向いた俯角θd1を有するガス導入口31Aのプロセスガス供給源21Aのプロセスガスの供給圧を、外周領域2bを向いた俯角θd2を有するガス導入口31Bのプロセスガス供給源19Bのプロセスガスの供給圧よりも高く設定すればよい。
また、ガス導入口31A,31Bに対して別個のプロセスガス供給源21A,21Bからプロセスガスが供給されるので、個々のプロセスガス供給源21A〜21Bから供給するプロセスガスの種類を異ならせることにより、プロセスガス導入口31A,31Bから噴出されるプロセスガスの種類を俯角θd1,θd2に応じて異ならせてもよい。
第8実施形態と同様に、3種類の異なる俯角θd1〜θd3を有するプロセスガス導入口31A〜31Cを設け、これらのプロセスガス導入口31A〜31Cに対して別個のプロセスガス供給源21A〜21Cからプロセスガスを供給してもよい。
第8実施形態のその他の構成及び作用は第7実施形態と同様である。
(第9実施形態)
図27及び図28に示す本発明の第9実施形態では、第8実施形態と同様に、梁状スペーサ7にそれぞれ別個の希ガス供給源22及びプロセスガス供給源21A,21Bに接続された3つの環状ガス流路33A〜33Cが設けられている。
本実施形態では、希ガス供給口41と異なる俯角θd1,θd2を有する2つのガス導入口31A,31Bが単一の導入口チップ70に設けられている。導入口チップ70は梁状部材7の外周部7aの内側側壁面7jに適合する湾曲形状を有する板状であり、四隅の貫通孔70aに挿通したねじ71を内側側壁面7j側に形成した雌ねじ部(図示せず)にねじ込むことで内側側壁面7jに対して着脱可能ないし交換可能に取り付けられている。導入口チップ70の梁状部材7の外周部7aの内側側壁面7cと当接する面には、ガス溜めように3個の凹部70bが設けられており、これらの凹部70bから希ガス導入口41とプロセスガス導入口31A,31Bが延びている。
また、梁状スペーサ7の外周部7aには、一端が環状ガス流路33A〜33Cの内周壁33bに開口し、他端が凹部42bを介してガス導入口31A〜31Cに連通する3個の流路孔7sが設けられている。環状ガス流路33A〜33Cからチャンバ3の内部まで、流路孔7s、導入口チップ42の凹部42b、ガス導入口41,31A,31Bからなる経路が形成されている。
第9実施形態のその他の構成及び作用は第8実施形態と同様である。第7実施形態から第9実施形態において第6実施形態と同様に希ガス導入口と希ガス供給源に代えて酸素ガス導入口と酸素ガス供給源を採用することも可能である。
誘電体板8の下面8aに削れ防止のために吹き付ける摩耗防止ガス選定は、誘電体板8の削れ防止の観点からは、誘電体板8の材料との反応性が低い(いわば誘電体板8を削らない)ものであるという条件を満たす必要があり、例えば誘電体板8が石英(SiO)からなる場合、吹き付ける摩耗防止ガスは第1実施形態のようなHeやAr等の希ガス及び/又は第6実施形態のようなOが相当する。また、この摩耗防止ガスの選定は、エッチングの観点からは、プロセスガスに含まれているもの、及び/又は、総流量に対する割合が大きい希ガス(He、Ar、Xe、及びNeからなる群のうちの少なくとも1種類を含む。)が好適である。例えば、誘電体板8がSiOからなり、エッチング対象膜がSiであり、プロセスガスと希ガスの混合ガスがSF/O/Hである場合、誘電体板8の削れ防止のために吹き付けるガスは、Oと希ガスのHeの少なくともいずれか一方を選ぶのが好適である。また、誘電体板8がSiOからなり、エッチング対象膜がSiであり、プロセスガスと希ガスの混合ガスがSF/Oであって希ガス(He)を含まない場合、誘電体板8の削れ防止のために吹き付けるガスは、Oガスを選択するのが好適である。さらに、誘電体板がSiOからなり、エッチング対象膜がSiOであり、プロセスガスと希ガスの混合ガスがCF/Arである場合、誘電体板の削れ防止のために吹き付けるガスは、希ガスであるArが好適である。
(第10実施形態)
図35に示す本発明の第10実施形態のドライエッチング装置は、梁状スペーサ7に代えて平面視で概ね円筒状の枠体(支持構造物)117を備える。具体的には、チャンバ本体4の上部開口を密閉する蓋体6は、チャンバ本体4の側壁上端に支持された枠体117と、この枠体117に外周縁付近の下面が支持された円板状の誘電体板8を備える。スペース7で囲まれた領域は、基板サセプタ側から見て誘電体板8の下面8aが露出している窓部118を構成する。枠体117(第1から第9実施形態における梁状スペーサ7の外周部7aに相当する。)に設けられたプロセスガス導入口31A〜31Bと希ガス導入口41から、プロセスガスと希ガスがそれぞれチャンバ3内に導入される。
誘電体板8の厚みが大きく十分な強度があり、チャンバ3内を減圧した際に作用する大気圧をそれ自体で支承することができる場合、本実施形態のように梁状スペーサ7に代えて単なる枠体117で誘電体板8を支持する構成も採用できる。この場合、プラズマ発生のための誘導磁場の透過性を高める目的で、誘電体板8の厚みを部分的に小さくして凹部を形成してもよい。ICPコイル9を構成する導電体11の分布密度が密である領域に凹部を設ければ、誘導磁場の透過性を効果的に向上できる。
第10実施形態のその他の構成及び作用は第7実施形態(図19)と同様であるので、同一の要素には同一の符号を付して説明を省略する。
本発明は前記実施形態に限定されず、以下に例示的な列挙するように、種々の変形が可能である。
図29及び図30はプロセスガス導入口チップの代案を示す。この代案では、梁状スペース7の外周部7bに環状ガス流路33Aの内周壁から梁状スペーサ7の内側側壁面7jに到る水平方向に延びる断面円形の取付孔56が複数個設けられている。取付孔56は環状ガス流路33A側から順に、環状ガス流路33Aに連通する入口部56a、入口部56aよりも大径の中間部56b、及び中間部56bよりも大径の出口部56cを備える。入口部56aと中間部56bの接続部分と中間部56bと出口部56cの接続部分には、それぞれ座部56d,56eが形成されている。
導入口チップ57は軸部57aと、軸部57aの先端に設けられた頭部57bを備える。頭部57bは軸部57aよりも大径である。軸部57bの基端面には凹部57cが形成されている。この凹部57cの底壁から頭部57bの先端面まで貫通するようにプロセスガス導入口31が形成されている。プロセスガス導入口31は導入口チップ57の中心軸に対して傾いて形成されている。導入口チップ57の頭部57bには2個の貫通孔57dが設けられている。導入口チップ57は取付孔56に差し込まれ、軸部57aが中間部56bに収容され、頭部57aが出口部56cに収容される。また、軸部57aの基端下面が座部56d上に配置され、頭部57bの基端面が座部56e上に配置される。
頭部57aの貫通孔57dに貫通させたねじ2本の58を梁状スペーサ7の外周部の7aの内側側壁7jに形成したねじ孔にねじ込むことにより、梁状スペーサ7の外周部7aに対してプロセスガス導入口チップ57が固定されている。また、これらのねじ58によりプロセスガス導入口チップ57のそれ自体の中心線まわりの回転角度位置、すなわちガス導入口31の向きが固定される。希ガスや酸素ガスの導入口チップにも図29及び図30に示すような構造を採用できる。
図31A,31Bは、ガス導入口の代案を示す。これらの代案ではガス導入口31A,31B,41は梁状スペーサ7の外周部7aに形成されている。図31Aの代案では、梁状スペーサ7の外周部7aの上面7gに形成された3つの別個のガス流路溝が環状ガス流路33A〜33Cを構成している。図31Bの代案では、梁状スペーサ7の外周部7aの上面7gに2つの別個のガス流路溝によりそれぞれ環状ガス流路33A,33Bが構成され、梁状スペーサ7の外周部7aの下面7dに形成された1つのガス流路溝により環状ガス流路33Cが構成されている。
前記実施形態ではプロセスガス導入口の俯角は最大で3種類であるが、基板2の中間領域2cを向く俯角を2種類以上に増やして、基板2の中心領域2aに向くものと外周領域2aに向くものと併せて4種類以上の俯角を設定してもよい。
梁状スペーサの外周部や中央部に直接プロセスガス導入口、希ガス導入口、あるいは酸素ガス導入口を形成してもよい。また、ICP型のドライエッチング処理装置を例に本発明を説明したが、プラズマCVD装置等の他のプラズマ処理装置にも本発明を適用できる。
本発明は、添付図面を参照しながら好ましい実施形態に関連して充分に記載されているが、この技術の熟練した人々にとっては種々の変形や修正は明白である。そのような変形や修正は、添付した請求の範囲による本発明の範囲から外れない限りにおいて、その中に含まれると理解されるべきである。
1 ドライエッチング装置
2 基板
3 チャンバ
4 チャンバ本体
5 排気口
6 蓋体
7 梁状スペーサ
7a 外周部
7b 中央部
7c 梁部
7d 下面
7e,7f 溝
7g 上面
7h 溝
7i 凹部
7j 内側側壁面
7k ガス流路溝
7m 第1部分
7n 第2部分
7p 収容凹部
8 誘電体板
8a 下面
9 IPCコイル
11 導電体
12 マッチング回路
13,16 高周波電源
14 基板サセプタ
17 冷媒循環装置
18 伝熱ガス循環装置
21 プロセスガス供給源
22 希ガス供給源
23 コントローラ
24 真空排気装置
25A,25B Oリング
26,27,28 Oリング
29 弾性部材
30 窓部
31,36,71,73 プロセスガス導入口
33A,33B 環状ガス流路
33a 内周壁
33b 外周壁
34A,34B 導入流路
37 導入口プレート
37a 貫通孔
37b 上面
37c 凹部
37d 下面
37e 環状溝
38 ガス分配室
39 入口ガス流路
40 ガス流路
41 希ガス導入口
42 高密度プラズマ発生領域
43 希ガス導入口チップ
43a 雄ねじ部
43b 頭部
43c 凹部
44 プロセスガス導入口チップ
50 取付孔
50a 入口部
50b 雌ねじ部
50c 出口部
50d,50e 座部
51 ねじ
52 Oリング
61,62 希ガス導入口
72 ガス流路
141 酸素ガス導入口
142 酸素ガス供給源

Claims (9)

  1. 内部に基板(2)が配置された真空容器(3)と、
    前記基板と対向する前記真空容器の上部開口に配置され、前記真空容器によって下面(7d)が支持される環状の外周部(7a)と、平面視で前記外周部によって囲まれた領域の中央に位置する中央部(7b)と、前記中央部から前記外周部まで放射状に延びる複数の梁部(7c)とを備え、前記外周部、前記中央部、及び前記梁部で囲まれた領域が窓部(30)を構成する梁状構造物(7)と、
    前記梁状構造物の上面(7g)に下面(8a)が支持される誘電体板(8)と、
    前記誘電体板の上面側に複数の導電体(11)を螺旋状に配置してなり、高周波電力が投入されるプラズマ発生のためのスパイラルコイル(9)と、
    前記梁状構造物の前記外周部の前記窓部に臨む面(7j)に下向きに設けられた、前記基板に向いた俯角を有する複数のプロセスガス導入口(31,36,71,73)と、
    前記プロセスガス導入口にプロセスガスを供給して噴出させるプロセスガス供給源(21)と、
    前記梁状構造物の前記窓部に臨むように上向きに設けられ、前記誘電体板の下面近傍に形成された高密度プラズマ生成領域に向いた仰角を有する複数の摩耗防止ガス導入口(41,61,62,141)と、
    前記摩耗防止ガス導入口に摩耗防止ガスを供給して噴出させる摩耗防止ガス供給源(22,142)と
    を備えることを特徴とする、プラズマ処理装置。
  2. 前記摩耗防止ガス供給源は、前記摩耗防止ガス導入口に希ガスを供給して噴出させる希ガス供給源であることを特徴とする、請求項1に記載のプラズマ処理装置。
  3. 前記希ガスは、ヘリウム、アルゴン、キセノン、及びネオンからなる群のうちの少なくとも1種類を含むことを特徴とする、請求項に記載のプラズマ処理装置。
  4. 前記摩耗防止ガス供給源は、前記第2のガス導入口に酸素ガスを供給して噴出させる酸素ガス供給源であることを特徴とする、請求項1に記載のプラズマ処理装置。
  5. 前記プロセスガス導入口は、少なくとも2種類の俯角(θd1,θd2,θd3)を有するものを含むことを特徴とする、請求項に記載のプラズマ処理装置。
  6. 前記プロセスガス導入口は、
    前記基板の中心領域(2a)に向いた第1の俯角(θd1)を有する第1のプロセスガス導入口(31A)と、
    前記基板の外周領域(2b)に向いた第2の俯角(θd2)を有する第2のプロセスガス導入口(31B)と
    を含むことを特徴とする、請求項に記載のプラズマ処理装置。
  7. 内部に基板(2)が配置された真空容器(3)と、
    前記基板と対向する前記真空容器の上部開口に配置され、前記真空容器によって下面(7d)が支持される環状の外周部(7a)と、平面視で前記外周部によって囲まれた領域の中央に位置する中央部(7b)と、前記中央部から前記外周部まで放射状に延びる複数の梁部(7c)とを備え、前記外周部、前記中央部、及び前記梁部で囲まれた領域が窓部(30)を構成する梁状構造物(7)と、
    前記梁状構造物の上面(7g)に下面(8a)が支持される誘電体板(8)と、
    前記誘電体板の上面側に複数の導電体(11)を螺旋状に配置してなり、高周波電力が投入されるプラズマ発生のためのスパイラルコイル(9)と、
    前記梁状構造物に下向きに設けられた、前記基板に向いた俯角を有する複数のプロセスガス導入口(31,36,71,73)と、
    前記プロセスガス導入口にプロセスガスを供給して噴出させるプロセスガス供給源(21)と、
    前記梁状構造物の前記窓部に臨むように上向きに設けられ、前記誘電体板に向いた仰角を有する複数の摩耗防止ガス導入口(41,61,62,141)と、
    前記摩耗防止ガス導入口に摩耗防止ガスを供給して噴出させる摩耗防止ガス供給源(22,142)と
    を備え、
    前記梁状構造物に交換可能に取り付けられ、それぞれ前記プロセスガス導入口と摩耗防止ガス導入口のうちのいずれか一方が形成された複数の導入口部材(43,37)を備えることを特徴とする、プラズマ処理装置。
  8. 基板(2)をプラズマにより処理するプラズマ処理方法であって、
    真空容器(3)の底部側に前記基板を配置し、
    前記基板と対向する前記真空容器の上部開口に、前記真空容器によって下面(7d)が支持される環状の外周部(7a)と、平面視で前記外周部によって囲まれた領域の中央に位置する中央部(7b)と、前記中央部から前記外周部まで放射状に延びる複数の梁部(7c)とを備え、前記外周部、前記中央部、及び前記梁部で囲まれた領域が窓部(30)を構成する梁状構造物(7)を配置し、
    前記梁状構造物の上面(7g)で下面(8a)が支持されるように誘電体板(8)を配置し、
    前記誘電体板の上面側にプラズマ発生のためのスパイラルコイル(9)を配置し、
    前記梁状構造物の前記外周部の前記窓部に臨む面(7j)に下向きに設けられた、前記基板に向いた俯角を有する複数のプロセスガス導入口(31,36)から前記真空容器内にプロセスガスを噴出し、
    前記梁状構造物の前記窓部に臨むように上向きに設けられ、前記誘電体板に向いた仰角を有する複数の摩耗防止ガス導入口(41,56)から前記誘電体板の下面に向けて摩耗防止ガスを噴出し、
    前記プロセスガス導入口から前記プロセスガスを噴出し、かつ前記摩耗防止ガス導入口から前記摩耗防止ガスを噴出しつつ、前記真空容器内を排気して前記真空容器内を一定圧力に維持し、
    前記スパイラルコイルに高周波電力を投入して前記真空容器内にプラズマを発生させ、前記誘電体板の下面近傍に形成された高密度プラズマ生成領域に向けて、前記磨耗防止ガス導入口から前記磨耗防止ガスを吹き付けつつ、前記基板を処理することを特徴とする、プラズマ処理方法。
  9. 基板(2)をプラズマにより処理するプラズマ処理方法であって、
    真空容器(3)の底部側に前記基板を配置し、
    前記基板と対向する前記真空容器の上部開口に、前記真空容器によって下面(7d)が支持される環状の外周部(7a)と、平面視で前記外周部によって囲まれた領域の中央に位置する中央部(7b)と、前記中央部から前記外周部まで放射状に延びる複数の梁部(7c)とを備え、前記外周部、前記中央部、及び前記梁部で囲まれた領域が窓部(30)を構成する梁状構造物(7)を配置し、
    前記梁状構造物の上面(7g)で下面(8a)が支持されるように誘電体板(8)を配置し、
    前記誘電体板の上面側にプラズマ発生のためのスパイラルコイル(9)を配置し、
    前記梁状構造物に下向きに設けられた、前記基板に向いた俯角を有する複数のプロセスガス導入口(31,36)から前記真空容器内にプロセスガスを噴出し、
    前記梁状構造物の前記窓部に臨むように上向きに設けられ、前記誘電体板に向いた仰角を有する複数の摩耗防止ガス導入口(41,56)から前記誘電体板の下面に向けて摩耗防止ガスを噴出し、
    前記プロセスガス導入口から前記プロセスガスを噴出し、かつ前記摩耗防止ガス導入口から前記摩耗防止ガスを噴出しつつ、前記真空容器内を排気して前記真空容器内を一定圧力に維持し、
    前記スパイラルコイルに高周波電力を投入して前記真空容器内にプラズマを発生させて前記基板を処理し、
    前記プロセスガス導入口と前記磨耗防止ガス導入口のうちのいずれか一方が形成された複数の導入口部材(43,37)が、前記梁状構造物に交換可能に取り付けられているとを特徴とする、プラズマ処理方法
JP2009507408A 2007-03-22 2008-03-19 プラズマ処理装置及びプラズマ処理方法 Active JP5188496B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009507408A JP5188496B2 (ja) 2007-03-22 2008-03-19 プラズマ処理装置及びプラズマ処理方法

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
JP2007074500 2007-03-22
JP2007074500 2007-03-22
JP2007083636 2007-03-28
JP2007083636 2007-03-28
JP2007091741 2007-03-30
JP2007091741 2007-03-30
JP2007091735 2007-03-30
JP2007091735 2007-03-30
JP2009507408A JP5188496B2 (ja) 2007-03-22 2008-03-19 プラズマ処理装置及びプラズマ処理方法
PCT/JP2008/000645 WO2008120459A1 (ja) 2007-03-22 2008-03-19 プラズマ処理装置及びプラズマ処理方法

Publications (2)

Publication Number Publication Date
JPWO2008120459A1 JPWO2008120459A1 (ja) 2010-07-15
JP5188496B2 true JP5188496B2 (ja) 2013-04-24

Family

ID=39808045

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009507408A Active JP5188496B2 (ja) 2007-03-22 2008-03-19 プラズマ処理装置及びプラズマ処理方法

Country Status (5)

Country Link
US (1) US8906249B2 (ja)
JP (1) JP5188496B2 (ja)
KR (1) KR20100014501A (ja)
TW (1) TW200849379A (ja)
WO (1) WO2008120459A1 (ja)

Families Citing this family (326)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20120152900A1 (en) * 2010-12-20 2012-06-21 Applied Materials, Inc. Methods and apparatus for gas delivery into plasma processing chambers
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130337171A1 (en) * 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR102152858B1 (ko) * 2013-03-12 2020-09-07 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR20160021958A (ko) * 2014-08-18 2016-02-29 삼성전자주식회사 플라즈마 처리 장치 및 기판 처리 방법
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9624578B2 (en) * 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN107690487B (zh) * 2015-06-12 2021-03-09 应用材料公司 用于半导体外延生长的注射器
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
CN106876299B (zh) * 2015-12-11 2019-08-23 北京北方华创微电子装备有限公司 半导体加工设备
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6937644B2 (ja) * 2017-09-26 2021-09-22 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
JP7194941B2 (ja) * 2019-04-18 2022-12-23 パナソニックIpマネジメント株式会社 プラズマ処理装置
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
JP2022187737A (ja) * 2021-06-08 2022-12-20 東京エレクトロン株式会社 プラズマ処理装置
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10209116A (ja) * 1997-01-21 1998-08-07 Mitsubishi Electric Corp エッチング装置及びエッチング終点検知方法
JPH11260810A (ja) * 1998-03-06 1999-09-24 Kokusai Electric Co Ltd 基板処理方法および基板処理装置
JP2001110777A (ja) * 1999-10-05 2001-04-20 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
JP2002517086A (ja) * 1998-05-28 2002-06-11 アプライド マテリアルズ インコーポレイテッド 半導体処理チャンバのガス分配器
JP2002289578A (ja) * 2001-03-23 2002-10-04 Shibaura Mechatronics Corp プラズマ処理装置
JP2003068723A (ja) * 2001-08-30 2003-03-07 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
JP2004193148A (ja) * 2002-12-06 2004-07-08 Toshiba Corp プラズマ処理装置
JP2004356651A (ja) * 1999-05-13 2004-12-16 Tokyo Electron Ltd 誘導結合プラズマ処理装置
JP2006073354A (ja) * 2004-09-02 2006-03-16 Matsushita Electric Ind Co Ltd プラズマ処理装置
JP2006185921A (ja) * 2004-12-24 2006-07-13 Samsung Electronics Co Ltd プラズマ装置

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5982100A (en) * 1997-07-28 1999-11-09 Pars, Inc. Inductively coupled plasma reactor
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6331754B1 (en) 1999-05-13 2001-12-18 Tokyo Electron Limited Inductively-coupled-plasma-processing apparatus
EP1115147A4 (en) 1999-05-26 2007-05-02 Tadahiro Ohmi DEVICE FOR PLASMA TREATMENT
JP2001110577A (ja) 1999-10-08 2001-04-20 Asahi National Lighting Co Ltd 赤外線リモコン式蛍光灯器具
JP4338355B2 (ja) 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
JP4537032B2 (ja) 2003-10-14 2010-09-01 独立行政法人科学技術振興機構 プラズマ処理装置およびプラズマ処理方法

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10209116A (ja) * 1997-01-21 1998-08-07 Mitsubishi Electric Corp エッチング装置及びエッチング終点検知方法
JPH11260810A (ja) * 1998-03-06 1999-09-24 Kokusai Electric Co Ltd 基板処理方法および基板処理装置
JP2002517086A (ja) * 1998-05-28 2002-06-11 アプライド マテリアルズ インコーポレイテッド 半導体処理チャンバのガス分配器
JP2004356651A (ja) * 1999-05-13 2004-12-16 Tokyo Electron Ltd 誘導結合プラズマ処理装置
JP2001110777A (ja) * 1999-10-05 2001-04-20 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
JP2002289578A (ja) * 2001-03-23 2002-10-04 Shibaura Mechatronics Corp プラズマ処理装置
JP2003068723A (ja) * 2001-08-30 2003-03-07 Toshiba Corp プラズマ処理装置およびプラズマ処理方法
JP2004193148A (ja) * 2002-12-06 2004-07-08 Toshiba Corp プラズマ処理装置
JP2006073354A (ja) * 2004-09-02 2006-03-16 Matsushita Electric Ind Co Ltd プラズマ処理装置
JP2006185921A (ja) * 2004-12-24 2006-07-13 Samsung Electronics Co Ltd プラズマ装置

Also Published As

Publication number Publication date
KR20100014501A (ko) 2010-02-10
TW200849379A (en) 2008-12-16
JPWO2008120459A1 (ja) 2010-07-15
WO2008120459A1 (ja) 2008-10-09
US20100089870A1 (en) 2010-04-15
US8906249B2 (en) 2014-12-09

Similar Documents

Publication Publication Date Title
JP5188496B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP4012466B2 (ja) プラズマ処理装置
KR100839677B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 장치용 전극 및 전극 제조 방법
US9117635B2 (en) Electrode plate for plasma etching and plasma etching apparatus
JP5462369B2 (ja) プラズマ処理装置
TW201015653A (en) Plasma processing apparatus and plasma processing method
JP2006203210A (ja) 半導体プラズマ処理装置及び方法
WO2007145230A1 (ja) シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP5663056B2 (ja) プラズマ処理装置及び電極構造体
KR101242248B1 (ko) 플라즈마 처리 장치
CN111261485B (zh) 喷头和气体处理装置
JP4753306B2 (ja) プラズマ処理装置
JP5367000B2 (ja) プラズマ処理装置
JP4522980B2 (ja) プラズマ処理装置及びプラズマ処理方法
EP1401013B1 (en) Plasma processing device
JP4522984B2 (ja) プラズマ処理装置
JP5194226B2 (ja) プラズマ処理装置
JP4113895B2 (ja) プラズマ処理装置
JP7194941B2 (ja) プラズマ処理装置
WO2023013437A1 (ja) プラズマ処理装置
JP2010183092A (ja) プラズマ処理装置
CN113745087A (zh) 基片处理装置及其制造方法和排气结构
JP2011157618A (ja) 成膜装置
JP2024011192A (ja) 基板支持器及びプラズマ処理装置
CN114496701A (zh) 等离子体处理装置及其制造方法和等离子体处理方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120626

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120824

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130108

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130122

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160201

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5188496

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150