CN102414797A - 在HVPE中形成原位预GaN沉积层的方法 - Google Patents

在HVPE中形成原位预GaN沉积层的方法 Download PDF

Info

Publication number
CN102414797A
CN102414797A CN2010800193165A CN201080019316A CN102414797A CN 102414797 A CN102414797 A CN 102414797A CN 2010800193165 A CN2010800193165 A CN 2010800193165A CN 201080019316 A CN201080019316 A CN 201080019316A CN 102414797 A CN102414797 A CN 102414797A
Authority
CN
China
Prior art keywords
substrate
predecessor
metal
gas
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2010800193165A
Other languages
English (en)
Inventor
Y·梅尔尼克
H·科吉里
O·克利里欧科
石川哲也
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102414797A publication Critical patent/CN102414797A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4488Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C30B29/403AIII-nitrides

Abstract

本发明提供一种制备用于形成结合III/V族化合物半导体的电子器件基板的方法及装置。使基本卤素气体、卤化氢气体或其它卤素或卤化物气体与液态或固态III族金属接触,以形成前驱物,该前驱物可与氮气源反应以于基板上沉积氮化物缓冲层。缓冲层可为转换层,可加入超过一种III族金属,且可以非晶型态或结晶型态沉积。非晶层可藉由热处理而部份或完全再结晶。除了形成层以外,也可形成多个分散的成核点,成核点的尺寸、密度及分布皆可控制。氮气源可包含反应性氮气化合物,也可包含来自远端等离子体源的活性氮气。缓冲层或转换层的成分也可根据期望的剖面型态而随深度改变。

Description

在HVPE中形成原位预GaN沉积层的方法
【技术领域】
本发明关于如发光二极管(LEDs)或激光二极管(LDs)等器件的制造,并且特别地,本发明关于形成供此类器件所用的基板的方法。
【背景技术】
III族氮化物半导体,如氮化镓(gallium nitride,GaN),在多种半导体器件,如短波长发光二极管(LEDs)、激光二极管(LDs),以及包含高功率、高频、高温晶体管及集成电路的电子器件的发展及制造上具有很大的重要性。目前已被使用来沉积III族氮化物的方法之一为氢化物气相外延(hydride vapor phaseepitaxy,HVPE)沉积。于HVPE中,卤化物与III族金属反应以形成含金属前驱物(如,金属氯化物)。含金属前驱物接着与含氮气体反应,以形成III族金属氮化物。
由于对LEDs、LDs、晶体管以及集成电路的需求持续增加,沉积III族金属氮化物的效率更显重要。因此,对于可在大型基板或多重基板上均匀地沉积薄膜的具有高沉积率的装置与制程有普遍的需求。此外,对基板上一致的薄膜品质来说,均匀的前驱物混合是理想的。因此,于此技术领域中,对于改良的HVPE沉积方法及HVPE装置也有所需求。
【发明内容】
本发明的具体实施例提供一种于基板上沉积薄膜的方法,该方法包含下列步骤:将第一III族金属暴露至第一无氢含卤素气体,以形成第一前驱物;将第二III族金属暴露至第二无氢含卤素气体,以形成第二前驱物;藉由使第一前驱物与第一氮气源于处理腔室中反应,以于基板上形成第一薄膜;以及藉由使第二前驱物与第二氮气源于处理腔室中反应,以于基板上形成第二薄膜。
其它具体实施例提供一种形成电子器件的支撑表面的方法,该方法包含下列步骤:将结构基板暴露至含氮气体,以形成基础表面,该基础表面包含位于该结构基板上的含氮薄膜;使第一无氢含卤素气体接触第一金属,以形成第一前驱物;藉由使第一前驱物与第一试剂反应,以沉积邻近基础表面的缓冲层;使第二无氢含卤素气体接触第二金属,以形成第二前驱物;以及藉由使第二前驱物与第二试剂反应,以沉积邻近该缓冲层的层,形成支撑表面。
其它具体实施例提供一种处理基板的方法,该方法包含下列步骤:将第一金属暴露至第一无氢含卤素气体,以形成第一含卤素前驱物;将第二金属暴露至第二无氢含卤素气体,以形成第二含卤素前驱物;以及使第一及第二含卤素前驱物与氮气源以选用的体积比率进行反应,以于基板上沉积第一层,该第一层包含期望量的第一及第二金属。
其它具体实施例提供一种于基板上沉积薄膜的方法,该方法包含下列步骤:使第一无氢含卤素气体流过第一金属,以形成第一金属卤化物前驱物;使第二无氢含卤素气体流过第二金属,以形成第二金属卤化物前驱物;使第一金属卤化物前驱物与第一氮气源反应,以于基板上沉积多个分散的成核点,各成核点包含第一金属;以及使第二金属卤化物前驱物与第二氮气源反应,以于基板上沉积薄膜,该薄膜包含第二金属。
【附图简单说明】
为使本发明的上述特征更明显易懂,可配合参考具体实施例说明,其部分乃绘示如所附附图。然而,需注意的是,附图仅示意本发明的典型实施例,故不应被认为限定其范围,因为本描述可以允许有其他等效具体实施例。
图1是示例的LED器件的示意图。
图2是概述根据一具体实施例的方法的流程图。
图3是概述根据另一具体实施例的方法的流程图。
图4是根据另一具体实施例的装置的剖面视图。
图5是根据另一具体实施例的装置的剖面视图。
图6是根据另一具体实施例的装置的平面图。
为助于理解,在可能的情况下,相同的参考标记代表为各图所公用的相似的元件。应理解某一具体实施例的元件当可并入其他具体实施例,在此不另外详述。
【具体实施方式】
本文所公开的具体实施例提供了形成为电子器件(包含多种“III/V”化合物半导体)所用的支撑基板的方法及装置。III族金属氮化物材料可于结构基板上提供为III/V器件所用的支撑层。某些具体实施例可被用来提供为LEDs、激光二极管及其它基于氮化镓、氮化铟及氮化铝的器件所用的支撑基板。于典型的器件中,p-n结(p-n junction)形成于结构基板上,p-n结也可作为注入电流的电极接触点。注入至接合点的电流造成电子与电洞的结合,使具有直接带隙(directbandgap)的材料释放光能。结构基板可为任何合适的基板,如单晶基板(singlecrystal substrate),其中N-型掺杂的III族氮化物外延薄膜可形成于结构基板上。可用于本发明的具体实施例的结构基板包含,但不限于,硅(Si)、碳化硅(SiC)、蓝宝石或其它形态的氧化铝(Al2O3)、氧化锂铝(LiAlO2)、氧化锂镓(LiGaO2)、氧化锌(ZnO)、氮化镓(GaN)、氮化铝(AlN)、石英、玻璃、砷化镓(GaAs)、尖晶石(MgAl2O4)、任何其组合物、任何其混合物或任何其合金。于某些具体实施例中,任何已知的方法,如遮罩及蚀刻可被应用,以在平面基板上形成特征结构,以创造图样化基板。于特定的具体实施例中,图样化基板为(0001)图样化蓝宝石基板(patterned sapphire substrate,PSS)。由于图样化蓝宝石基板能增加对制造新一代的固态发光器件非常有用的光提取效率,因此对于LED的制造而言,使用图样化蓝宝石基板是理想的。
图1是示例的以GaN为基础的LED结构100的侧视图。该结构100被制造并覆盖基板104上。基板尺寸可介于直径50mm至100mm之间或更大。无掺杂的氮化镓(u-GaN层)及之后的n-型GaN层112被沉积于形成在基板上的GaN或氮化铝(AlN)缓冲层109上。器件的活性区域位于多重量子井(multi-quantum-well,MQW)层116中,如图所示,多重量子井层116包含InGaN层。p-n结与覆盖于上方的p-型AlGaN层120一起形成,加上作为接触层的p-型GaN层124。
在大多数的此类器件中,III族氮化物薄膜形成于结构基板上。III族氮化物薄膜通常作为形成于其上的p-n结的支撑层。为了促进此类薄膜的形成,缓冲层或转换层通常于III族氮化物薄膜之前形成于基板上。缓冲层或转换层可促进基板以及支撑层间的结晶学性质及热性质的转换,减少层剥离的趋势。第一经掺杂III族氮化物层与n-型或p-型掺质(dopant)一起形成并覆盖于缓冲层或转换层上。多重量子井层形成并覆盖于第一经掺杂III族氮化物层上,且第二经掺杂III族氮化物层以和第一经掺杂III族氮化物层相反的掺质型态形成并覆盖于活性层上,以形成可用以将电能转成辐射能的p-n结。
图2是概述根据一具体实施例的方法200的流程图。图2的方法可被用以在如上述的化合物板导体器件(与图1相关)的基板上形成支撑表面。于步骤210,第一含卤素气体与第一III族金属接触,以形成第一前驱物。含卤素气体可为卤化物气体及/或无氢含卤素气体。于某些具体实施例中,含卤素气体可包含氟气(F2)、氯气(Cl2)、溴气(Br2)、碘气(I2)、氟化氢气体(HF)、氯化氢气体(HCl)、溴化氢气体(HBr)、碘化氢气体(HI)或其混合物及其组合物。III族金属可为镓、铝、铟、其混合物、其组合物或其合金。含卤素气体流过装有III族金属(可为液态或固态)的储液槽。含卤素气体与III族金属反应,以形成金属卤化物气体,该金属卤化物气体可作为形成薄膜的反应前驱物。
于步骤220,第二含卤素气体与第二III族金属接触,以形成第二前驱物。于一示例的具体实施例中,第一储液槽装有固态铝,而第二储液槽装有液态镓。这些储液槽被加热以使镓维持于液态,并促进卤化反应。氯气同时或依序流过两种金属,所产生的卤化物被用来于基板上沉积薄膜。
于方法200的一具体实施例中,在步骤210、220或230进行之前,蓝宝石基板被设置于处理腔室中,并以约1℃/sec至约5℃/sec之间的温度斜率(temperature ramp rate),将蓝宝石基板加热至约500℃至约1,100℃之间,如约850℃至约1,100℃之间。使氨气及氮气以约100sccm至约15,000sccm的流速流过基板5至20分钟,以热清洁并氮化基板。将基板氮化可提供薄的基础层,该基础层用以沉积电子器件的支撑层。于一具体实施例中,当一或多个基板被加热至清洁温度时,可藉由使清洁气体混合物流入处理腔室(processing chamber)来执行热清洁。于一具体实施例中,清洁气体混合物包含氨、含卤素气体(例如,Cl2、F2、Br2、I2)以及载气(carrier gas)。于一具体实施例中,载气可包含氮气(N2)。
于步骤230,于处理腔室中使第一前驱物与第一氮气源反应,以于基板上沉积第一层。此第一层可作为基板以及以下所述第二层之间的缓冲层。于一具体实施例中,第一氮气源为氨。于其它具体实施例中,第一氮气源可为一或多种活性氮气物质,其衍生自含氮材料(如氮气(N2)、一氧化二氮(N2O)、氨(NH3)、联氨(N2H4)、二酰亚胺(N2H2)、迭氮酸(HN3)及类似物)的远端等离子体。于其它具体实施例中,第一氮气源也可为氨及一或多种活性氮气物质的混合物。第一氮气源也可与稀释剂(diluent)或载气一起供应,稀释剂或载气为至少部分反应性气体,如氮气,或为非反应性气体,如氦气或氩气。第一氮气源与第一金属卤化物反应,产生包含金属氮化物的第一层,且此反应的副产物为卤化氢及潜在的氢气。第一层的厚度通常可达约300nm。
于步骤240,于相同的处理腔室中使第二前驱物与第二氮气源反应,以于基板上沉积第二层,其中第二氮气源可相同或不同于第一氮气源。第二层包含金属卤化物,且第二层本质上可与第一层有相同或不同的组成。于一示例的具体实施例中,第一层为氮化铝层,而第二层为氮化镓层。当第一层达到目标厚度时,使第一前驱物停止流入处理腔室,并使第二前驱物开始流入处理腔室。或者,在第一前驱物停止流入以及第二前驱物开始流入之间,可用净化气体(如氮气、氦气或氩气)净化处理腔室。于一具体实施例中,理想情况是在包括含硅材料(例如,Si、SiC)的基板表面上形成第二金属氮化物以前,先于基板表面上充分地沉积并覆盖氮化铝薄膜,以避免含硅表面受到含氯化镓(如,GaCl、GaCl3)的前驱物气体的攻击或蚀刻。
藉由以约70sccm至约140sccm之间的流速使氯气流过固态铝,且铝维持在约450℃至约650℃的温度以形成氯化铝前驱物,可长成邻近基础层的氮化铝缓冲层。当氮气源气体持续以介于约1,000sccm至约9,000sccm之间的速率(视反应器尺寸而定)流动时,以介于约1℃/sec至约5℃/sec的斜率将基板的温度斜线下降至第二温度,第二温度介于约500℃至约950℃之间,如介于约550℃至约700℃之间,例如约640℃。于整个具体实施例中所使用的温度斜率有益于防止热应力的产生,而热应力可能来自因材料的不同热膨胀系数造成的层与层间的弱粘着性。当基板温度达到第二目标温度时,开始让氯化铝前驱物流入处理腔室中。这些条件将被保持,直到氮化铝层达到目标厚度。接着,停止流过固态铝的氯气。
藉由以介于约1℃/sec至约5℃/sec的斜率将基板的温度斜线变化至第三温度,第三温度介于约550℃至约1,100℃之间,如介于约900℃至约1,100℃之间,例如约1,050℃,并开始以约20sccm至约150sccm之间的流速使氯气流过温度维持于约700℃至约1,000℃之间的液态镓储液槽,可形成邻近氮化铝层的氮化镓层。于一具体实施例中,氯气流是于温度斜线变化时形成。产生的氯化镓前驱物被提供至处理腔室,且将氮气源气体的流速提高到约6,000sccm至约50,000sccm之间,使氮化镓层以约0.3μm/hr至约15μm/hr的速率沉积。在沉积过程中,腔室压力维持在约10Torr至约760Torr之间,如约70Torr至约550Torr之间,例如约450Torr,且腔室壁的温度维持于或高于约450℃。
于一具体实施例中,当基板温度斜线变化至第三温度时,含氮气体,如氮气(N2)、氨(NH3)或联氨(H2N2)可任选地被提供至腔室中。形成第一层时所使用的含氮气体可于温度斜线变化期间以相同的流速持续被供应,或者替代的含氮气体可以相同的流速范围被提供。含氮气体提供第一层额外的氮化作用,增进第一层作为缓冲层或转换层的性质。于另一替代的具体实施例中,于第一层及/或第二层形成期间,含卤素气体,如卤素或卤化物气体,例如氯气(Cl2)或氯化氢(HCl)可直接被提供至处理腔室。卤化物前驱物流通常先被建立,并接着开始卤素或卤化物气体流。卤素或卤化物气体通常藉由在材料层形成期间对不良粘着成分进行渐进式蚀刻(incremental etching),以增进该材料层的性质。
于某些具体实施例中,第一层以及第二层可于不同处理腔室中形成。举例而言,若要在含硅基板(如硅或碳化硅)上形成氮化镓层,可能是比较有利的是于第一腔室中形成第一层(如氮化铝)并于第二腔室中形成第二层(氮化镓),因为这样可避免在单一腔室中形成第一层及第二层时可能面临的硅基板与微量镓的反应。通常,对于本文所公开,涉及形成多于一层的所有方法来说,可根据个别具体实施例的需求来决定于单一腔室或多个腔室中形成这些层。于某些具体实施例中,理想的情况是将二或多个处理腔室连接至真空或环境控制移送室,使沉积于基板表面的一或多层不会在处理腔室间转移基板的过程中被污染或氧化。
图3是概述根据另一具体实施例的方法300的流程图。虽未绘示于图3,但于方法300的某些具体实施例中,可于步骤310、320或330进行之前,使用前面所讨论的一或多个步骤来热清洁并氮化一或多个基板。于步骤310,第一含卤素气体与第一III族金属接触,以形成第一前驱物。含卤素气体可为基本卤素气体,如氟气、氯气、溴气、碘气、其混合物或其组合物。含卤素气体也可为前述基本卤素气体的卤化氢气体、卤化氢气体的混合物或组合物,或卤化氢气体及基本卤素气体的混合物或组合物。III族金属可为镓、铟、铝、其任何混合物、其任何组合物或其任何合金。当暴露至含卤素气体时,III族金属可被维持于固态或液态。根据个别具体实施例的需求,含卤素气体可藉由流过金属上方、流过金属周围或穿过金属等方式,以暴露至III族金属。
于步骤320,第二含卤素气体与第二III族金属接触,以形成第二前驱物。第二含卤素气体可能相同或不同于第一含卤素气体,但通常将选自相同的材料群组。第二III族金属同样可能相同或不同于第一III族金属,但通常将选自相同的群组。第二III族金属也可为固态或液态,且可以任何前述的方式暴露至含卤素气体。
于步骤330,第一及第二前驱物与氮气源一起被提供至含有基板的处理腔室。基板通常为前述的各种结构基板,且如前所述,氮气源可为氨或活性氮气物质。氮气源可与反应性载气(如氮气)或非反应性载气(如氦气或氩气)一起被提供。
于步骤340,促进第一及第二前驱物与一或多个氮气源反应,以于基板上沉积包含第一及第二III族金属的层。所沉基的该层可为第一及第二III族金属氮化物的混合。举例而言,该层可为氮化铝铟层,或氮化铝镓层,或氮化铟镓层。于其它具体实施例中,第三含卤素气体可被暴露至第三III族金属,所产生的前驱物被提供至处理腔室与氮气源、第一及第二前驱物一起反应,以形成包含三种III族金属的层。
于步骤350,变化第一及第二前驱物的流速,以控制沉积的薄膜的组成。于一具体实施例中,包含铝及镓的层可被沉积于蓝宝石基板上。该层可先进行富含铝的沉积,使该层具有接近蓝宝石基板的组成,且铝及镓前驱物的流速可平稳地改变以于增加薄膜厚度的同时增加薄膜中的镓含量,最终形成富含镓的区域。如前所述,这样的渐变组成(graded composition)薄膜可促进性质的转换。于一具体实施例中,流速转换可以是线性的。举例而言,第一及第二前驱物的流速可开始于第一目标流速(此时第一前驱物相较于第二前驱物本质上超量),并可斜线改变至第二目标流速(此时第二前驱物相较于第一前驱物本质上超量)。于一具体实施例中,第二前驱物流可先被停止,以沉积不含第二III族金属的起始层。第二前驱物流可接着被导入,以开始沉积包含第一及第二III族金属的层。当第一前驱物流被斜线减少时,第二前驱物流可接着被斜线增加,以形成渐变组成。第一前驱物流可接着被停止,以形成不含第一III族金属的最终层。
于其它具体实施例中,流速转换也可以是非线性的。举例而言,浓度轮廓可呈S型,或可展现自第一浓度至第二浓度的猝然转移。于某些具体实施例中,浓度轮廓也可能展现自第一等级至第二等级的一连串阶段性改变。
当使氮气源的流速保持恒定时,藉由控制金属前驱物的相对流速可生产如前所述的那些渐变组成。不同金属前驱物的反应速率也同样可因不同温度变化而受到不同影响。可根据两个体积流速(volumetric flow rate)的比率控制金属前驱物的流速。举例而言,第一前驱物流速与第一及第二前驱物流速总合的比率可先被设定为90%,接着以某速度(由期望的层的厚度所决定)斜线下降至10%。藉以非线性方式改变此比率也可产生非线性组成轮廓。
于某些具体实施例中,根据前述任何组成的缓冲层可于选定的温度下被沉积,以形成非晶结晶结构,并接着再结晶至任何期望的程度。藉由在低于被沉积材料的序化温度(ordering temperature)下沉积本文所述的任何氮化物层,可形成非晶薄膜。对于某些具体实施例,在低于约550℃的温度下沉积会产生非晶缓冲层。缓冲层可接着通过热处理被部份或完全再结晶。在一方面,缓冲层可在高于约700℃,如介于约700℃至约1,000℃之间,例如约900℃的温度下进行退火处理,以完成再结晶反应。在缓冲层及支撑层于相同腔室中进行沉积的具体实施例中,于非晶缓冲层沉积之后及支撑层沉积之前,基板可经热浸(heat soak)步骤处理。热浸步骤可于选作沉积支撑层的温度下进行,且可具有进行期间介于约1分钟至约10分钟。调整热浸的时间将影响再结晶的程度,短时间的热浸步骤产生小型晶域(crystal domains)埋设于非晶基质(amorphousmatrix)中,而长时间的热浸步骤则产生只有小型非晶域(若有的话)的本质上多晶的基质(polycrystalline matrix)。
可根据空间参数(dimensional parameter)使用定向退火(Directionalannealing)来再结晶非晶缓冲层。然而,一般烘烤将产生主要等向再结晶(isotropic recrystallization),定向加热则可被应用来选择性地靠近一表面或另一表面结晶。举例而言,辐射能可被应用至沉积的缓冲层的上表面,以由该表面向下再结晶。这种方式的部份再结晶会造成缓冲层靠近下方基板处为本质上非晶的,而靠近上表面处则为本质上结晶的。举例而言,缓冲层的表面可被加热到至少约700℃,约1分钟。同样,藉由背面加热所造成的部份再结晶可预期会达到相反的结果。于某些具体实施例中,定向退火以完全再结晶可以是增进再结晶而不困住结晶基质中的非晶域的有效方式。随着自一表面至另一表面的材料再结晶,晶体瑕疵以及非晶域较不可能保留于基质中。
在一方面,缓冲层可于两个或多个程序中被沉积,且非沉积制程可介于这些沉积程序之间。于一具体实施例中,缓冲层的第一部份可于第一选定温度下被沉积以形成非晶层,直到达到目标厚度为止。当该第一部份进行退火以再结晶其至少一部份时,可暂停沉积。接着可热控制基板至第二选定温度,以形成结晶层,且以结晶型态将缓冲层的第二部份沉积于第一部份上。于另一具体实施例中,一个沉积程序可包含多个沉积循环,并与多个热处理、氮化或清洁循环交替进行。于这些方式的任一方式中,被形成的缓冲层为化合物层,且缓冲层可与后续的支撑层于相同处理腔室中形成。
于某些具体实施例中,氮气源气体可包含活性氮气物质。藉由将远端等离子体产生器连接至用来沉积缓冲层及支撑层的处理腔室,可得到活性氮气。藉由将能量应用至含氮前驱物,远端等离子体产生器可产生活性氮气物质,如包含氮气的离子或自由基。此类含氮前驱物可包含氮气(N2)、氨(NH3)、一氧化二氮(N2O)、联氨(N2H4)、二酰亚胺(N2H2)、迭氮酸(HN3),且可为一种以上的含氮化合物的混合。所用的能量适合于被活化的前驱物,且可包含由能量源(如DC或RF能量、UV辐射或微波辐射)所提供的能量。含氮化合物可被此能量解离成离子,而离子可重组成包含自由基的中性带电物质。被活化的氮气可流经一段空间,自远端等离子体腔室被导入处理腔室。当气体向处理腔室移动时,仍带电的物质藉由重组而消灭,留下自由基及其它中性带电反应性物质与含金属前驱物反应。于某些具体实施例中,活性氮气可如前所述与其它氮气源或载气混合。
如同图2的方法,于方法300形成多种薄膜的期间,可额外提供含卤素气体至处理腔室,以增进薄膜的性质。如前所述,含卤素气体可为卤素气体或卤化物气体,如氯气或氯化氢气。
图4是可被用来执行本文所述一或多个本发明的具体实施例的HVPE装置400的剖面视图。图4中的HVPE装置400包含腔室主体402,腔室主体402具有一或多个壁面403包围一处理容积(processing volume)408。喷头组件404设置在该处理容积的一边界处,且基板载台414设置于处理容积408的另一边界处。基板载台414可包含一或多个凹陷416,使一或多个基板可于处理期间被设置于凹陷416中。基板载台414可承载六个以上的基板。于一具体实施例中,基板载台414可承载八个基板。需了解的是,基板载台414可承载更多或较少数量的基板。基板载台的尺寸可介于200mm至500mm之间,而基板尺寸可介于直径50mm至100mm之间或更大。基板载台可由多种材料形成,包含SiC或以SiC涂布的石墨。需了解的是,其它尺寸的基板也可根据本文所述的制程,于HVPE装置400中进行处理。
于处理期间,基板载台414可藉由使用旋转马达405而绕着基板载台414的中心轴旋转。于一具体实施例中,基板载台414可以介于约2RPM至约100RPM,如约30RPM,的速率旋转。于某些具体实施例中,旋转基板载台414有助于将处理气体均匀暴露至各个基板。于另一具体实施例中,基板可于基板载台414中独立旋转,或者与基板载台414本身一起旋转。
可被群组于阵列中的多个灯430a、430b可被设置于基板载台414下。对某些具体实施例而言,典型的灯排列可包含位于基板上方(未绘示)及下方(如图所示)的灯阵列。本发明的一具体实施例可包含侧面灯。于某些具体实施例中,灯可以同心圆方式排列。举例而言,内侧灯430b所组成的阵列可包含八个灯,而外侧灯430a所组成的阵列可包含十二个灯。于一具体实施例中,各个灯430a、430b皆为独立供电。于另一具体实施例中,灯430a、430b所组成的阵列可设置在喷头组件(showerhead assembly)404上方或内部。需了解的是,依据个别具体实施例的需求,可能存在其它排列方式及其它数量的灯。灯430a、430b所组成的阵列可被选择性地供电,以加热基板载台414的内侧或外侧区域。于一具体实施例中,对作为内侧阵列和外侧阵列的灯430a、430b集中供电,其中顶部和底部阵列不是集中供电就是独立供电。于再一具体实施例中,分开的灯或加热构件可设置在源舟(source boat)480的上方及/或下方。需了解的是,本发明不限于使用灯阵列。任何适合的热源都可能被使用以确保将适当的温度充分地应用到处理腔室、其中的基板以及金属源。举例而言,可利用快速热处理灯系统。
于一具体实施例中,源舟480可被安瓿组件(ampoule assembly)423取代,安瓿组件423的位置与设置于一或多个壁面403上的喷头组件404部件相隔一距离。与此配置中,卤素气体及/或惰性气体可自气体源427被输送至安瓿425(含有固态或液态源材料)的井425A,以创造金属卤化物前驱物(如,GaCl、GaCl3、AlCl3),此金属卤化物前驱物可进一步被输送至处理容积408。可藉由加热源429加热安瓿,以加热源材料并使金属卤化物前驱物能被形成。含金属前驱物气体可接着藉由一组气体管或藉由传统喷头型组件被提供至处理容积408。含氮前驱物气体也可通过一组气体管被导入处理容积408中。于某些具体实施例中,含氮前驱物气体可含有氨。
多个灯430a、430b中的一个或多个可被供电以同时加热基板及源舟480。灯可将基板加热至约900℃至约1200℃。于另一具体实施例中,灯430a、430b可将将源舟480中的井420中的金属源保持在约350℃至约900℃。在井420中可设置热电偶(未绘示),以在处理期间测量金属源的温度。由热电偶测量的温度可反馈到调节由加热灯430a、430b提供的热的控制器,从而必要的话,井420中的金属源的温度可以被控制或调节。
在根据本发明的一具体实施例的处理期间,前驱物气体406从喷头组件404流向基材表面。前驱物气体406在基材表面或附近的反应可以将包括GaN、AlN和InN的各种金属氮化物层沈积在基材上。也可以将多种金属用于“组合薄膜(combination films)”的沉积,如AlGaN及/或InGaN。
如图1所示的器件的多重量子井层,可于MOCVD腔室(如,可自从加州圣克拉拉市应用材料公司的光产品部门(Lighting Products division)取得者)上使用MOCVD制程而形成。可根据任何前述方法制备的基板,如任何前述的结构基板,被提供至MOCVD腔室。III族金属有机前驱物与V族前驱物以及载气一起被提供至腔室。合适的III族金属有机物包含三甲基镓(trimethyl gallium,TMG)、三甲基铝(trimethyl aluminum,TMA)、三甲基铟(trimethyl indium,TMI)、其组合物或其混合物。合适的V族前驱物通常含氮。可用来形成金属氮化物的反应性含氮气体包含氨(NH3)以及联氨(N2H4)。合适的载气包含氢气(H2)、氮气(N2)、氦气(He)、氩气(Ar)、氙气(Xe)、其组合物或其混合物。于另一具体实施例中,氮气源可为一或多种活性氮气物质,其衍生自含氮材料(如氮气(N2)、一氧化二氮(N2O)、氨(NH3)、联氨(N2H4)、二酰亚胺(N2H2)、迭氮酸(HN3)及类似物)的远端等离子体。掺质前驱物也可被供应至腔室中,使沉积的薄膜中包含掺质。于一具体实施例中,在提供至腔室的气体混合物中包含二环戊二烯基镁(dicyclopentadienyl magnesium,Cp2Mg),可使镁(Mg)作为掺质加入薄膜中。以下表1提供示例的处理条件以及前驱物流速,其通常适合使用前述器件的氮化物半导体结构的成长:
表1
  参数   值
  温度(℃)   500-1200
  压力(Torr)   5-760
  TMG流速(sccm)   0-50
  TMA流速(sccm)   0-50
  TMI流速(sccm)   0-50
  PH3流速(sccm)   0-1000
  AsH3流速(sccm)   0-1000
  NH3流速(sccm)   100-100,000
  N2流速(sccm)   0-100,000
  H2流速(sccm)   0-100,000
  Cp2Mg   0-2,000
图5是可被用来实施本发明所述的方法的HVPE装置500的剖面视图。HVPE装置500包含被盖体504封闭的腔室502。腔室502及盖体504定义一处理容积507。喷头506设置于处理容积507的上方区域。基座(susceptor)514与喷头506相对地设置于处理容积507中。基座514被配置来于处理期间支撑多个基板515于基座514上。于一具体实施例中,多个基板515被设置于基板载台516上,基板载台516则由基座514支撑。基座514可被马达580旋转,且可由多种材料形成,包含SiC或以SiC涂布的石墨。
于一具体实施例中,HVPE装置500包含加热组件528,加热组件528被配置来加热基座514上的基板515。于一具体实施例中,腔室底部502a由石英形成,且加热组件528为设置于腔室底部502a下方的灯组件,以通过石英腔室底部502a加热基板515。于一具体实施例中,加热组件528包含灯所组成的阵列,以于基板、基板载台及/或基座上提供一致的温度分布。
HVPE装置500进一步包含前驱物供应管路522、524,设置于腔室502的侧壁508内。管路522、524与处理容积507及前驱物源模组532中的入口管521流体连通(fluid communication)。喷头506与处理容积507及气体源510流体连通。处理容积507与排气装置551流体连通。
HVPE装置500进一步包含埋设于腔室502的侧壁508内的加热器530。若有需要,埋设于侧壁508的加热器530可于沉积制程期间提供额外的热。热电偶可被用来测量处理腔室内部的温度。由热电偶测量的温度可反馈到控制器541,控制器541可根据热电偶(未绘示)的读值调节供应至加热器530(例如,电阻加热构件)的电力,以调节腔室502侧壁的温度。举例而言,若腔室太冷,加热器530将被打开。若腔室太热,加热器530将被关闭。此外,加热器530所提供的热量可受控制,以使加热器530所提供的热量最小化。
来自气体源510的处理气体通过设置于气体分布喷头506的气室(gasplenum)536输送至处理容积507。于一具体实施例中,气体源510可包括含氮化合物。于一具体实施例中,气体源510被配置来输送包含氨或氮的气体。于一具体实施例中,惰性气体,如氦气,或双原子氮气可通过气体分布喷头506导入,也可通过设置于腔室502的侧壁508上的管路524导入。能量源512可设置于气体源510以及喷头506之间。于一具体实施例中,能量源512可包含加热器或远端RF等离子体源。能量源512可提供能量给自气体源510传输的气体,以形成自由基或离子,致使含氮气体中的氮更具活性。
源模组532包含连接至源舟534的井534A的卤素气体源518以及连接至该井534A的惰性气体源519。源材料523,如铝、镓或铟设置于井534A中。加热源520围绕源舟534。入口管521经由管路522、524将井534A连接至处理容积507。
于一具体实施例中,卤素气体(如,Cl2、Br2或I2)于处理期间自卤素气体源518被传输至源舟534的井534A,以创造金属卤化物前驱物(如,GaCl、GaCl3、AlCl3)。卤素气体以及固态或液态源材料523的交互作用容许金属卤化物前驱物形成。加热源520可加热源舟534,以加热源材料523并容许金属卤化物前驱物形成。金属卤化物前驱物接着通过入口管521输送至HVPE装置500的处理容积507。于一具体实施例中,自惰性气体源519输送的惰性气体(如,Ar、N2)可用来承载或推动形成于井534A中的金属卤化物前驱物通过入口管521以及管路522、524至HVPE装置500的处理容积507。当金属卤化物前驱物提供至处理容积507时,含氮前驱物气体(如,氨(NH3)、N2)可通过喷头506导入处理容积507,致使金属氮化物层形成于设置在处理容积507中的基板515的表面。
图6是根据一具体实施例的处理系统600的平面图。处理系统600包含一个HVPE腔室602以及多个MOCVD腔室603a及603b,以根据本文所述的具体实施例制造氮化物半导体器件。于一具体实施例中,处理系统600中的环境被维持于真空或低于大气压力的状态。于某些具体实施例中,理想状况是以惰性气体,如氮气回填处理系统600。虽然图6绘示了一个HVPE腔室602以及两个MOCVD腔室603a及603b,但需了解的是,任何一或多个MOCVD腔室与一或多个HVPE腔室的组合都可能连接至移送室606。举例而言,于一具体实施例中,处理系统600可包含三个MOCVD腔室。于另一具体实施例中,本文所述的制程可于单一MOCVD腔室中进行。还需了解的是,虽然图6绘示了群集设备,但本文所述的具体实施例也可于线性轨道系统(linear track system)中进行。
于一具体实施例中,附加腔室(additional chamber)604连接至移送室606。于一具体实施例中,附加腔室604包含附加处理腔室,如MOCVD腔室或HVPE腔室。于另一具体实施例中,附加腔室604可包含测量腔室(metrology chamber)。于再一具体实施例中,附加腔室604可含有处理前(pre-processing)腔室或处理后(post-processing)腔室,如适用于除气、定位、冷却、前处理/前清洁、后退火及类似任务的服务腔室(service chamber)。于一具体实施例中,移送室的外观为具有六个侧边的六面体,移送室具有六个供处理腔室固定的位置。于另一具体实施例中,移送室606可能具有其它外观,并具有五个、七个、八个或多个侧边,并具有对应数目的处理腔室固定位置。
HVPE腔室602适用于执行HVPE制程,其中气相金属卤化物可用来于加热的基板上外延成长氮化物半导体材料薄层。HVPE腔室602包含腔室主体614,基板可设置于其中以进行处理;化学输送模组618,气体前驱物可自此输送至腔室主体614;以及电子模组622,电子模组622包含处理系统600的HVPE腔室所用的电子系统。
各个MOCVD腔室603a、603b包含形成处理区域的腔室主体612a、612b,基板可设置于处理区域中以进行处理;化学输送模组616a、616b,气体,如前驱物、净化气体及清洁气体,可自此输送至腔室主体612a、612b;以及供各个MOCVD腔室603a、603b所用的电子模组620a、620b,该电子模组包含处理系统600的各MOCVD腔室所用的电子系统。各个MOCVD腔室603a、603b适用于进行CVD制程,有机金属元素与金属氢化物于其中反应,以形成氮化物半导体材料薄层。
处理系统600包含容纳机器人组件607的移送室(transfer chamber)606、HVPE腔室602、第一MOCVD腔室603a、以及连接移送室606的第二MOCVD腔室603b、连接移送室606的加载锁定室(loadlock chamber)608、用以储存基板且连接移送室606的批次加载锁定室(batch loadlock chamber)609,以及用以装载基板且连接加载锁定室608的装载站(load station)610。移送室606包含机器人组件607,机器人组件607可操作性拾取基板并于加载锁定室608、批次加载锁定室609、HVPE腔室602、第一MOCVD腔室603a以及第二MOCVD腔室603b之间移送基板。
于处理进行期间,移送室606可维持于真空及/或低于大气压力的状态。移送室606的真空程度可被调节,以符合对应处理腔室的真空程度。举例而言,当自移送室606移送基板至HVPE腔室602时(反之亦然),移送室606及HVPE腔室602可维持于相同真空程度。接着,当自移送室606移送基板至加载锁定室608或批次加载锁定室609时(反之亦然),移送室的真空程度可符合加载锁定室608或批次加载锁定室609的真空程度,即使加载锁定室608或批次加载锁定室609及HVPE腔室602的真空程度可能不同。所以,移送室的真空程度可被调节。于某些具体实施例中,理想的状况是以惰性气体,如氮气,回填移送室606。于一具体实施例中,基板在含有超过90%的N2的环境中进行移送。于某些具体实施例中,基板于高纯度的NH3环境中进行移送。于一具体实施例中,基板在含有超过90%的NH3的环境中进行移送。于某些具体实施例中,基板于高纯度的H2环境中进行移送。于一具体实施例中,基板在含有超过90%的H2的环境中进行移送。
于处理系统600中,机器人组件于真空下将装载有基板的载盘(carrier plate)611移送入HVPE腔室602,以进行第一沉积制程。载盘611尺寸可介于200mm至750mm之间。载盘611可由多种材料制成,包含SiC或以SiC涂布的石墨。机器人组件于真空下将载盘611移送入第一MOCVD腔室603a,以进行第二沉积制程。机器人组件于真空下将载盘611移送入第二MOCVD腔室603b,以进行第三沉积制程。在所有或部份沉积步骤完成之后,载盘611自HVPE腔室602或MOCVD腔室603a、603b中的一移回加载锁定室608。于一具体实施例中,载盘611接着朝向装载站610被释放。于另一具体实施例中,于下次HVPE腔室602或MOCVD腔室603a、603b处理之前,载盘611可存放于加载锁定室608或批次加载锁定室609。于2008年1月31日所提交的美国专利申请序号第12/023,572号(现以美国专利公开号2009-0194026公开),名称为“PROCESSING SYSTEM FOR FABRICATING COMPOUND NITRIDESEMICONDUCTOR DEVICES”(用于制造化合氮化物半导体器件的处理系统)描述了一个示例性系统,其以全文引用方式纳入本文中。
系统控制器660控制处理系统600的活动以及操作参数。系统控制器660包含电脑处理器以及连接该处理器的电脑可读取存储器。处理器执行系统控制软件,如储存于存储器中的电脑软件。于2006年4月14日所提交的美国专利申请序号第11/404,516号(现以美国专利公开号2007-0240631公开),名称为“EPITAXIAL GROWTH OF COMPOUND NITRIDE STRUCTURES”(化合氮化物结构的外延生长)描述了处理系统及其使用方法,其以全文引用方式纳入本文中。
于一具体实施例中,处理系统600包含一个HVPE腔室602以及两个MOCVD腔室603a及603b。这样的处理系统可根据本文所述的方法制造器件。载盘611上的图样化蓝宝石基板可通过加载锁定室608或批次加载锁定室609提供至处理系统,并藉由机器人组件607放置于HVPE腔室602中。根据任何上述本发明的方法,多重步骤HVPE制程可于HVPE腔室中进行,以沉积缓冲层(如AlN层)及后续的一或多个GaN层,该GaN层可为经掺杂或未掺杂的。基板可接着移动至MOCVD腔室之一,以形成活性MQW层,接着回到HVPE腔室,以形成第二GaN层或多个经掺杂及未掺杂的GaN层。基板可接着被放置于加载锁定室608或批次加载锁定室609中,以离开处理系统600。
于可选择的一具体实施例中,处理系统600的附加腔室604可为第二HVPE腔室,第二HVPE腔室可用以于活性MQW层形成后,形成第二GaN层或多个层,或者第二HVPE腔室可用以于含硅基板上的AlN缓冲层形成后,形成第一GaN层或多个经掺杂及未掺杂的GaN层,也可用以于MQW活性层形成后,形成第二GaN层或多个经掺杂及未掺杂的GaN层。
虽然本发明的具体实施例已公开如上,然在不脱离本发明的基本范围下,当可衍生其他和进一步的具体实施例。

Claims (17)

1.一种在基板上沉积薄膜的方法,包含下列步骤:
将第一III族金属暴露至第一无氢含卤素气体,以形成第一前驱物;
藉由使所述第一前驱物与第一氮气源反应,以于所述基板上形成第一薄膜;
将第二III族金属暴露至第二无氢含卤素气体,以形成第二前驱物;以及
藉由使所述第二前驱物与第二氮气源反应,以于所述基板上形成第二薄膜。
2.如权利要求1所述的方法,其中所述第一和第二薄膜形成于相同处理腔室中。
3.一种形成电子器件的支撑表面的方法,包含下列步骤:
藉由将结构基板暴露至含氮气体,以形成基础表面,该基础表面包含位于所述结构基板上的含氮薄膜;
使第一无氢含卤素气体接触第一金属,以形成第一前驱物;
藉由使所述第一前驱物与第一试剂反应,以沉积邻近所述基础表面的第一层;
使第二无氢含卤素气体接触第二金属,以形成第二前驱物;以及
藉由使所述第二前驱物与第二试剂反应,以沉积邻近所述第一层的第二层,形成所述支撑表面。
4.如权利要求3所述的方法,其中所述第一及第二试剂分别为氨。
5.如权利要求3所述的方法,其中形成所述第一及第二层的步骤在不同处理腔室中进行,且所述第一层包含氮化铝,该氮化铝设置于所述结构基板的包含硅的表面上。
6.如权利要求3所述的方法,进一步包含下列步骤:当将所述结构基板暴露至所述含氮气体时,将所述结构基板的温度斜线上升至第一目标温度。
7.如权利要求6所述的方法,其中所述含氮气体是氨。
8.一种处理基板的方法,包含下列步骤:
将第一金属暴露至第一无氢含卤素气体,以形成第一含卤素前驱物;
将第二金属暴露至第二无氢含卤素气体,以形成第二含卤素前驱物;以及
使所述第一及第二含卤素前驱物与氮气源以选用的体积比率进行反应,以于所述基板上沉积第一层,该第一层包含期望量的所述第一及第二金属。
9.如权利要求8所述的方法,进一步包含下列步骤:改变所述体积比率,以在所述第一层中形成所述第一及第二金属的浓度梯度。
10.如权利要求8所述的方法,进一步包含下列步骤:停止所述第一含卤素前驱物的流动,并于所述基板上沉积第二层,该第二层包含所述第二金属。
11.一种于基板上沉积薄膜的方法,包含下列步骤:
使第一无氢含卤素气体流过第一金属,以形成第一金属卤化物前驱物;
使第二无氢含卤素气体流过第二金属,以形成第二金属卤化物前驱物;
使所述第一金属卤化物前驱物与第一氮气源反应,以于所述基板上沉积多个分散的成核点,各该成核点包含所述第一金属;以及
使所述第二金属卤化物前驱物与第二氮气源反应,以于所述基板上沉积薄膜,该薄膜包含所述第二金属。
12.如权利要求1、3、8、或11所述的方法,其中该第一及第二无氢含卤素气体分别选自由氟气、氯气、溴气、碘气、其混合物及其组合物所组成的群组。
13.如权利要求1、3、8、或11所述的方法,其中所述第一及第二金属分别选自由铝、镓、铟、其混合物、其组合物以及其合金所组成的群组。
14.如权利要求1、3、8、或11所述的方法,其中所述基板包含一材料,所述材料选自由蓝宝石、Al2O3、Si、SiC、LiAlO2、LiGaO2、ZnO、其混合物、其组合物及其合金所组成的群组。
15.如权利要求1、3、8、或11所述的方法,其中所述第一和第二无氢含卤素气体各自是氯气。
16.如权利要求15所述的方法,其中所述第一金属是固态铝,而所述第二金属是液态镓。
17.如权利要求1、7、或11所述的方法,其中所述第一及第二氮气源分别选自由氨、远端等离子体形成的活性氮气物质、其组合物及其混合物所组成的群组,且所述第一和第二无氢含卤素气体各自是氯气。
CN2010800193165A 2009-04-29 2010-04-29 在HVPE中形成原位预GaN沉积层的方法 Pending CN102414797A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17390609P 2009-04-29 2009-04-29
US61/173,906 2009-04-29
PCT/US2010/033030 WO2010127156A2 (en) 2009-04-29 2010-04-29 Method of forming in-situ pre-gan deposition layer in hvpe

Publications (1)

Publication Number Publication Date
CN102414797A true CN102414797A (zh) 2012-04-11

Family

ID=43030564

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2010800193165A Pending CN102414797A (zh) 2009-04-29 2010-04-29 在HVPE中形成原位预GaN沉积层的方法

Country Status (6)

Country Link
US (1) US20100279020A1 (zh)
JP (1) JP2012525718A (zh)
KR (1) KR20120023040A (zh)
CN (1) CN102414797A (zh)
TW (1) TW201039381A (zh)
WO (1) WO2010127156A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104821348A (zh) * 2014-01-31 2015-08-05 东京毅力科创株式会社 氮化镓系结晶的生长方法和热处理装置
CN111549375A (zh) * 2020-05-14 2020-08-18 华厦半导体(深圳)有限公司 一种可量产氮化镓的全立式hpve设备

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8129208B2 (en) * 2007-02-07 2012-03-06 Tokuyama Corporation n-Type conductive aluminum nitride semiconductor crystal and manufacturing method thereof
JP5378829B2 (ja) * 2009-02-19 2013-12-25 住友電気工業株式会社 エピタキシャルウエハを形成する方法、及び半導体素子を作製する方法
US20110263098A1 (en) * 2010-04-23 2011-10-27 Applied Materials, Inc. Hybrid deposition chamber for in-situ formation of group iv semiconductors & compounds with group iii-nitrides
US9076827B2 (en) 2010-09-14 2015-07-07 Applied Materials, Inc. Transfer chamber metrology for improved device yield
US8143147B1 (en) * 2011-02-10 2012-03-27 Intermolecular, Inc. Methods and systems for forming thin films
JP5736820B2 (ja) * 2011-02-15 2015-06-17 富士通株式会社 半導体製造装置の洗浄装置及びそれを用いた半導体装置の製造方法
US20120258580A1 (en) * 2011-03-09 2012-10-11 Applied Materials, Inc. Plasma-assisted mocvd fabrication of p-type group iii-nitride materials
US20120258581A1 (en) * 2011-03-09 2012-10-11 Applied Materials, Inc. Mocvd fabrication of group iii-nitride materials using in-situ generated hydrazine or fragments there from
SG10201601916TA (en) * 2011-03-28 2016-04-28 Applied Materials Inc Method and apparatus for the selective deposition of epitaxial germanium stressor alloys
US8778783B2 (en) 2011-05-20 2014-07-15 Applied Materials, Inc. Methods for improved growth of group III nitride buffer layers
US8853086B2 (en) 2011-05-20 2014-10-07 Applied Materials, Inc. Methods for pretreatment of group III-nitride depositions
US8980002B2 (en) * 2011-05-20 2015-03-17 Applied Materials, Inc. Methods for improved growth of group III nitride semiconductor compounds
JP2015156418A (ja) * 2014-02-20 2015-08-27 株式会社ニューフレアテクノロジー 気相成長方法
DE102014108352A1 (de) * 2014-06-13 2015-12-17 Forschungszentrum Jülich GmbH Verfahren zum Abscheiden einer Kristallschicht bei niedrigen Temperaturen, insbesondere einer photolumineszierenden IV-IV-Schicht auf einem IV-Substrat, sowie ein eine derartige Schicht aufweisendes optoelektronisches Bauelement
CN111527587B (zh) * 2017-12-19 2023-11-21 胜高股份有限公司 第iii族氮化物半导体基板的制备方法
EP3740306A4 (en) 2018-01-15 2021-10-13 Alliance for Sustainable Energy, LLC HYDRID-EXTENDED GROWTH RATES IN HYDRID VAPOR PHASE EPITAXY
US11535951B1 (en) * 2018-06-06 2022-12-27 United States Of America As Represented By The Secretary Of The Air Force Optimized thick heteroepitaxial growth of semiconductors with in-situ substrate pretreatment
US10573532B2 (en) * 2018-06-15 2020-02-25 Mattson Technology, Inc. Method for processing a workpiece using a multi-cycle thermal treatment process
US11056338B2 (en) 2018-10-10 2021-07-06 The Johns Hopkins University Method for printing wide bandgap semiconductor materials
US11823900B2 (en) 2018-10-10 2023-11-21 The Johns Hopkins University Method for printing wide bandgap semiconductor materials
WO2020252415A1 (en) * 2019-06-13 2020-12-17 Alliance For Sustainable Energy, Llc Nitrogen-enabled high growth rates in hydride vapor phase epitaxy
US20240084479A1 (en) * 2021-01-19 2024-03-14 Alliance For Sustainable Energy, Llc Dynamic hvpe of compositionally graded buffer layers

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6475277B1 (en) * 1999-06-30 2002-11-05 Sumitomo Electric Industries, Ltd. Group III-V nitride semiconductor growth method and vapor phase growth apparatus
US6528394B1 (en) * 1999-02-05 2003-03-04 Samsung Electronics Co., Ltd. Growth method of gallium nitride film
US20050242364A1 (en) * 2004-04-15 2005-11-03 Moustakas Theodore D Optical devices featuring textured semiconductor layers
US20060223288A1 (en) * 2003-03-24 2006-10-05 Kabushiki Kaisha Toshiba Group-III nitride semiconductor stack, method of manufacturing the same, and group-III nitride semiconductor device
CN101409233A (zh) * 2007-10-05 2009-04-15 应用材料股份有限公司 用于沉积ⅲ/ⅴ族化合物的方法

Family Cites Families (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8332394D0 (en) * 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4851295A (en) * 1984-03-16 1989-07-25 Genus, Inc. Low resistivity tungsten silicon composite film
US4740606A (en) * 1986-07-01 1988-04-26 Morton Thiokol, Inc. Gallium hydride/trialkylamine adducts, and their use in deposition of III-V compound films
US4763602A (en) * 1987-02-25 1988-08-16 Glasstech Solar, Inc. Thin film deposition apparatus including a vacuum transport mechanism
US5348911A (en) * 1987-06-30 1994-09-20 Aixtron Gmbh Material-saving process for fabricating mixed crystals
US4792467A (en) * 1987-08-17 1988-12-20 Morton Thiokol, Inc. Method for vapor phase deposition of gallium nitride film
USD329839S (en) * 1990-01-31 1992-09-29 Hohner Automation Societe Anonyme Incremental coder
JP3098773B2 (ja) * 1991-03-18 2000-10-16 トラスティーズ・オブ・ボストン・ユニバーシティ 高絶縁性単結晶窒化ガリウム薄膜の作製及びドープ方法
WO1992022084A1 (en) * 1991-05-21 1992-12-10 Advantage Production Technology, Inc. Organic preclean for improving vapor phase wafer etch uniformity
US5376580A (en) * 1993-03-19 1994-12-27 Hewlett-Packard Company Wafer bonding of light emitting diode layers
US5647911A (en) * 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5679152A (en) * 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
JPH09501612A (ja) * 1994-04-08 1997-02-18 マーク エー. レイ, 選択的プラズマ成長
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5715361A (en) * 1995-04-13 1998-02-03 Cvc Products, Inc. Rapid thermal processing high-performance multizone illuminator for wafer backside heating
JPH0945670A (ja) * 1995-07-29 1997-02-14 Hewlett Packard Co <Hp> Iii族−n系結晶の気相エッチング方法および再成長方法
US5667592A (en) * 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6270569B1 (en) * 1997-06-11 2001-08-07 Hitachi Cable Ltd. Method of fabricating nitride crystal, mixture, liquid phase growth method, nitride crystal, nitride crystal powders, and vapor phase growth method
AUPP014297A0 (en) * 1997-11-03 1997-11-27 Ark Engineering Pty Ltd Submersible lamp
US6464843B1 (en) * 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6086673A (en) * 1998-04-02 2000-07-11 Massachusetts Institute Of Technology Process for producing high-quality III-V nitride substrates
US6218280B1 (en) * 1998-06-18 2001-04-17 University Of Florida Method and apparatus for producing group-III nitrides
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6413839B1 (en) * 1998-10-23 2002-07-02 Emcore Corporation Semiconductor device separation using a patterned laser projection
US6373114B1 (en) * 1998-10-23 2002-04-16 Micron Technology, Inc. Barrier in gate stack for improved gate dielectric integrity
US6309465B1 (en) * 1999-02-18 2001-10-30 Aixtron Ag. CVD reactor
US6540838B2 (en) * 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6713789B1 (en) * 1999-03-31 2004-03-30 Toyoda Gosei Co., Ltd. Group III nitride compound semiconductor device and method of producing the same
KR100416308B1 (ko) * 1999-05-26 2004-01-31 동경 엘렉트론 주식회사 플라즈마 처리 장치
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6569765B1 (en) * 1999-08-26 2003-05-27 Cbl Technologies, Inc Hybrid deposition system and methods
US6489241B1 (en) * 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6897119B1 (en) * 1999-12-22 2005-05-24 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6551399B1 (en) * 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
WO2001057289A1 (de) * 2000-02-04 2001-08-09 Aixtron Ag Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat
TW518767B (en) * 2000-03-31 2003-01-21 Toyoda Gosei Kk Production method of III nitride compound semiconductor and III nitride compound semiconductor element
US6451713B1 (en) * 2000-04-17 2002-09-17 Mattson Technology, Inc. UV pretreatment process for ultra-thin oxynitride formation
US6616870B1 (en) * 2000-08-07 2003-09-09 Shipley Company, L.L.C. Method of producing high aspect ratio domes by vapor deposition
JP4374156B2 (ja) * 2000-09-01 2009-12-02 日本碍子株式会社 Iii−v族窒化物膜の製造装置及び製造方法
DE10048759A1 (de) * 2000-09-29 2002-04-11 Aixtron Gmbh Verfahren und Vorrichtung zum Abscheiden insbesondere organischer Schichten im Wege der OVPD
DE10056029A1 (de) * 2000-11-11 2002-05-16 Aixtron Ag Verfahren und Vorrichtung zur Temperatursteuerung der Oberflächentemperaturen von Substraten in einem CVD-Reaktor
AU2002219966A1 (en) * 2000-11-30 2002-06-11 North Carolina State University Methods and apparatus for producing m'n based materials
US6905547B1 (en) * 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
JP2004525518A (ja) * 2001-03-30 2004-08-19 テクノロジーズ アンド デバイス インターナショナル インコーポレイテッド Hvpe技術を使用したサブミクロンiii属窒化物構造を成長させる方法および装置
DE10118130A1 (de) * 2001-04-11 2002-10-17 Aixtron Ag Vorrichtung oder Verfahren zum Abscheiden von insbesondere kristallinen Schichten auf insbesondere kristallinen Substraten aus der Gasphase
KR100387242B1 (ko) * 2001-05-26 2003-06-12 삼성전기주식회사 반도체 발광소자의 제조방법
US7501023B2 (en) * 2001-07-06 2009-03-10 Technologies And Devices, International, Inc. Method and apparatus for fabricating crack-free Group III nitride semiconductor materials
US6936357B2 (en) * 2001-07-06 2005-08-30 Technologies And Devices International, Inc. Bulk GaN and ALGaN single crystals
US7211833B2 (en) * 2001-07-23 2007-05-01 Cree, Inc. Light emitting diodes including barrier layers/sublayers
US7659209B2 (en) * 2001-11-14 2010-02-09 Canon Anelva Corporation Barrier metal film production method
EP1459362A2 (de) * 2001-12-21 2004-09-22 Aixtron AG Verfahren zum abscheiden von iii-v-halbleiterschichten auf einem nicht-iii-v-substrat
DE10163394A1 (de) * 2001-12-21 2003-07-03 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden kristalliner Schichten und auf kristallinen Substraten
JP3803788B2 (ja) * 2002-04-09 2006-08-02 農工大ティー・エル・オー株式会社 Al系III−V族化合物半導体の気相成長方法、Al系III−V族化合物半導体の製造方法ならびに製造装置
AUPS240402A0 (en) * 2002-05-17 2002-06-13 Macquarie Research Limited Gallium nitride
KR100568701B1 (ko) * 2002-06-19 2006-04-07 니폰덴신뎅와 가부시키가이샤 반도체 발광 소자
US6869876B2 (en) * 2002-11-05 2005-03-22 Air Products And Chemicals, Inc. Process for atomic layer deposition of metal films
US7115896B2 (en) * 2002-12-04 2006-10-03 Emcore Corporation Semiconductor structures for gallium nitride-based devices
US7018940B2 (en) * 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US7061065B2 (en) * 2003-03-31 2006-06-13 National Chung-Hsing University Light emitting diode and method for producing the same
JP4026529B2 (ja) * 2003-04-10 2007-12-26 東京エレクトロン株式会社 シャワーヘッド構造及び処理装置
CN101068950A (zh) * 2003-05-30 2007-11-07 阿维扎技术公司 气体分配系统
US7366368B2 (en) * 2004-06-15 2008-04-29 Intel Corporation Optical add/drop interconnect bus for multiprocessor architecture
KR101352150B1 (ko) * 2004-09-27 2014-02-17 갈리움 엔터프라이지즈 피티와이 엘티디 Ⅲa족 금속 질화물 막의 성장 방법 및 장치 및 ⅲa족 금속 질화물 막
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
DE102004058521A1 (de) * 2004-12-04 2006-06-14 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von dicken Gallium-Nitrit-Schichten auf einem Saphirsubstrat und zugehörigen Substrathalter
KR101145755B1 (ko) * 2005-03-10 2012-05-16 재팬 사이언스 앤드 테크놀로지 에이젼시 평면의 반극성 갈륨 질화물의 성장을 위한 기술
US7195934B2 (en) * 2005-07-11 2007-03-27 Applied Materials, Inc. Method and system for deposition tuning in an epitaxial film growth apparatus
US7777217B2 (en) * 2005-12-12 2010-08-17 Kyma Technologies, Inc. Inclusion-free uniform semi-insulating group III nitride substrate and methods for making same
JP5896442B2 (ja) * 2006-01-20 2016-03-30 国立研究開発法人科学技術振興機構 Iii族窒化物膜の成長方法
US7364991B2 (en) * 2006-04-27 2008-04-29 Applied Materials, Inc. Buffer-layer treatment of MOCVD-grown nitride structures
US7585769B2 (en) * 2006-05-05 2009-09-08 Applied Materials, Inc. Parasitic particle suppression in growth of III-V nitride films using MOCVD and HVPE
US20080050889A1 (en) * 2006-08-24 2008-02-28 Applied Materials, Inc. Hotwall reactor and method for reducing particle formation in GaN MOCVD
CA2638191A1 (en) * 2007-07-20 2009-01-20 Gallium Enterprises Pty Ltd Buried contact devices for nitride-based films and manufacture thereof
US7727874B2 (en) * 2007-09-14 2010-06-01 Kyma Technologies, Inc. Non-polar and semi-polar GaN substrates, devices, and methods for making them
KR100888440B1 (ko) * 2007-11-23 2009-03-11 삼성전기주식회사 수직구조 발광다이오드 소자의 제조방법
US20090194026A1 (en) * 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
CA2653581A1 (en) * 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6528394B1 (en) * 1999-02-05 2003-03-04 Samsung Electronics Co., Ltd. Growth method of gallium nitride film
US6475277B1 (en) * 1999-06-30 2002-11-05 Sumitomo Electric Industries, Ltd. Group III-V nitride semiconductor growth method and vapor phase growth apparatus
US20060223288A1 (en) * 2003-03-24 2006-10-05 Kabushiki Kaisha Toshiba Group-III nitride semiconductor stack, method of manufacturing the same, and group-III nitride semiconductor device
US20050242364A1 (en) * 2004-04-15 2005-11-03 Moustakas Theodore D Optical devices featuring textured semiconductor layers
CN101409233A (zh) * 2007-10-05 2009-04-15 应用材料股份有限公司 用于沉积ⅲ/ⅴ族化合物的方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104821348A (zh) * 2014-01-31 2015-08-05 东京毅力科创株式会社 氮化镓系结晶的生长方法和热处理装置
CN104821348B (zh) * 2014-01-31 2018-07-31 东京毅力科创株式会社 氮化镓系结晶的生长方法和热处理装置
CN111549375A (zh) * 2020-05-14 2020-08-18 华厦半导体(深圳)有限公司 一种可量产氮化镓的全立式hpve设备

Also Published As

Publication number Publication date
TW201039381A (en) 2010-11-01
WO2010127156A3 (en) 2011-02-24
US20100279020A1 (en) 2010-11-04
KR20120023040A (ko) 2012-03-12
JP2012525718A (ja) 2012-10-22
WO2010127156A2 (en) 2010-11-04

Similar Documents

Publication Publication Date Title
CN102414797A (zh) 在HVPE中形成原位预GaN沉积层的方法
US8778783B2 (en) Methods for improved growth of group III nitride buffer layers
US8110889B2 (en) MOCVD single chamber split process for LED manufacturing
US8138069B2 (en) Substrate pretreatment for subsequent high temperature group III depositions
KR102317822B1 (ko) 물리 기상 증착에 의한 알루미늄-질화물 버퍼 및 활성 층들
US8080466B2 (en) Method for growth of nitrogen face (N-face) polarity compound nitride semiconductor device with integrated processing system
US8980002B2 (en) Methods for improved growth of group III nitride semiconductor compounds
US8853086B2 (en) Methods for pretreatment of group III-nitride depositions
US20110244663A1 (en) Forming a compound-nitride structure that includes a nucleation layer
US20110081771A1 (en) Multichamber split processes for led manufacturing
JP2012525713A (ja) Led向けのクラスタツール
US9023721B2 (en) Methods of forming bulk III-nitride materials on metal-nitride growth template layers, and structures formed by such methods
WO2013059102A1 (en) Multiple complementary gas distribution assemblies
US20110207256A1 (en) In-situ acceptor activation with nitrogen and/or oxygen plasma treatment
WO2012069520A1 (en) Methods of forming bulk iii-nitride materials on metal-nitride growth template layers, and structures formed by such methods
WO2010129289A2 (en) Decontamination of mocvd chamber using nh3 purge after in-situ cleaning

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20120411