JP2012525718A - HVPEにおいてその場プレ−GaN堆積層を形成する方法 - Google Patents

HVPEにおいてその場プレ−GaN堆積層を形成する方法 Download PDF

Info

Publication number
JP2012525718A
JP2012525718A JP2012508747A JP2012508747A JP2012525718A JP 2012525718 A JP2012525718 A JP 2012525718A JP 2012508747 A JP2012508747 A JP 2012508747A JP 2012508747 A JP2012508747 A JP 2012508747A JP 2012525718 A JP2012525718 A JP 2012525718A
Authority
JP
Japan
Prior art keywords
precursor
metal
gas
substrate
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2012508747A
Other languages
English (en)
Inventor
ユリー メルニーク,
英博 小尻
オルガ クリリオーク,
哲也 石川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2012525718A publication Critical patent/JP2012525718A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4488Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C30B29/403AIII-nitrides

Abstract

III/V族化合物半導体を組み込んでいる電子デバイスを形成するための基板を準備するための方法および装置が提供される。元素状ハロゲンガス、ハロゲン化水素ガス、または他のハロゲンガスもしくはハロゲン化物ガスが、液体または固体のIII族金属と接触して、窒素ソースと反応して基板上に窒化物バッファ層を堆積させる前駆物質を形成する。移行層とすることができるバッファ層は、複数のIII族金属を取り込むことができ、非晶質形態または結晶質形態で堆積させることができる。非晶質層を、熱処理によって部分的にまたは完全に再結晶化させることができる。層の代わりに、複数の分離した核形成サイトを形成することができ、そのサイズ、密度、および分布を制御することができる。窒素ソースは、反応性窒素化合物ならびに遠隔プラズマソースからの活性窒素を含むことができる。バッファ層または移行層の組成は、所望のプロファイルに従う深さによっても変更可能である。

Description

本発明の実施形態は、発光ダイオード(LED)またはレーザダイオード(LD)などのデバイスの製造に関し、より詳しくは、かかるデバイス用の基板を形成する方法に関する。
窒化ガリウム(GaN)などのIII族窒化物半導体は、短波長発光ダイオード(LED)、レーザダイオード(LD)、ならびに大出力や、高周波数や、高温のトランジスタおよび集積回路を含む電子デバイスなどの様々な半導体デバイスの開発および製造における非常に重要な発見である。III族窒化物を堆積するために使用されてきている一方法が、水素化物気相エピタキシャル(HVPE)堆積である。HVPEでは、ハロゲン化物がIII族金属と反応して、金属含有前駆物質(例えば、金属塩化物)を形成する。金属含有前駆物質は、次に窒素含有ガスと反応して、III族金属窒化物を形成する。
LED、LD、トランジスタ、および集積回路に対する要求が増大するにつれて、III族金属窒化物を堆積する効率が、より大きな重要性を持つようになる。大きな基板または複数の基板の全体にわたり均一に膜を堆積することができる大きな堆積速度を具備した堆積装置および堆積プロセスに対する一般的な必要性がある。それに加えて、均一な前駆物質混合が、基板の全体にわたり一貫性のある膜品質にとって望ましい。それゆえ、HVPE堆積方法およびHVPE装置の改善に対する本技術分野における必要性がある。
本発明の実施形態は、第1の前駆物質を形成するために、第1の水素を含まないハロゲン含有ガスに第1のIII族金属を曝すことと、第2の前駆物質を形成するために、第2の水素を含まないハロゲン含有ガスに第2のIII族金属を曝すことと、プロセスチャンバ内で第1の前駆物質を第1の窒素ソースと反応させることによって、基板上に第1の膜を形成することと、プロセスチャンバ内で第2の前駆物質を第2の窒素ソースと反応させることによって、基板上に第2の膜を形成することとを含む、基板上に膜を堆積する方法を提供する。
別の実施形態は、窒素含有ガスに構造基板を曝すことによって、構造基板上に窒素を含有する膜を含む下地表面を形成することと、第1の前駆物質を形成するために、第1の水素を含まないハロゲン含有ガスを第1の金属と接触させることと、第1の前駆物質を第1の反応剤と反応させることによって、下地表面に隣接させてバッファ層を堆積することと、第2の前駆物質を形成するために、第2の水素を含まないハロゲン含有ガスを第2の金属と接触させることと、支持表面を形成するために、第2の前駆物質を第2の反応剤と反応させることによって、バッファ層に隣接させて層を堆積することとを含む、電子デバイス用の支持表面を形成する方法を提供する。
別の実施形態は、第1のハロゲン化物の前駆物質を形成するために、第1の金属を第1の水素を含まないハロゲン含有ガスに曝すことと、第2のハロゲン化物の前駆物質を形成するために、第2の金属を第2の水素を含まないハロゲン含有ガスに曝すことと、基板上に所望の量の第1の金属および第2の金属を含む第1の層を堆積させるために選択した体積比率で第1のハロゲン化物の前駆物質および第2のハロゲン化物の前駆物質を窒素ソースと反応させることとを含む、基板を処置する方法を提供する。
別の実施形態は、第1の金属ハロゲン化物の前駆物質を形成するために、第1の金属を横切って第1の水素を含まないハロゲン含有ガスを流すことと、第2の金属ハロゲン化物の前駆物質を形成するために、第2の金属を横切って第2の水素を含まないハロゲン含有ガスを流すことと、基板上に複数の分離した核形成サイトを堆積させるために、第1の金属ハロゲン化物の前駆物質を第1の窒素ソースと反応させることであって、各核形成サイトが第1の金属を含むことと、基板上に第2の金属を含む膜を堆積させるために、第2の金属ハロゲン化物の前駆物質を第2の窒素ソースと反応させることとを含む、基板上に膜を堆積する方法を提供する。
したがって、本発明の上に記述した特徴を詳細に理解することが可能な方式で、上に簡潔に要約されている本発明のより詳しい説明を、その一部が添付した図面に図説されている実施形態を参照することによって知ることができる。しかしながら、添付した図面が本発明の典型的な実施形態だけを図説し、本発明が他の同様に有効な実施形態を許容することができるので、それゆえ、本発明の範囲を限定するようには見なされないことに、留意すべきである。
例示的なLEDデバイスの概略図である。 一実施形態による方法を要約した流れ図である。 別の一実施形態による方法を要約した流れ図である。 別の一実施形態による装置の概略的な断面図である。 別の一実施形態による装置の概略的な断面図である。 別の一実施形態による装置の平面図である。
理解を容易にするために、可能である場合には、複数の図に共通な同一の要素を示すために、同一の参照番号を使用している。一実施形態において開示した要素を、具体的な記述がなくとも別の実施形態において利益をもたらすように利用することができることが予想される。
本明細書において開示する実施形態は、一般に、「III/V」型の化合物半導体を含む電子デバイス用の支持基板を形成するための方法および装置を提供する。III族金属窒化物材料は、構造基板上のIII/Vデバイスのための支持層を提供することができる。窒化ガリウム系、窒化インジウム系、窒化アルミニウム系のLED、レーザダイオード、および他のデバイス用の支持基板を提供するために、幾つかの実施形態を使用することができる。典型的なデバイスでは、p−n接合を構造基板上に形成する、この基板はまた、電流を注入するための電気的なコンタクトとして働くことができる。接合中へと注入された電流は、電子をホールと結合させ、直接遷移を有する材料に対しては光を放出させる。構造基板を、単結晶基板などの任意の適した基板とすることができ、この基板上に、N−ドープしたIII族窒化物エピタキシャル膜を形成することができる。本発明の実施形態のために使用することができる構造基板は、シリコン(Si)、炭化シリコン(SiC)、サファイアもしくは別の形の酸化アルミニウム(Al)、酸化リチウムアルミニウム(LiAlO)、酸化リチウムガリウム(LiGaO)、酸化亜鉛(ZnO)、窒化ガリウム(GaN)、窒化アルミニウム(AlN)、石英、ガラス、ガリウムヒ素(GaAs)、スピネル(MgAl)、これらの任意の組み合わせ、これらの任意の混合物、またはこれらの任意の合金を含むが、これらに限定されない。幾つかの実施形態では、平坦な基板上にフィーチャを形成してパターンを付けた基板を作り出すために、マスキングおよびエッチングなどの任意の良く知られた方法を利用することができる。特定の実施形態では、パターンを付けた基板は、(0001)パターンを付けたサファイア基板(PSS)である。パターンを付けたサファイア基板が、新世代の固体照明デバイスの製造の際にきわめて有用である光抽出効率を増大させるという理由で、パターンを付けたサファイア基板は、LEDの製造の際の使用にとって理想的であることがある。
図1は、例示的なGaN系のLED構造100の側面図である。その構造を、基板104の上に製造する。基板サイズを、直径で50mm〜100mm以上の範囲とすることができる。アンドープの窒化ガリウム(u−GaN層)これに続くnタイプGaN層112を、基板の上に形成したGaNまたは窒化アルミニウム(AlN)バッファ層109上に堆積する。デバイスの能動領域を、図面中ではInGaN層を含むように示される多重量子ウェル(MWQ)層116において具体化している。p−n接合を、コンタクト層の役目をするpタイプGaN層124を具備する上に重なるpタイプAlGaN層120を用いて形成する。
この種の大部分のデバイスでは、III族窒化物膜を構造基板上に形成する。III族窒化物膜は、一般に、この上に形成されるp−n接合に対する支持層として働く。かかる膜を形成することを容易にするために、III族窒化物膜に先立って、バッファ層または移行層を基板上に通常形成する。バッファ層または移行層は、基板と支持層との間の結晶学的特性および熱的特性の移行を容易にし、層が薄く剥離する傾向を減少させる。nタイプドーパントまたはpタイプドーパントを用いて形成した第1のドープしたIII族窒化物膜を、バッファ層または移行層の上に形成する。多重量子ウェル層を、第1のドープしたIII族窒化物層の上に形成し、第1のドープしたIII族窒化物層とは反対のドーパントタイプを具備する第2のドープしたIII族窒化物層を、能動層の上に形成して、電気的なエネルギーを放射光へと変換するために使用することができるp−n接合を形成する。
図2は、一実施形態による方法200を要約した流れ図である。図2の方法を、図1に関連して上に説明したもののような化合物半導体デバイス用の基板上に支持表面を形成するために使用することができる。210において、第1のハロゲン含有ガスが第1のIII族金属と接触して、第1の前駆物質を形成する。ハロゲン含有ガスを、ハロゲン化合物ガスとすることができる、および/またはハロゲンを含まないものとすることができる。幾つかの実施形態では、ハロゲン含有ガスは、フッ素ガス(F)、塩素ガス(Cl)、臭素ガス(Br)、ヨウ素ガス(I)、フッ化水素ガス(HF)、塩化水素ガス(HCl)、臭化水素ガス(HBr)、ヨウ化水素ガス(HI)、またはこれらの混合物および組み合わせを含むことができる。III族金属を、ガリウム、アルミニウム、インジウム、またはこれらの混合物か、組み合わせか、もしくは合金とすることができる。液体または固体である場合があるIII族金属を含有するリザーバを横切って、ハロゲン含有ガスを流す。ガスは金属と反応して、膜を形成する際に反応前駆物質として使用されるハロゲン化金属ガスを形成する。
220において、第2のハロゲン含有ガスが第2のIII族金属と接触して第2の前駆物質を形成する。例示的な一実施形態では、第1のリザーバが固体のアルミニウムを含有し、一方で第2のリザーバが液体のガリウムを含有する。リザーバを加熱して、ガリウムを液体状態に保ち、ハロゲン化反応を助長させる。塩素ガスが、同時にまたは逐次的に2つの金属の上を流れ、得られたハロゲン化物を、基板上に膜を堆積させるために使用する。
方法200の一実施形態では、ステップ210、220、または230のプロセスを実行することに先立って、サファイア基板をプロセスチャンバ内に配置し、約500℃と約1,100℃の温度、例えば約850℃〜約1,100℃の温度まで、約1℃/秒〜約5℃/秒の温度ランプ速度で加熱する。基板を、熱的にクリーニングし、約100sccm〜約15,000sccmのレートで、5分〜20分間アンモニアおよび窒素ガスを流すことによって窒化する。基板を窒化することが、電子デバイス用の支持層を堆積させるための薄い下地層をもたらす。一実施形態では、1つまたは複数の基板をクリーニング温度に加熱しながら処理チャンバ中へとクリーニングガス混合物を流すことによって、熱的なクリーニングを実行することができる。一実施形態では、クリーニングガス混合物は、アンモニア、ハロゲン含有ガス(例えば、Cl、F、Br、I)、およびキャリアガスを含む。一実施形態では、キャリアガスは、窒素ガス(N)を含むことができる。
230において、第1の前駆物質がプロセスチャンバ内で第1の窒素ソースと反応して、基板上に第1の層を堆積する。第1の層は、下記に説明するように基板と第2の層との間のバッファ層として働くことができる。一実施形態では、第1の窒素ソースは、アンモニアである。他の実施形態では、第1の窒素ソースは、窒素ガス(N)、亜酸化窒素(NO)、アンモニア(NH)、ヒドラジン(N)、ジイミド(N)、アジ化水素酸(HN)、等などの窒素を含有する材料の遠隔プラズマから誘導された1つまたは複数の活性窒素種とすることができる。他の実施形態では、第1の窒素ソースを、やはり、アンモニアと1つまたは複数の活性窒素種との混合物とすることができる。少なくとも一部を窒素ガスなどの反応性またはヘリウムガスもしくはアルゴンガスなどの非反応性である場合がある希釈ガスまたはキャリアガスを用いて、第1の窒素ソースを、やはり配送することができる。第1の窒素ソースは、第1の金属ハロゲン化物と反応して、金属窒化物を含む第1の層を生じさせ、副生成物としてハロゲン化水素および可能性として水素ガスを伴う。第1の層は、一般的に約300nm厚までであろう。
240にでは、同じプロセスチャンバ内で、第2の前駆物質が、第1の窒素ソースと同じことも異なることもある第2の窒素ソースと反応して、基板上に第2の層を堆積する。第2の層は、金属ハロゲン化物を含み、第1の層と実質的に同じ組成であることも異なる組成であることもある。例示的な一実施形態では、第1の層が窒化アルミニウム層であり、一方で第2の層が窒化ガリウム層である。第1の層に対する目標厚さに達したときに、プロセスチャンバ中への第1の前駆物質の流れを止め、第2の前駆物質の流れを開始する。第1の前駆物質の流れを止めることと第2の前駆物質の流れを開始することとの間に、プロセスチャンバを、窒素、ヘリウム、またはアルゴンなどのパージガスを用いて交互にパージすることができる。一実施形態では、基板の表面上に第2の金属窒化物層を形成することに先立って、シリコン含有材料(例えば、Si、SiC)を含む基板の表面上に窒化アルミニウム膜を実質的に堆積して、塩化ガリウム(例えば、GaCl、GaCl)を含有する前駆物質ガスによってシリコンを含有する表面のアタックまたはエッチングを防止することが望ましい。
アルミニウムを約450℃〜約650℃の温度で維持した状態で、約70sccm〜約140sccmの流量で固体のアルミニウムの上に塩素ガスを流して、塩化アルミニウムの前駆物質を形成することによって、窒化アルミニウムバッファ層を下地層に隣接させて成長させることができる。反応装置のサイズに応じて、約1,000sccm〜約9,000sccmの流量で窒素ソースガスを流し続けながら、基板の温度を、約500℃〜約950℃の第2の温度、例えば約550℃〜約700℃、例として約640℃まで、約1℃/秒〜約5℃/秒のランプ速度でランピング降温する。本実施形態の全体を通して使用する温度ランプ速度は、材料間の熱膨張係数の違いに起因して、熱応力が層の接着性を弱くすることを防止する際に有用である。基板温度が第2の温度目標値に達するときに、塩化アルミニウムの前駆物質の流れを、プロセスチャンバ中へと開始する。窒化アルミニウム層が目標厚さに達するまで、これらの条件を維持する。固体のアルミニウムの上の塩素ガスの流れを、次に止める。
約550℃〜約1,100℃、例えば約900℃〜約1,100℃、例として約1,050℃の第3の目標値まで、約1℃/秒〜約5℃/秒のランプ速度で基板温度をランピングし、約700℃〜約1,000℃の温度で維持した液体のガリウムのリザーバを横切って、約20sccm〜約150sccmの流量で塩素ガスの流れを開始することによって、窒化ガリウム層を、次に窒化アルミニウム層に隣接させて形成する。一実施形態では、温度をランピングしながら、塩素ガスの流れを設定する。得られた塩化ガリウムの前駆物質を、プロセスチャンバへ供給し、窒素ソースガスの流れを約6,000sccm〜約50,000sccmの流量まで増加させて、約0.3μm/時〜約15μm/時の速度で窒化ガリウム層を堆積する。堆積プロセス中には、チャンバ圧力を、約10Torr〜約760Torr、例えば約70Torr約550Torr、例として約450Torrに維持し、チャンバ壁温度を、約450℃以上に維持する。
一実施形態では、基板温度を第3の目標値までランピングしながら、窒素ガス(N)、アンモニア(NH)、またはヒドラジン(H)などの窒素含有ガスを、任意選択でチャンバへ供給することができる。第1の層の形成中に使用する窒素含有ガスを、温度ランピング中に同じ流量で続けることができる、または代替の窒素含有ガスを、同じ流量範囲内で供給することができる。窒素含有ガスは、第1の層のさらなる窒化をもたらし、バッファ層または移行層としての第1の層の特性を向上させる。別の代替の一実施形態では、ハロゲンガスまたはハロゲン化物ガスなどのハロゲン含有ガス、例えば、塩素ガス(Cl)または塩化水素(HCl)を、第1の層および/または第2の層の形成中に直接プロセスチャンバへ供給することができる。ハロゲン化物の前駆物質の流れをほとんどの場合には設定し、次にハロゲンガスまたはハロゲン化物ガスの流れを開始する。ハロゲンガスまたはハロゲン化物ガスは、層の形成中に不完全に付着した種の増加エッチングをもたらすことによって、一般に層の特性を向上させる。
幾つかの実施形態では、第1の層および第2の層を、異なるプロセスチャンバ内で形成することができる。例えば、窒化ガリウム層を、シリコンまたは炭化シリコンなどのシリコンを含有する基板上に形成する場合には、第1のチャンバ内で第1の層、例えば、窒化アルミニウムを形成し、第2のチャンバ内で第2の層、窒化ガリウムを形成して、両方の層を単一のチャンバ内で形成する場合に経験することがあるごく微量のガリウムとシリコン基板との反応を回避することが有利である場合がある。一般に、複数の層を形成することを伴う本明細書において開示するすべての方法に関して、個々の実施形態の必要性に応じて、形成することを単一のチャンバ内でまたは複数のチャンバ内で実行することができる。幾つかの実施形態では、プロセスチャンバ間で基板を搬送するプロセス中に、基板の表面上に堆積した(1つまたは複数の)層が汚染または酸化されないように、2つ以上の処理チャンバを真空にまたは環境的に制御した搬送チャンバに連結することが望ましい。
図3は、別の一実施形態による方法300を要約した流れ図である。図3には示していないが、方法300の幾つかの実施形態では、ステップ310、320、または330を実行することに先立って、上に論じた1つまたは複数のステップを使用して、1つまたは複数の基板を熱的にクリーニングし、窒化する。310にでは、第1のハロゲン含有ガスを、第1のIII族金属と接触させて、第1の前駆物質を形成する。ハロゲン含有ガスを、フッ素、塩素、臭素、ヨウ素、またはこれらの混合物もしくは組み合わせなどの元素状ハロゲンとすることができる。ハロゲン含有ガスを、やはり、上記の元素のハロゲン化水素、ハロゲン化水素の混合物もしくは組み合わせ、またはハロゲン化水素および元素状ハロゲンガスの混合物もしくは組み合わせとすることができる。III族金属を、ガリウム、インジウム、アルミニウム、これらの組み合わせ、これらの混合物、またはこれらの任意の合金とすることができる。III族金属を、ハロゲン含有ガスに曝している間、固体または液体として保つことができる。個々の実施形態の必要性に応じて、ハロゲン含有ガスを、金属の上、金属の周り、または金属中に流すことによってIII族金属をハロゲン含有ガスに曝すことができる。
320において、第2のハロゲン含有ガスを第2のIII族金属と接触させて第2の前駆物質を形成する。第2のハロゲン含有ガスが、第1のハロゲン含有ガスと同じであることも異なることもあるが、一般に同じ群の材料から選択されるであろう。第2のIII族金属が、第1のIII族金属と同じであることも異なることもあるが、一般に同じ群から選択されるであろう。第2のIII族金属を、やはり、固体または液体とすることができ、上に述べたいずれかの方法でハロゲン含有ガスに曝すことができる。
330において、第1の前駆物質および第2の前駆物質を、窒素ソースとともに基板を含有するプロセスチャンバへ供給する。基板は、一般に上に述べた型の構造基板であり、窒素ソースを、上に説明したようにアンモニアまたは活性窒素種とすることができる。窒素ソースを、窒素などの反応性キャリアガスまたはヘリウムもしくはアルゴンなどの非反応性キャリアガスを用いて供給することができる。
340において、第1の前駆物質および第2の前駆物質を、1つまたは複数の窒素ソースと反応するように仕向け、基板上に第1のIII族金属および第2のIII族金属を含む層を堆積する。堆積した層を、第1のIII族金属および第2のIII族金属の窒化物の混合物とすることができる。例えば、層を、窒化アルミニウムインジウム層、または窒化アルミニウムガリウム層、または窒化インジウムガリウム層とすることができる。他の実施形態では、第3のハロゲン含有ガスに第3のIII族金属を曝すことができ、得られた前駆物質をプロセスチャンバへ供給し、第1の前駆物質および第2の前駆物質とともに窒素ソースと反応させて、3つのIII族金属を含む層を形成する。
350において、第1の前駆物質および第2の前駆物質の流量を変えて、堆積する膜の組成を制御する。一実施形態では、アルミニウムおよびガリウムを含む層を、サファイア基板上に堆積させることができる。サファイア基板の組成に近づけるために、層を初めにアルミニウム−リッチで堆積させることができ、膜が厚くなるにつれて膜のガリウム含有量を絶えず増加させるように、アルミニウムの前駆物質およびガリウムの前駆物質の流量を変化させることができ、ガリウム−リッチ領域で終わる。かかる傾斜組成膜は、上に説明したように特性の移行を容易にすることができる。一実施形態では、流量の移行を直線的にすることができる。例えば、第1の前駆物質および第2の前駆物質の流量を、第2の前駆物質に比して第1の前駆物質が実質的に過剰な状態である第1の目標値で開始することができ、第1の前駆物質に比して第2の前駆物質が実質的に過剰な状態であるの第2の目標値へとランピングすることができる。一実施形態では、第2の前駆物質の流れを初めに止めることができ、第2のIII族金属を含まない初期層を堆積させることができる。第2の前駆物質の流れをその後導入することができ、第1のIII族金属および第2のIII族金属の両方を含む層の堆積を始める。第2の前駆物質の流れをその後ランピングして増加させることができ、一方で、第1の前駆物質の流れをランピングして減少させて、傾斜組成を形成することができる。第1の前駆物質の流れをその後止めることができ、第1のIII族金属を含まない最終層を形成することができる。
他の実施形態では、移行が非線形であることがある。例えば、濃度プロファイルが、S字状であることがある、または第1の濃度から第2の濃度へと急激な推移を見せることがある。幾つかの実施形態では、濃度プロファイルが、第1のレベルから第2のレベルまで一連の階段状の変化を示すことがある。
窒素ソースガスの流量を一定に保持しながら相対的な金属の前駆物質の流量を制御することによって、上に説明したもののような傾斜組成を生成することができる。異なる金属の前駆物質の反応速度が、同じように、温度変動によって違った風に影響を受けることがある。金属の前駆物質の流量を、やはり、2つの体積流量の比率によって制御することができる。例えば、第1の前駆物質および第2の前駆物質合計の流量に対する第1の前駆物質の比率を、初めに90%で設定することができ、その後、所望の層の厚さによって決定される速度で10%までランピングして減少させることができる。非線形の組成プロファイルを、やはり、非線形の方式でかかる比率を変えることによって作り出すことができる。
幾つかの実施形態では、上に説明した組成のうちのいずれかによるバッファ層を、非晶質結晶構造を形成するために選択した温度で堆積し、次に任意の所望の程度まで再結晶化させることができる。堆積させようとする材料の秩序化温度より低い温度で、本明細書において説明した窒化物層のうちのいずれかを堆積させることによって、非晶質膜を形成することができる。幾つかの実施形態に関して、約550℃より低い温度で堆積させることが、非晶質バッファ層をもたらすであろう。バッファ層を、次に、熱処理によって部分的にまたは完全に再結晶化させることができる。一態様では、再結晶化を実現するために、バッファ層を、約700℃と約1,000℃との間などの約700℃より高い温度で、例えば、約900℃でアニールすることができる。バッファ層および支持層を同じチャンバ内で堆積する一実施形態では、非晶質バッファ層の堆積の後で支持層の堆積の前に、基板に熱ソークステップを行うことができる。熱ソークステップを、支持層の堆積用に選択した温度で実行することができ、約1分〜約10分の期間を有することがある。熱ソーク時間を調節することが再結晶化の程度に影響を与え、短い熱ソークステップでは、非晶質基質中に埋め込まれた小さな結晶ドメインを結果として生じ、長い熱ソークステップでは、あったとしても、小さな非晶質ドメインだけがある実質的に多結晶基質を結果として生ずる。
寸法パラメータにしたがって非晶質バッファ層を再結晶化させるために、方向性アニーリングを使用することができる。ところが、全体的なベーキングは、主に等方的な再結晶化を結果として生じ、一方または他方の表面近くを選択的に再結晶化させるために、方向性加熱を適用することができる。例えば、放射光エネルギーを、堆積したバッファ層の上側表面へ与えて、表面から下へと再結晶化させることができる。この方式で部分的に再結晶化させることは、下にある基板近くで実質的に非晶質であり、上側表面近くで実質的に結晶質であるバッファ層を結果として生ずる。例えば、バッファ層の表面を、少なくとも700℃の温度まで約1分間加熱することができる。同じように、逆の結果を実現するために、裏側を加熱することによる部分再結晶化を予想することができる。幾つかの実施形態では、結晶質基質中に非晶質ドメインを閉じ込めずに再結晶化を進めるために、完全な再結晶化になるまでの方向性加熱が、効果的な方法であることがある。材料が一方の表面から他方の表面へと再結晶化するので、結晶欠陥および非晶質ドメインが基質中に残る可能性が低い。
一態様では、バッファ層を、2つ以上のシーケンスで堆積させることができ、堆積シーケンス間に非堆積プロセスを挟むことを伴う。一実施形態では、バッファ層の第1の部分を、目標厚さに達するまで非晶質層を形成するために選択した第1の温度で堆積させることができる。次に、堆積を中断することができ、その間に、第1の部分をアニールして、少なくともその一部を再結晶化させる。次に、基板を、結晶質層を形成するために選択した第2の温度へと熱的に制御することができ、バッファ層の第2の部分を、第1の部分上に結晶質形で堆積する。別の一実施形態では、堆積シーケンスは、複数の熱処理サイクル、窒化サイクル、またはクリーニングサイクルと交互の複数の堆積サイクルを含むことができる。これらの方法のうちのいずれかで、化合物層であるバッファ層を形成することができ、その後に形成する支持層と同じ処理チャンバ内で、バッファ層を形成することができる。
幾つかの実施形態では、窒素ソースガスが、活性窒素種を含むことがある。バッファ層および支持層を堆積するために使用するプロセスチャンバに遠隔プラズマ発生器を連結することによって、活性窒素種を配送することができる。窒素含有前駆物質にエネルギーを与えることによって、窒素を含むイオンおよびラジカルなどの活性窒素種を発生させるために、遠隔プラズマ発生器を使用することができる。かかる前駆物質は、窒素ガス(N)、アンモニア(NH)、亜酸化窒素(NO)、ヒドラジン(N)、ジイミド(N)、およびアジ化水素酸(HN)を含むことができ、複数の窒素を含有する化合物の混合物とすることができる。与えるエネルギーを、活性化させる前駆物質に適合させ、DCエネルギーもしくはRFエネルギー、UV放射光、またはマイクロ波放射光などのエネルギーソースから供給されるエネルギーを含むことができる。窒素を含有する化合物を、ラジカルを含む中性に帯電した種へと再結合するイオンへ、エネルギーによって解離させることができる。活性化させた窒素ガスを、遠隔プラズマチャンバからの空間を通して流すことによって処理チャンバへ導入する。ガスが処理チャンバへ向けて移動するので、残りの帯電した種は、再結合によって消滅し、金属含有前駆物質と反応させるためのラジカルおよび他の中性に帯電した反応種を残す。幾つかの実施形態では、活性窒素ガスを、上に説明したようなもう1つの窒素ソースまたはキャリアガスと混合することができる。
図2の方法で用いたように、膜の特性を向上させるために、方法300の様々な膜の形成中に、ハロゲン含有ガスを処理チャンバへ追加で供給することができる。上に説明したように、ハロゲン含有ガスを、塩素または塩化水素などのハロゲンガスまたはハロゲン化物ガスとすることができる。
図4は、本明細書において説明する本発明の1つまたは複数の実施形態を実施するために使用することができるHVPE装置400の概略的な断面図である。図4中の装置400は、処理容積408を囲む1つまたは複数の壁403を有するチャンバ本体402を含む。シャワーヘッドアセンブリ404を、処理容積408の一方の境界に配置し、基板キャリア414を、処理容積408の他方の境界に配置する。基板キャリア414は、1つまたは複数のリセス416を含むことができ、リセス内に1枚または複数の基板を処理中に配置することができる。基板キャリア414は、6枚以上の基板を運ぶことができる。一実施形態では、基板キャリア414は、8枚の基板を運ぶ。より多くの基板またはより少ない基板を基板キャリア414上で運ぶことができることを、理解されたい。基板サイズを、直径で50mm〜100mm以上の範囲とすることができ、一方で、基板キャリアサイズを、200mm〜500mmの範囲とすることができる。基板キャリアを、SiCまたはSiCコーティングしたグラファイトを含む様々な材料から形成することができる。他のサイズの基板を装置400内部でおよび本明細書において説明するプロセスによって処理することができることを、理解されたい。
基板キャリア414を、回転モータ405の使用によって処理中にそれ自体の中心軸の周りを回転させることができる。一実施形態では、基板キャリア414を、約30RPMなどの約2RPMと約100RPMの速度で回転させることができる。基板キャリア414を回転させることは、幾つかの実施形態ではプロセスガスに各基板を均一に曝す際に手助けになる。別の一実施形態では、基板キャリア414それ自体を回転させる代わりにまたは回転させることとともに、基板キャリア414の内部で、基板を個々に回転させることができる。
ランプアレイにグループ分けすることができる複数のランプ430a、430bを、基板キャリア414の下方に配置することができる。幾つかの実施形態に関して、典型的なランプ配列は、基板の上方に(図示せず)および(図示したように)下方にランプのアレイを備えることができる。一実施形態は、横からランプを組み込むことができる。ある種の実施形態では、ランプを同心円に配列することができる。例えば、ランプの内側アレイ430bが、8個のランプを含むことができ、ランプの外側アレイ430aが、12個のランプを含むことができる。一実施形態では、複数のランプ430a、430bの各々に、個別に電力を供給する。別の一実施形態では、ランプ430a、430bのアレイを、シャワーヘッドアセンブリ404の上方または内部に設置することができる。個々の実施形態の必要性に応じて、他の配列および別の数のランプが可能であることが、理解される。基板キャリア414の内側域および外側域を加熱するために、ランプ430a、430bのアレイに、選択的に電力を供給することができる。一実施形態では、ランプ430a、430bに、内側アレイおよび外側アレイとしてまとめて電力を供給することができ、この場合に、上部アレイおよび下部アレイに、いずれかまとめて電力を供給する、または別々に電力を供給する。さらに別の一実施形態では、別々のランプまたは加熱素子を、ソースボート480の上におよび/または下に設置することができる。本発明がランプのアレイの使用に制限されないことを、理解されたい。適切な温度が、処理チャンバ、その中の基板、および金属ソースに適正に与えられることを確実にするために、任意の適した加熱ソースを利用することができる。例えば、急速熱処理ランプシステムを利用することができる。
一実施形態では、1つまたは複数の壁403上に配置されたシャワーヘッドアセンブリ404の構成要素から離れて設置されたアンプルアセンブリ423によって、ソースボート480を置き換えることができる。この構成では、ハロゲンガスおよび/または不活性ガスを、ガスソース427から、固体または液体ソース材料を含有するアンプル425のウェル425Aへと配送することができ、処理容積408へと配送することができる金属ハロゲン化物の前駆物質(例えば、GaCl、GaCl、AlCl)を作り出す。アンプルを加熱ソース429によって加熱することができ、ソース材料を加熱し、金属ハロゲン化物の前駆物質が形成されることを可能にする。金属を含有する前駆物質ガスを、次に、ガス管のセットによってまたは従来型のシャワーヘッドタイプのアセンブリを通して処理容積408へ供給することができる。窒素含有前駆物質ガスを、やはり、ガス管のセットを通して処理容積408中へと導入することができる。幾つかの実施形態では、窒素含有前駆物質ガスが、アンモニアを含有することがある。
基板を加熱する上にソースボート480も加熱するために、複数のランプ430a、430bのうちの1つまたは複数に、電力を供給することができる。ランプは、約900℃と約1200℃の温度に基板を加熱することができる。別の一実施形態では、ランプ430a、430bは、ソースボート480内部のウェル420中の金属ソースを約350℃と約900℃の温度で維持する。処理中の金属ソース温度を測定するために、熱電対(図示せず)を、ウェル420内部に設置することができる。ウェル420中の金属ソースの温度を必要に応じて制御するまたは調節することができるように、熱電対によって測定した温度を、加熱ランプ430a、430bから供給される熱を調節するコントローラへフィードバックすることができる。
本発明の一実施形態によるプロセス中には、前駆物質ガス406がシャワーヘッドアセンブリ404から基板表面に向けて流れる。基板表面のところでのまたは近くでの前駆物質ガス406の反応が、基板上へと、GaN、AlN、およびInNを含む様々な金属窒化物層を堆積させることができる。AlGaNおよび/またはInGaNなどの「組み合わせ膜」の堆積用に、複数の金属を、やはり利用することができる。
図1のデバイスなどのデバイスの多重量子ウェル層を、Santa Clara、CaliforniaのApplied Materials,Inc.のLighting Product部門から入手可能なものなどのMOCVDチャンバにおいて実行されるMOCVDプロセスを使用して形成することができる。上に説明した方法のうちのいずれかによって準備することができ、上に説明した構造基板のうちのいずれかなどの基板を、MOCVDチャンバへ供給することができる。III族の有機金属の前駆物質を、V族の前駆物質およびキャリアガスとともにチャンバへ供給する。適したIII族の有機金属は、トリメチルガリウム(TMG)、トリメチルアルミニウム(TMA)、およびトリメチルインジウム(TMI)、ならびにこれらの組み合わせまたは混合物を含む。適したV族の前駆物質は、ほとんどの場合に窒素を含有する。金属窒化物を形成するために使用することができる反応性窒素を含有するガスは、アンモニア(NH)およびヒドラジン(N)を含む。適したキャリアガスは、水素(H)、窒素(N)、ヘリウム(He)、アルゴン(Ar)、キセノン(Xe)、およびこれらの組み合わせまたは混合物を含む。別の一実施形態では、窒素ソースを、窒素ガス(N)、亜酸化窒素(NO)、アンモニア(NH)、ヒドラジン(N)、ジイミド(N)、アジ化水素酸(HN)、等などの窒素を含有する材料の遠隔プラズマから誘導された1つまたは複数の活性窒素種とすることができる。堆積した膜中にドーパントを含ませるために、ドーパントの前駆物質を、やはり、チャンバへ供給することができる。一実施形態では、チャンバへ供給するガス混合物中にジシクロペンタジエニルマグネシウム(CpMg)を含ませることによって、マグネシウム(Mg)をドーパントとして膜に添加することができる。下記の表1は、上に説明したデバイスを使用する窒化物半導体構造の成長の際に一般的に適している例示的な処理条件および前駆物質流量を提供する。
表1
Figure 2012525718
図5は、本明細書において説明する方法を実行するために使用することができるHVPE装置500の概略的な断面図である。HVPE装置500は、蓋504によって囲まれるチャンバ502を含む。チャンバ502および蓋504は、処理容積507を画定する。シャワーヘッド506を、処理容積507の上部領域内に配置する。サセプタ514を、処理容積507内のシャワーヘッド506の反対側に配置する。サセプタ514を、処理中にその上に複数の基板515を支持するように構成する。一実施形態では、複数の基板515を、サセプタ514によって支持された基板キャリア516上に配置する。サセプタ514を、モータ580によって回転させることができ、SiCまたはSiCコーティングしたグラファイトを含む様々な材料から形成することができる。
一実施形態では、HVPE装置500は、サセプタ514上の基板515を加熱するように構成された加熱アセンブリ528を備える。一実施形態では、チャンバ底部502aを石英から形成し、加熱アセンブリ528は、石英チャンバ底部502aを通して基板515を加熱するためにチャンバ底部502aの下に配置したランプアセンブリである。一実施形態では、加熱アセンブリ528は、基板、基板キャリア、および/またはサセプタ全域にわたり均一な温度分布を与えるように分布させたランプのアレイを備える。
HVPE装置500は、チャンバ502の側壁508の内側に配置された前駆物質供給パイプ522、524をさらに備える。パイプ522および524は、処理容積507および前駆物質ソースモジュール532内に見出される注入管521と流体連通している。シャワーヘッド506は、処理容積507およびガスソース510と流体連通している。処理容積507は、排気部551と流体連通している。
HVPE装置500は、チャンバ502の壁508の内部に埋め込まれたヒータ530をさらに備える。壁508内に埋め込まれたヒータ素子530は、堆積プロセス中に必要である場合には追加の熱を供給することができる。処理チャンバの内側の温度を測定するために、熱電対を使用することができる。熱電対(図示せず)からの読みに基づいてヒータ素子530(例えば、抵抗加熱素子)に配送される電力を調節することによってチャンバ502の壁の温度を制御するコントローラ541へ、熱電対からの出力をフィードバックすることができる。例えば、チャンバが冷た過ぎる場合には、ヒータ530を作動させるであろう。チャンバが熱過ぎる場合には、ヒータ530を切るであろう。それに加えて、ヒータ530から供給される熱の量を最小にするように、ヒータ530から供給される熱の量を制御することができる。
ガスソース510からのプロセスガスを、ガス分配シャワーヘッド506中に配置されたガスプレナム536を通して処理容積507へ配送する。一実施形態では、ガスソース510は、窒素を含有する化合物を含むことができる。一実施形態では、アンモニアまたは窒素を含むガスを配送するように、ガスソース510を構成する。一実施形態では、ヘリウムまたは二原子窒素などの不活性ガスを、ガス分配シャワーヘッド506を通してまたはチャンバ502の壁508上に配置されたパイプ524を通してのいずれかで、同様に導入することができる。エネルギーソース512を、ガスソース510とガス分配シャワーヘッド506との間に配置することができる。一実施形態では、エネルギーソース512は、ヒータまたは遠隔RFプラズマソースを含むことができる。エネルギーソース512は、ガスソース510から配送されるガスにエネルギーを与えることができ、その結果、窒素含有ガス中の窒素がより反応性になるように、ラジカルまたはイオンを生成することができる。
ソースモジュール532は、ソースボート534のウェル534Aに接続されたハロゲンガスソース518およびウェル534Aに接続された不活性ガスソース519を備える。アルミニウム、ガリウム、またはインジウムなどのソース材料523を、ウェル534A中に配置する。加熱ソース520がソースボート534を囲む。注入管521が、パイプ522、524を介して処理容積507へウェル534Aを接続する。
一実施形態では、処理中に、ハロゲンガス(例えば、Cl、Br、またはI)を、ハロゲンガスソース518からソースボート534のウェル534Aへ配送して、金属ハロゲン化物の前駆物質(例えば、GaCl、GaCl、AlCl)を作り出す。ハロゲンガスと固体または液体ソース材料523との相互作用が、金属ハロゲン化物の前駆物質を形成することを可能にする。ソースボート534を、加熱ソース520によって加熱することができ、ソース材料523を加熱し、金属ハロゲン化物の前駆物質を形成すことを可能にする。金属ハロゲン化物の前駆物質を、次に、注入管521を通ってHVPE装置500の処理容積507へ配送する。一実施形態では、不活性ガスソース519から配送される不活性ガス(例えば、Ar、N)を使用して、ウェル534A内で形成された金属ハロゲン化物の前駆物質を、HVPE装置500の処理容積507へ注入管521ならびにパイプ522および524を通って運ぶまたは押し出す。窒素含有前駆物質ガス(例えば、アンモニア(NH)、N)を、シャワーヘッド506を通して処理容積507中へと導入することができ、同時に、金属ハロゲン化物の前駆物質をやはり処理容積507へ供給し、その結果、金属窒化物層を、処理容積507内に配置された基板515の表面上に形成することができる。
図6は、本明細書において説明する実施形態による窒化物化合物半導体デバイスを製造するための1つのHVPEチャンバ602ならびに複数のMOCVDチャンバ603aおよび603bを備えた処理システム600の一実施形態を図説する概略的な上面図である。一実施形態では、処理システム600の内部の環境を、真空環境としてまたは大気圧より低い圧力で維持する。ある種の実施形態では、処理システム600を窒素などの不活性ガスを用いて充填することが望ましいことがある。1つのHVPEチャンバ602ならびに2つのMOCVDチャンバ603aおよび603bを示しているけれども、1つまたは複数のMOCVDチャンバと1つまたは複数のHVPEチャンバとの任意の組み合わせを、やはり搬送チャンバ606と結合することができることが、理解されるはずである。例えば、一実施形態では、処理システム600は、3つのMOCVDチャンバを備えることができる。別の一実施形態では、本明細書において説明したプロセスを、単一のMOCVDチャンバ内で実行することができる。クラスタツールを示しているけれども、本明細書において説明した実施形態をリニアトラックシステムを使用して実行することができることを、やはり理解するはずである。
一実施形態では、追加チャンバ604を、搬送チャンバ606と連結する。一実施形態では、追加チャンバ604は、MOCVDチャンバまたはHVPEチャンバなどの追加の処理チャンバを含む。別の一実施形態では、追加チャンバ604は、計測チャンバを含むことができる。さらに別の一実施形態では、追加チャンバ604は、ガス排気、位置確認、冷却、前処理/前クリーニング、ポストアニール、等のために適合したサービスチャンバなどの前処理チャンバまたは後処理チャンバを含有することができる。一実施形態では、搬送チャンバは、プロセスチャンバマウンティング用に6つの位置のある6面を持った六角形の形状である。別の一実施形態では、搬送チャンバ606は、別の形状を有することができ、対応する数のプロセスチャンバマウンティング位置のある5面、7面、8面、またはそれ以上の面を有する。
HVPEチャンバ602は、加熱した基板上に窒化物化合物半導体材料の厚い層をエピタキシャル成長させるために、ガス状の金属ハロゲン化物を使用するHVPEプロセスを実行するように適合している。HVPEチャンバ602は、基板が処理を受けるために置かれるチャンバ本体614、ガス前駆物質をチャンバ本体614へ配送する化学物質配送モジュール618、および処理システム600のHVPEチャンバ用の電気システムを含む電気モジュール622を備える。
各MOCVDチャンバ603a、603bは、基板が処理を受けるために置かれる処理領域を形成するチャンバ本体612a、612b、前駆物質や、パージガスや、クリーニングガスなどのガスをチャンバ本体612a、612bへ配送する化学物質配送モジュール616a、616b、および処理システム600の各MOCVDチャンバ用の電気システムを含む各MOCVDチャンバ603a、603b用の電気モジュール620a、620bを備える。各MOCVDチャンバ603a、603bは、有機金属成分が金属水素化物成分と反応して、窒化物化合物半導体材料の薄い層を形成するCVDプロセスを実行するように適合している。
処理システム600は、ロボットアセンブリ607を収容する搬送チャンバ606、HVPEチャンバ602、搬送チャンバ606と連結された第1のMOCVDチャンバ603aおよび第2のMOCVDチャンバ603b、搬送チャンバ606と連結されたロードロックチャンバ608、搬送チャンバ606と連結され、基板を保管するためのバッチロードロックチャンバ609、ならびにロードロックチャンバ608と連結され、基板をローディングするためのロードステーション610を備える。搬送チャンバ606は、ロードロックチャンバ608と、バッチロードロックチャンバ609と、HVPEチャンバ602と、第1のMOCVDチャンバ603aと、第2のMOCVDチャンバ603bとの間で基板を取り上げ、搬送するように動作するロボットアセンブリ607を備える。
搬送チャンバ606は、プロセス中には、真空下および/または大気より低い圧力のままであることができる。搬送チャンバ606の真空レベルを、対応する処理チャンバの真空レベルと一致するように調節することができる。例えば、搬送チャンバ606からHVPEチャンバ602中へと(または逆に)基板を搬送するときには、搬送チャンバ606およびHVPEチャンバ602を、同じ真空レベルで維持することができる。その後、搬送チャンバ606からロードロックチャンバ608もしくはバッチロードロックチャンバ609へと(または逆に)基板を搬送するときには、ロードロックチャンバ608またはバッチロードロックチャンバ609とHVPEチャンバ602との真空レベルが異なることがあっても、搬送チャンバの真空レベルを、ロードロックチャンバ608またはバッチロードロックチャンバ609の真空レベルと一致させることができる。このように、搬送チャンバの真空レベルを、調節することができる。ある種の実施形態では、搬送チャンバ606を窒素などの不活性ガスで充填することが望ましいことがある。一実施形態では、基板を、90%よりも多くのNを有する環境中で搬送する。ある種の実施形態では、基板を高純度NH環境中で搬送する。一実施形態では、基板を、90%よりも多くのNHを有する環境中で搬送する。ある種の実施形態では、基板を高純度H環境中で搬送する。一実施形態では、基板を、90%よりも多くのHを有する環境中で搬送する。
処理システム600内では、ロボットアセンブリは、第1の堆積プロセスを行うために、HVPEチャンバ602中へと真空下で基板をロードしたキャリアプレート611を搬送する。キャリアプレート611サイズを、200mm〜750mmの範囲とすることができる。キャリアプレート611を、SiCまたはSiCコーティングしたグラファイトを含む様々な材料から形成することができる。ロボットアセンブリは、第2の堆積プロセスを行うために、第1のMOCVDチャンバ603a中へと真空下でキャリアプレート611を搬送する。ロボットアセンブリは、第3の堆積プロセスを行うために、第2のMOCVDチャンバ603b中へと真空下でキャリアプレート611を搬送する。すべてのまたは一部の堆積ステップが終わった後で、キャリアプレート611を、HVPEチャンバ602またはMOCVDチャンバ603a、603bのうちの1つの、いずれかからロードロックチャンバ608へ搬送して戻す。一実施形態では、キャリアプレート611が、次にロードステーション610に向けて解放される。別の一実施形態では、HVPEチャンバ602またはMOCVDチャンバ603a、603b内でさらに処理することに先立って、キャリアプレート611を、ロードロックチャンバ608またはバッチロードロックチャンバ609のいずれかの中に保管することができる。1つの例示的なシステムが、「PROCESSING SYSTEM FOR FABRICATING COMPOUND NITRIDE SEMICONDUCTOR DEVICES」という名称の、米国特許出願公開第2009/0194026号として現在公開されている、2008年1月31日に出願した米国特許出願第12/023,572号中に記載されており、これはその全体が引用によって本明細書中に組み込まれている。
システムコントローラ660は、処理システム600のアクティビティおよび動作パラメータを制御する。システムコントローラ660は、コンピュータプロセッサおよびプロセッサに連結されたコンピュータ可読メモリを含む。プロセッサは、メモリ中に記憶されたコンピュータプログラムなどのシステム制御ソフトウェアを実行する。処理システムおよび使用方法の態様が、「EPITAXIAL GROWTH OF COMPOUND NITRIDE STRUCTURES」という名称の、米国特許出願公開第2007/0240631号として現在公開されている、2006年4月14日に出願した米国特許出願第11/404,516号にさらに記載されており、これはその全体が引用により本明細書中に組み込まれている。
一実施形態では、処理システム600は、1つのHVPEチャンバ602ならびに2つのMOCVDチャンバ603aおよび603bを備える。かかる処理システムを、本明細書において説明した方法にしたがってデバイスを製造するために使用することができる。パターンを付けたサファイア基板を、基板キャリア611上へとロードロックチャンバ608またはバッチロードロックチャンバ609を通って処理システムへ供給することができ、ロボットアセンブリ607によってHVPEチャンバ602内に配置することができる。上に開示した方法のうちのいずれかにしたがって、マルチステップHVPEプロセスを、HVPEチャンバ内で実行することができ、AlN層などのバッファ層を、続いてドープしたまたはアンドープの1つまたは複数のGaN層を堆積する。基板を次に、能動MQW層の形成のためにMOCVDチャンバのうちの1つ移動し、次に第2のGaN層または複数のドープしたおよびアンドープのGaN層の形成のためにHVPEチャンバへと戻す。基板を次に、システム600から出るように、ロードロックチャンバ608またはバッチロードロックチャンバ609内に配置することができる。
代替の一実施形態では、システム600の追加チャンバ604を、第2のHVPEチャンバとすることができ、これを、能動MQW層の形成に続く第2のGaN層もしくは複数の層の形成のために使用することができる、または第2のHVPE層を、シリコン含有基板上へのAlNバッファ層の形成に続く第1のGaN層もしくは複数のドープしたおよびアンドープのGaN層の形成のために、ならびにMQW能動層の形成に続く第2のGaN層もしくは複数のドープしたおよびアンドープのGaN層を形成することに使用することができる。
上記は本発明の実施形態に向けられているが、本発明の別の実施形態およびさらなる実施形態を、本発明の基本的な範囲から乖離せずに考案することができる。

Claims (17)

  1. 基板上に膜を堆積する方法であって、
    第1の前駆物質を形成するために、第1のIII族金属を第1の水素を含まないハロゲン含有ガスに曝すことと、
    前記第1の前駆物質を第1の窒素ソースと反応させることによって、前記基板上に第1の膜を形成することと、
    第2の前駆物質を形成するために、第2のIII族金属を第2の水素を含まないハロゲン含有ガスに曝すことと、
    前記第2の前駆物質を第2の窒素ソースと反応させることによって、前記基板上に第2の膜を形成することと
    を含む方法。
  2. 前記第1の膜および前記第2の膜を同じプロセスチャンバ内で形成する、請求項1に記載の方法。
  3. 電子デバイス用の支持表面を形成する方法であって、
    窒素含有ガスに構造基板を曝すことによって、前記構造基板上に窒素含有膜を含む下地表面を形成することと、
    第1の前駆物質を形成するために、第1の水素を含まないハロゲン含有ガスを第1の金属と接触させることと、
    前記第1の前駆物質を第1の反応剤と反応させることによって、前記下地表面に隣接させて第1の層を堆積することと、
    第2の前駆物質を形成するために、第2の水素を含まないハロゲン含有ガスを第2の金属と接触させることと、
    前記支持表面を形成するために、前記第2の前駆物質を第2の反応剤と反応させることによって、前記第1の層に隣接させて第2の層を堆積することと
    を含む方法。
  4. 前記第1の反応剤および前記第2の反応剤が各々アンモニアである、請求項3に記載の方法。
  5. 前記第1の層および前記第2の層を形成することが、異なるプロセスチャンバ内で実行され、前記第1の層が、シリコンを含む前記構造基板の表面上に配置された窒化アルミニウムを含む、請求項3に記載の方法。
  6. 前記窒素含有ガスに前記構造基板を曝しながら、第1の目標温度まで前記構造基板の温度をランピングすることをさらに含む、請求項3に記載の方法。
  7. 前記窒素含有ガスがアンモニアである、請求項6に記載の方法。
  8. 基板を処置する方法であって、
    第1のハロゲン含有前駆物質を形成するために、第1の金属を第1の水素を含まないハロゲン含有ガスに曝すことと、
    第2のハロゲン含有前駆物質を形成するために、第2の金属を第2の水素を含まないハロゲン含有ガスに曝すことと、
    前記基板上に所望の量の前記第1の金属および前記第2の金属を含む第1の層を堆積させるために選択した体積比率で、前記第1のハロゲン含有前駆物質および前記第2のハロゲン含有前駆物質を窒素ソースと反応させることと
    を含む方法。
  9. 前記第1の層中に前記第1の金属および前記第2の金属の濃度勾配を形成するために、前記体積比率を変えることをさらに含む、請求項8に記載の方法。
  10. 前記第1のハロゲン含有前駆物質の流れを止めて、前記基板上に前記第2の金属を含む第2の層を堆積することをさらに含む、請求項8に記載の方法。
  11. 基板上に膜を堆積する方法であって、
    第1の金属ハロゲン化物の前駆物質を形成するために、第1の金属を横切って第1の水素を含まないハロゲン含有ガスを流すことと、
    第2の金属ハロゲン化物の前駆物質を形成するために、第2の金属を横切って第2の水素を含まないハロゲン含有ガスを流すことと、
    前記基板上に、複数の分離した核形成サイトを堆積させるために、前記第1の金属ハロゲン化物の前駆物質を第1の窒素ソースと反応させることであって、各核形成サイトが前記第1の金属を含むことと、
    前記基板上に前記第2の金属を含む膜を堆積させるために、前記第2の金属ハロゲン化物の前駆物質を第2の窒素ソースと反応させることと
    を含む方法。
  12. 前記第1の水素を含まないハロゲン含有ガスおよび前記第2の水素を含まないハロゲン含有ガスが、フッ素ガス、塩素ガス、臭素ガス、ヨウ素ガス、これらの混合物、およびこれらの組み合わせを含む群から各々選択される、請求項1、3、8または11に記載の方法。
  13. 前記第1の金属および前記第2の金属が、アルミニウム、ガリウム、インジウム、これらの混合物、これらの組み合わせ、およびこれらの合金からなる群から各々選択される、請求項1、3、8または11に記載の方法。
  14. 前記基板が、サファイア、Al、Si、SiC、LiAlO、LiGaO、ZnO、これらの混合物、これらの組み合わせ、およびこれらの合金からなる群から選択される材料を含む、請求項1、3、8または11に記載の方法。
  15. 前記第1の水素を含まないハロゲン含有ガスおよび前記第2の水素を含まないハロゲン含有ガスが、各々塩素ガスである、請求項1、3、8または11に記載の方法。
  16. 前記第1の金属が固体のアルミニウムであり、前記第2の金属が液体のガリウムである、請求項15に記載の方法。
  17. 前記第1の窒素ソースおよび前記第2の窒素ソースが、アンモニア、遠隔プラズマから形成された活性窒素種、これらの組み合わせ、およびこれらの混合物からなる群から各々選択され、前記第1の水素を含まないハロゲン含有ガスおよび前記第2の水素を含まないハロゲン含有ガスが、各々塩素ガスである、請求項1、7または11に記載の方法。
JP2012508747A 2009-04-29 2010-04-29 HVPEにおいてその場プレ−GaN堆積層を形成する方法 Withdrawn JP2012525718A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17390609P 2009-04-29 2009-04-29
US61/173,906 2009-04-29
PCT/US2010/033030 WO2010127156A2 (en) 2009-04-29 2010-04-29 Method of forming in-situ pre-gan deposition layer in hvpe

Publications (1)

Publication Number Publication Date
JP2012525718A true JP2012525718A (ja) 2012-10-22

Family

ID=43030564

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012508747A Withdrawn JP2012525718A (ja) 2009-04-29 2010-04-29 HVPEにおいてその場プレ−GaN堆積層を形成する方法

Country Status (6)

Country Link
US (1) US20100279020A1 (ja)
JP (1) JP2012525718A (ja)
KR (1) KR20120023040A (ja)
CN (1) CN102414797A (ja)
TW (1) TW201039381A (ja)
WO (1) WO2010127156A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015144181A (ja) * 2014-01-31 2015-08-06 東京エレクトロン株式会社 窒化ガリウム系結晶の成長方法及び熱処理装置
JP2015156418A (ja) * 2014-02-20 2015-08-27 株式会社ニューフレアテクノロジー 気相成長方法
JP2017526191A (ja) * 2014-06-13 2017-09-07 フォルシュングスツェントルム ユーリッヒ ゲーエムベーハー 低温で結晶層特にiv族半導体層を堆積する方法及び光電子部品

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8129208B2 (en) * 2007-02-07 2012-03-06 Tokuyama Corporation n-Type conductive aluminum nitride semiconductor crystal and manufacturing method thereof
JP5378829B2 (ja) * 2009-02-19 2013-12-25 住友電気工業株式会社 エピタキシャルウエハを形成する方法、及び半導体素子を作製する方法
US20110263098A1 (en) * 2010-04-23 2011-10-27 Applied Materials, Inc. Hybrid deposition chamber for in-situ formation of group iv semiconductors & compounds with group iii-nitrides
US9076827B2 (en) 2010-09-14 2015-07-07 Applied Materials, Inc. Transfer chamber metrology for improved device yield
US8143147B1 (en) * 2011-02-10 2012-03-27 Intermolecular, Inc. Methods and systems for forming thin films
JP5736820B2 (ja) * 2011-02-15 2015-06-17 富士通株式会社 半導体製造装置の洗浄装置及びそれを用いた半導体装置の製造方法
US20120258580A1 (en) * 2011-03-09 2012-10-11 Applied Materials, Inc. Plasma-assisted mocvd fabrication of p-type group iii-nitride materials
US20120258581A1 (en) * 2011-03-09 2012-10-11 Applied Materials, Inc. Mocvd fabrication of group iii-nitride materials using in-situ generated hydrazine or fragments there from
SG10201601916TA (en) * 2011-03-28 2016-04-28 Applied Materials Inc Method and apparatus for the selective deposition of epitaxial germanium stressor alloys
US8778783B2 (en) 2011-05-20 2014-07-15 Applied Materials, Inc. Methods for improved growth of group III nitride buffer layers
US8853086B2 (en) 2011-05-20 2014-10-07 Applied Materials, Inc. Methods for pretreatment of group III-nitride depositions
US8980002B2 (en) * 2011-05-20 2015-03-17 Applied Materials, Inc. Methods for improved growth of group III nitride semiconductor compounds
CN111527587B (zh) * 2017-12-19 2023-11-21 胜高股份有限公司 第iii族氮化物半导体基板的制备方法
WO2019140445A2 (en) 2018-01-15 2019-07-18 Alliance For Sustainable Energy, Llc Hydride enhanced growth rates in hydride vapor phase epitaxy
US11434583B1 (en) * 2018-06-06 2022-09-06 United States Of America As Represented By The Secretary Of The Air Force Optimized Heteropitaxial growth of semiconductors
US10573532B2 (en) * 2018-06-15 2020-02-25 Mattson Technology, Inc. Method for processing a workpiece using a multi-cycle thermal treatment process
US11056338B2 (en) 2018-10-10 2021-07-06 The Johns Hopkins University Method for printing wide bandgap semiconductor materials
US11823900B2 (en) 2018-10-10 2023-11-21 The Johns Hopkins University Method for printing wide bandgap semiconductor materials
WO2020252415A1 (en) * 2019-06-13 2020-12-17 Alliance For Sustainable Energy, Llc Nitrogen-enabled high growth rates in hydride vapor phase epitaxy
CN111549375A (zh) * 2020-05-14 2020-08-18 华厦半导体(深圳)有限公司 一种可量产氮化镓的全立式hpve设备
WO2022159457A1 (en) * 2021-01-19 2022-07-28 Alliance For Sustainable Energy, Llc Dynamic hvpe of compositionally graded buffer layers

Family Cites Families (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8332394D0 (en) * 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4851295A (en) * 1984-03-16 1989-07-25 Genus, Inc. Low resistivity tungsten silicon composite film
US4740606A (en) * 1986-07-01 1988-04-26 Morton Thiokol, Inc. Gallium hydride/trialkylamine adducts, and their use in deposition of III-V compound films
US4763602A (en) * 1987-02-25 1988-08-16 Glasstech Solar, Inc. Thin film deposition apparatus including a vacuum transport mechanism
US5348911A (en) * 1987-06-30 1994-09-20 Aixtron Gmbh Material-saving process for fabricating mixed crystals
US4792467A (en) * 1987-08-17 1988-12-20 Morton Thiokol, Inc. Method for vapor phase deposition of gallium nitride film
USD329839S (en) * 1990-01-31 1992-09-29 Hohner Automation Societe Anonyme Incremental coder
DE69229265T2 (de) * 1991-03-18 1999-09-23 Univ Boston Verfahren zur herstellung und dotierung hochisolierender dünner schichten aus monokristallinem galliumnitrid
WO1992022084A1 (en) * 1991-05-21 1992-12-10 Advantage Production Technology, Inc. Organic preclean for improving vapor phase wafer etch uniformity
US5376580A (en) * 1993-03-19 1994-12-27 Hewlett-Packard Company Wafer bonding of light emitting diode layers
US5647911A (en) * 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5679152A (en) * 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
WO1995027570A1 (en) * 1994-04-08 1995-10-19 Ray Mark A Selective plasma deposition
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5715361A (en) * 1995-04-13 1998-02-03 Cvc Products, Inc. Rapid thermal processing high-performance multizone illuminator for wafer backside heating
JPH0945670A (ja) * 1995-07-29 1997-02-14 Hewlett Packard Co <Hp> Iii族−n系結晶の気相エッチング方法および再成長方法
US5667592A (en) * 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6270569B1 (en) * 1997-06-11 2001-08-07 Hitachi Cable Ltd. Method of fabricating nitride crystal, mixture, liquid phase growth method, nitride crystal, nitride crystal powders, and vapor phase growth method
AUPP014297A0 (en) * 1997-11-03 1997-11-27 Ark Engineering Pty Ltd Submersible lamp
US6464843B1 (en) * 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6086673A (en) * 1998-04-02 2000-07-11 Massachusetts Institute Of Technology Process for producing high-quality III-V nitride substrates
US6218280B1 (en) * 1998-06-18 2001-04-17 University Of Florida Method and apparatus for producing group-III nitrides
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6373114B1 (en) * 1998-10-23 2002-04-16 Micron Technology, Inc. Barrier in gate stack for improved gate dielectric integrity
US6413839B1 (en) * 1998-10-23 2002-07-02 Emcore Corporation Semiconductor device separation using a patterned laser projection
KR100304664B1 (ko) * 1999-02-05 2001-09-26 윤종용 GaN막 제조 방법
US6309465B1 (en) * 1999-02-18 2001-10-30 Aixtron Ag. CVD reactor
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) * 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6713789B1 (en) * 1999-03-31 2004-03-30 Toyoda Gosei Co., Ltd. Group III nitride compound semiconductor device and method of producing the same
TW477009B (en) * 1999-05-26 2002-02-21 Tadahiro Ohmi Plasma process device
KR100381742B1 (ko) * 1999-06-30 2003-04-26 스미토모덴키고교가부시키가이샤 Ⅲ-ⅴ족 질화물반도체의 성장방법 및 기상성장장치
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6569765B1 (en) * 1999-08-26 2003-05-27 Cbl Technologies, Inc Hybrid deposition system and methods
US6489241B1 (en) * 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6897119B1 (en) * 1999-12-22 2005-05-24 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6551399B1 (en) * 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
KR100780143B1 (ko) * 2000-02-04 2007-11-27 아익스트론 아게 기재상에 하나 이상의 층을 증착하기 위한 장치와 방법
TW518767B (en) * 2000-03-31 2003-01-21 Toyoda Gosei Kk Production method of III nitride compound semiconductor and III nitride compound semiconductor element
JP4813737B2 (ja) * 2000-04-17 2011-11-09 マットソン テクノロジー インコーポレイテッド 窒化ケイ素フィルムを形成するための超薄オキシニトリドのuv前処理法
US6616870B1 (en) * 2000-08-07 2003-09-09 Shipley Company, L.L.C. Method of producing high aspect ratio domes by vapor deposition
JP4374156B2 (ja) * 2000-09-01 2009-12-02 日本碍子株式会社 Iii−v族窒化物膜の製造装置及び製造方法
DE10048759A1 (de) * 2000-09-29 2002-04-11 Aixtron Gmbh Verfahren und Vorrichtung zum Abscheiden insbesondere organischer Schichten im Wege der OVPD
DE10056029A1 (de) * 2000-11-11 2002-05-16 Aixtron Ag Verfahren und Vorrichtung zur Temperatursteuerung der Oberflächentemperaturen von Substraten in einem CVD-Reaktor
AU2002219966A1 (en) * 2000-11-30 2002-06-11 North Carolina State University Methods and apparatus for producing m'n based materials
US6905547B1 (en) * 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
WO2002080225A2 (en) * 2001-03-30 2002-10-10 Technologies And Devices International Inc. Method and apparatus for growing submicron group iii nitride structures utilizing hvpe techniques
DE10118130A1 (de) * 2001-04-11 2002-10-17 Aixtron Ag Vorrichtung oder Verfahren zum Abscheiden von insbesondere kristallinen Schichten auf insbesondere kristallinen Substraten aus der Gasphase
KR100387242B1 (ko) * 2001-05-26 2003-06-12 삼성전기주식회사 반도체 발광소자의 제조방법
US7501023B2 (en) * 2001-07-06 2009-03-10 Technologies And Devices, International, Inc. Method and apparatus for fabricating crack-free Group III nitride semiconductor materials
US6936357B2 (en) * 2001-07-06 2005-08-30 Technologies And Devices International, Inc. Bulk GaN and ALGaN single crystals
US7211833B2 (en) * 2001-07-23 2007-05-01 Cree, Inc. Light emitting diodes including barrier layers/sublayers
US7659209B2 (en) * 2001-11-14 2010-02-09 Canon Anelva Corporation Barrier metal film production method
DE10163394A1 (de) * 2001-12-21 2003-07-03 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden kristalliner Schichten und auf kristallinen Substraten
AU2002366856A1 (en) * 2001-12-21 2003-07-09 Aixtron Ag Method for depositing iii-v semiconductor layers on a non-iii-v substrate
JP3803788B2 (ja) * 2002-04-09 2006-08-02 農工大ティー・エル・オー株式会社 Al系III−V族化合物半導体の気相成長方法、Al系III−V族化合物半導体の製造方法ならびに製造装置
AUPS240402A0 (en) * 2002-05-17 2002-06-13 Macquarie Research Limited Gallium nitride
KR100568701B1 (ko) * 2002-06-19 2006-04-07 니폰덴신뎅와 가부시키가이샤 반도체 발광 소자
US6869876B2 (en) * 2002-11-05 2005-03-22 Air Products And Chemicals, Inc. Process for atomic layer deposition of metal films
US7115896B2 (en) * 2002-12-04 2006-10-03 Emcore Corporation Semiconductor structures for gallium nitride-based devices
US7018940B2 (en) * 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP4377600B2 (ja) * 2003-03-24 2009-12-02 株式会社東芝 3族窒化物半導体の積層構造、その製造方法、及び3族窒化物半導体装置
US7061065B2 (en) * 2003-03-31 2006-06-13 National Chung-Hsing University Light emitting diode and method for producing the same
JP4026529B2 (ja) * 2003-04-10 2007-12-26 東京エレクトロン株式会社 シャワーヘッド構造及び処理装置
US6921437B1 (en) * 2003-05-30 2005-07-26 Aviza Technology, Inc. Gas distribution system
US7777241B2 (en) * 2004-04-15 2010-08-17 The Trustees Of Boston University Optical devices featuring textured semiconductor layers
US7366368B2 (en) * 2004-06-15 2008-04-29 Intel Corporation Optical add/drop interconnect bus for multiprocessor architecture
EP2573206B1 (en) * 2004-09-27 2014-06-11 Gallium Enterprises Pty Ltd Method for growing a group (iii) metal nitride film
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
DE102004058521A1 (de) * 2004-12-04 2006-06-14 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von dicken Gallium-Nitrit-Schichten auf einem Saphirsubstrat und zugehörigen Substrathalter
JP5706601B2 (ja) * 2005-03-10 2015-04-22 ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニア 平坦な半極性窒化ガリウムの成長技術
US7195934B2 (en) * 2005-07-11 2007-03-27 Applied Materials, Inc. Method and system for deposition tuning in an epitaxial film growth apparatus
JP2009519202A (ja) * 2005-12-12 2009-05-14 キーマ テクノロジーズ, インク. Iii族窒化物製品及び同製品の作製方法
TWI490918B (zh) * 2006-01-20 2015-07-01 Univ California 半極性氮化(鋁,銦,鎵,硼)之改良成長方法
US7364991B2 (en) * 2006-04-27 2008-04-29 Applied Materials, Inc. Buffer-layer treatment of MOCVD-grown nitride structures
US7585769B2 (en) * 2006-05-05 2009-09-08 Applied Materials, Inc. Parasitic particle suppression in growth of III-V nitride films using MOCVD and HVPE
US20080050889A1 (en) * 2006-08-24 2008-02-28 Applied Materials, Inc. Hotwall reactor and method for reducing particle formation in GaN MOCVD
EP2017884A3 (en) * 2007-07-20 2011-03-23 Gallium Enterprises Pty Ltd Buried contact devices for nitride-based films and manufacture thereof
WO2009035648A1 (en) * 2007-09-14 2009-03-19 Kyma Technologies, Inc. Non-polar and semi-polar gan substrates, devices, and methods for making them
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
KR100888440B1 (ko) * 2007-11-23 2009-03-11 삼성전기주식회사 수직구조 발광다이오드 소자의 제조방법
US20090194026A1 (en) * 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
CA2653581A1 (en) * 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015144181A (ja) * 2014-01-31 2015-08-06 東京エレクトロン株式会社 窒化ガリウム系結晶の成長方法及び熱処理装置
JP2015156418A (ja) * 2014-02-20 2015-08-27 株式会社ニューフレアテクノロジー 気相成長方法
JP2017526191A (ja) * 2014-06-13 2017-09-07 フォルシュングスツェントルム ユーリッヒ ゲーエムベーハー 低温で結晶層特にiv族半導体層を堆積する方法及び光電子部品

Also Published As

Publication number Publication date
KR20120023040A (ko) 2012-03-12
WO2010127156A2 (en) 2010-11-04
WO2010127156A3 (en) 2011-02-24
CN102414797A (zh) 2012-04-11
US20100279020A1 (en) 2010-11-04
TW201039381A (en) 2010-11-01

Similar Documents

Publication Publication Date Title
JP2012525718A (ja) HVPEにおいてその場プレ−GaN堆積層を形成する方法
US8138069B2 (en) Substrate pretreatment for subsequent high temperature group III depositions
US8183132B2 (en) Methods for fabricating group III nitride structures with a cluster tool
US8110889B2 (en) MOCVD single chamber split process for LED manufacturing
US8853086B2 (en) Methods for pretreatment of group III-nitride depositions
US8080466B2 (en) Method for growth of nitrogen face (N-face) polarity compound nitride semiconductor device with integrated processing system
US20110244663A1 (en) Forming a compound-nitride structure that includes a nucleation layer
US8980002B2 (en) Methods for improved growth of group III nitride semiconductor compounds
US20110081771A1 (en) Multichamber split processes for led manufacturing
TW200926265A (en) Method for depositing group III/V compounds
US9303318B2 (en) Multiple complementary gas distribution assemblies
CN102414786A (zh) 在原位清洁后利用nh3净化对mocvd腔室进行去污染处理
WO2010129289A2 (en) Decontamination of mocvd chamber using nh3 purge after in-situ cleaning

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20130702