TW201039381A - Method of forming in-situ pre-GaN deposition layer in HVPE - Google Patents

Method of forming in-situ pre-GaN deposition layer in HVPE Download PDF

Info

Publication number
TW201039381A
TW201039381A TW099113741A TW99113741A TW201039381A TW 201039381 A TW201039381 A TW 201039381A TW 099113741 A TW099113741 A TW 099113741A TW 99113741 A TW99113741 A TW 99113741A TW 201039381 A TW201039381 A TW 201039381A
Authority
TW
Taiwan
Prior art keywords
gas
substrate
precursor
metal
layer
Prior art date
Application number
TW099113741A
Other languages
English (en)
Inventor
Yuriy Melnik
Hidehiro Kojiri
Olga Kryliouk
Tetsuya Ishikawa
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201039381A publication Critical patent/TW201039381A/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4488Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C30B29/403AIII-nitrides

Description

201039381 六、發明說明: 【發明所屬之技術領域】 本發明關於如發光二極體(LEDs)或雷射二極體(LDs) 等元件的製造,並且特別地,本發明關於形成供此類元 件所用之基板的方法。 【先前技術】 Ο Η〗族氮化物半導體’如氮化鎵(gallium nitride,GaN), 在多種半導體元件,如短波長發光二極體(LEDs)、雷射 二極體(LDs),以及包含高功率、高頻、高溫電晶體及積 體電路之電子元件的發展及製造上具有很大的重要性。 目刖已被使用來沉積ΙΠ族氮化物的方法之一為氫化物 氣相站晶(hydride vapor phase epitaxy,HVPE)沉積。於 HVPE中,齒化物與ΙΠ族金屬反應以形成含金屬前驅物 0(如’金屬氣化物)。含金屬前驅物接著與含氮氣體反應, 以形成III族金屬氮化物。 由於對LEDs、LDs、電晶體以及積體電路的需求持續 增加’沉積III族金屬氮化物的效率更顯重要。因此,對 於可在大型基板或多重基板上均勻地沉積薄膜的具有高 沉積率之裝置與製程有普遍的需求。此外,對基板上一 貫的薄膜品質來說,均勻的前驅物混合是理想的。因此, 於此技術領域中’對於改良的HVPE沉積方法及HVPE 裝置也有所需求。 4 201039381 【發明内容】 本發明之具體實施例提供一種於基板上沉積薄膜的方 法’其包含下列步驟:將一第—m族金屬暴露至一第一 無氫含函素氣體,以形成一第一前驅物;將第二ΠΙ族金 屬暴露至第二無氫含鹵素氣體,以形成第二前驅物;藉 由使第一前驅物與第一氮氣源於處理腔室中反應,以於 基板上形成第一薄膜;以及藉由使第二前驅物與第二氮 〇 氣源於處理腔室中反應,以於基板上形成第二薄膜。 其它具體實施例提供一種形成電子元件之支撐表面的 方法,其包含下列步驟:將結構基板暴露至含氮氣體, 以形成基礎表面,其包含位於該結構基板上之含氮薄 膜;使第一無氫含鹵素氣體接觸第一金屬,以形成第一 前驅物;藉由使第一前驅物與第一試劑反應,以沉積鄰 近基礎表面之緩衝層;使第二無氫含鹵素氣體接觸第二 〇 金屬,以形成第二前驅物,·以及藉由使第二前驅物與第 二試劑反應,以沉積鄰近該緩衝層之層,形成支撐表面。 其它具體實施例提供一種處理基板的方法,其包含下 列步驟.將第一金屬I露至第一無氣含齒素氣體,以形 成第一含齒素前驅物;將第二金屬暴露至第二無氫含齒 素氣體,以形成第二含鹵素前驅物;以及使第一及第二 含函素前驅物與氮氣源以選用之體積比率進行反應,以 於基板上沉積第一層,其包含期望量之第一及第二金屬。 其它具體實施例提供-種於基板上沉積薄膜的方法, 5 201039381 其包含下列步驟:使第一無氫含鹵素氣體流過第一金 屬,以形成第一金屬鹵化物前驅物;使第二無氫含產素 氣體流過第二金屬’以形成第二金屬處化物前驅物;使 第一金屬齒化物前驅物與第一氮氣源反應,以於基板上 沉積複數個分散的成核點’各成核點包含第一金屬;以 及使第二金屬函化物前驅物與第二氮氣源反應,以於基 板上沉積薄膜,該薄膜包含第二金屬。 〇 【實施方式】 本文所揭露之具體實施例提供了形成為電子元件(包 含多種“III/V”化合物半導體)所用的支撐基板之方法及 裝置。III族金屬氮化物材料可於結構基板上提供為III/V 元件所用的支撐層。某些具體實施例可被用來提供為 LEDs、雷射二極體及其它以氮化鎵、氮化銦及氮化鋁為 基礎之元件所用的支撐基板。於典型的元件中,p_n接合 Ο 點(P-njunction)形成於結構基板上’ p-n接合點也可作為 注入電流的電極接觸點。注入至接合點的電流造成電子 與電洞的結合,使具有直接能隙(direct bandgap)的材料 釋放光能。結構基板可為任何合適的基板,如單晶基板 (single crystal substrate),其中N-型摻雜之m族氮化物 磊晶薄膜可形成於其上。可用於本發明之具體實施例的 結構基板包含,但不限於,矽(Si)、碳化矽(siC)、藍寶 石或其匕形態的氧化鋁(Αΐζ〇3)、氧化鋰鋁(LiA1〇2)、氧 化鋰鎵(LiGa〇2)、氧化鋅(Zn〇)、氮化鎵(GaN)、氮化鋁 6 201039381 (A1N)、石英、玻璃、砷化鎵(GaAs)、尖晶石(MgAl204)、 任何其組合物、任何其混合物或任何其合金。於某些具 體實施例中’任何習知的方法,如遮罩及蝕刻可被應用, 以在平面基板上形成特徵結構,以創造圖樣化基板。於 特定的具體實施例中,圖樣化基板為(〇〇〇丨)圖樣化藍寶 石基板(patterned sapphire substrate,PSS)。由於圖樣化 藍寶石基板能增加對製造新世代固態發光元件非常有用 的光提取效率,因此對於LED的製造而言,使用圖樣化 藍寶石基板是理想的。 第1圖係示範用之以GaN為基礎之LED結構1 〇〇的側 視圖.。其備製造並覆蓋基板104上。基板尺寸可介於直 徑50mm至l〇〇mm之間或更大。無摻雜之氮化鎵(uGaN 層)之後是η-型GaN層112被設置並覆蓋於形成在基板 上的GaN或氮化鋁(A1N)緩衝層108上。元件的活性區 域位於多重量子井(multi-quantum-well,MQW)層116 中’如圖所示’多重量子井層116包含InGaN層。p_n 接合點與覆蓋於上方的P-型AlGaN層120 —起形成,加 上作為接觸層的p-型GaN層124。 在大多數的此類元件中,III族氮化物薄膜形成於結構 基板上。III族氮化物薄膜通常作為形成於其上之p_n接 合點的支撐層。為了促進此類薄膜的形成,緩衝層或轉 換層通常於III族氮化物薄膜之前形成於基板上。緩衝層 或轉換層可促進基板以及支撐層間之結晶學性質及熱性 質的轉換,減少層剝離的趨勢。第一經摻雜ΙΠ族氮化物 7 201039381 層與η-型或p-型摻質(d〇pant) 一起形成並覆蓋於緩衝層 或轉換層上。多重量子井層形成並覆蓋於第一經摻雜ιπ 族氮化物層上,且第二經摻雜ΙΠ族氮化物層以相對於第 一經摻雜III族氮化物層的摻質型態形成並覆蓋於活性 層上,以形成可用以將電能轉成輻射能的ρ_η接合點。 第2圖係總結根據一具體實施例的方法2 〇 〇之流程 圖。第1圖之方法可被用以在如上述之化合物板導體元 〇 件(與第1圖相關)的基板上形成支撐表面。於步驟21〇, 第一含_素氣體與第一 III族金屬接觸,以形成第一前驅 物。含自素氣體可為齒化物氣體及/或無氫含齒素氣體。 於某些具體實施例中,含鹵素氣體可包含氟氣(f2)、氣氣 (Ch)、溴氣(Br2)、碘氣(Id、氟化氫氣體(HF)、氣化氫氣 體(HC1)、溴化氫氣體(HBr)、碘化氫氣體(HI)或其混合物 及其組合物。III族金屬可為鎵、铭、銦、其混合物、其 組合物或其合金。含鹵素氣體流過裝有III族金屬(可為 ® 液態或固態)的儲液槽。含鹵素氣體與ΙΠ族金屬反應, 以形成金屬||化物氣體,其可作為形成薄膜的反應前驅 物。 於步驟220,第二含鹵素氣體與第二III族金屬接觸, 以形成第二前驅物。於一示範用之具體實施例中,第— 儲液槽裝有固態鋁’而第二儲液槽裝有液態鎵。該些儲 液槽被加熱以使鎵維持於液態,並助長鹵化反應《氣氣 同時或依序流過兩種金屬,所產生的函化物被用來於基 板上沉積薄膜。 8 201039381 於方法200之一具體實施例中,在步驟210、220或 23〇進行之前,藍寶石基板被設置於處理腔室中,並以 約 l°C/sec至約 5°C/sec之間的溫度斜率(temperature ramp rate),將藍寶石基板加熱至約500°C至約1,100°C 之間,如約850°C至約1,10(TC之間。使氨氣及氮氣以 約100 seem至約15,000 seem的流速流過基板5至20分 鐘’以熱清潔並氮化基板。將基板氮化可提供薄基礎層, 其用以沉積電子元件的支撐層。於一具體實施例中,當 一或多個基板被加熱至清潔溫度時,可藉由使清潔氣體 混合物流入處理腔室(processing chamber)來執行熱清 潔。於一具體實施例中,清潔氣體混合物包含氨、含鹵 素氟體(例如 ’ CI2、F2、Br2、12)以及載氣(carrier gas)。 於一具體實施例中,載氣可包含氮氣。 於步驟230,於處理腔室中使第一前驅物與第一氮氣 源反應,以於基板上沉積第一層。此第一層可作為基板 以及以下所述第二層之間的緩衝層。於一具體實施例 中’第一氮氣源為氨。於其它具體實施例中,第一氮氣 源可為一或多種活性氮氣物質,其衍生自含氮材料(如氮 氣(n2)、一氧化二氮(n2〇)、氨(Nh3)、聯氨(N2h4)、二醯 亞胺(Ν#2)、疊氮酸(Hn3)及類似物)之遠端電漿。於其它 具體實施例中’第一氮氣源也可為氨及一或多種活性氮 氣物質之混合物。第一氮氣源也可與稀釋劑(diluent)或載 亂一起供應’稀釋劑或載氣為至少部份反應性氣體,如 氮礼,或為非反應性氣體,如氦氣或氬氣。第一氮氣源 9 201039381 與第一金屬画化物反應,產生包含金屬氮化物之第一 層,且此反應之副產物為i化氫及潛在的氫氣。第一層 之厚度通常可達約300 nm。 於步驟240’與相同的處理腔室中使第二前驅物與第 二氮氣源反應’以於基板上沉積第二層,其中第二氮氣 源可相同或不同於第一氮氣源。第二層包含金屬鹵化 物,且其本質上可與第一層有相同或不同的組成。於一 ❹ 示範用之具體實施例中,第一層為氮化紹層,而第二層 為氮化鎵層。當第一層達到目標厚度時,使第一前驅物 停止流入處理腔室,並使第二前驅物開始流入處理腔 室。或者’在第一前驅物停止流入以及第二前驅物開始 流入之間’可用淨化氣體(如氮氣、氦氣或氬氣)淨化處 理腔室。於一具體實施例中,理想情況是在包括含矽材 料(例如’ Si、Sic)之基板表面上形成第二金屬氮化物以 前,先於基板表面上充分地沉積並覆蓋氮化鋁薄膜,以 Ο 、 w 避免含矽表面受到含氣化鎵(如,GaCM、GaCl3)之前驅物 氣體的攻擊或蝕刻。 藉由以約70 seem至約140 seem之間的流速使氣氣流 過固態鋁,且鋁維持在約45(TC至約650°C的溫度以形 成氣化銘前驅物,可長成鄰近基礎層的敗化銘緩衝層。 當鼠氣源氣體持續以介於約1,〇〇〇 sccrn至約9,000 seem 之間的速率(視反應器尺寸而定)流動時,以介於約 l°C/sec至約5°C/sec之斜率將基板的溫度斜線下降至第 二溫度,其介於約500°C至約95(TC之間,如介於約550〇c 201039381 至約7〇0 C之間,例如約640。。。於整個具體實施例中 所使用的溫度斜率有益於防止熱應力的產生,而熱應力 可能來自因材料之不同熱膨脹係數造成的層與層間之弱 黏:性。當基板溫度達到第二目標溫度時,開始讓氣化 銘則驅物流人處理腔室中。這些條件將被保持直到氣 化鋁層達到目標厚度。接著,停止流過固態鋁的氣氣。 Ο
藉由以;|於約1 c/see至約K/see之斜率將基板的溫 度斜線變化至第三溫度’其介於約55(rc至約ι,1〇〇β(: 之間,如介於約90(rc至約11〇(rc之間,例如約 1,050 C,並開始以約2〇 sccm至約15〇 sccm之間的流速 使氣氣流過溫度維持於約7〇(rc至約L00VC之間的液 態鎵儲液槽,可形成鄰近氮化鋁層的氮化鎵層。於一具 體實施例中’氣氣流是於溫度斜線變化時形成。產生的 氣化鎵前驅物被提供至處理腔室,且將氮氣源氣體之流 速提尚到約6,000 sccm至約50,000 sccm之間,使氮化 鎵層以約0.3 μηι/hr至約15 μηι/hr的速率沉積。在沉積 過程中,腔室壓力維持在約10 Torr至約760 Torr之間, 如約70 Torr至約550 Torr之間,例如約450 Torr,且腔 室壁的溫度維持於或高於約450 °C。 於一具體實施例中,當基板溫度斜線變化至第三溫度 時,含氮氣體,如氮氣(N2)、氨(NH3)或聯氨(H2N2)可視 情況被提供至腔室中。於溫度斜線變化期間,形成第一 層時所使用的含氮氣體可以相同的流速持續被供應,或 者替代的含氮氣體可以相同的流速被提供。含氮氣體提 201039381 供第4額外的氮化作用’增進其作為緩衝層或轉換層 的性質。於另一替代的具體實施例令,於第一層及/或第 -層形成期間’含鹵素氣體,如鹵素或鹵化物氣體,例 如氣氣(Cl2)或氯化氫(HC1)可直接被提供至處理腔室。函 化物前驅物流通常先被建立,並接著開始_素或齒化物 氣體流。齒素或齒化物氣體通常藉由在材料層形成期間 對不良黏著成分進行漸進式姓刻(ineremental eteMng), ¢) 以增進該材料層之性質。 於某些具體實施例中,第一層以及第二層可於不同處 理腔至中形成。舉例而言,若要在含矽基板(如矽或碳化 矽)上形成氮化鎵層,於第—腔室中形成第一層(如氮化 鋁)’並於第二腔室中形成第二層(氮化鎵),可能是比較 有利的,因為這樣可避免在單一腔室中形成第一層及第 一層時可能面臨的矽基板與微量鎵之反應❶通常,對於 本文所揭露,涉及形成多於一層的所有方法來說,可根 據個別具體實施例的需求來決定於單一腔室或複數個腔 室中形成該些層。於某些具體實施例中,理想的情況是 將二或多個處理腔室連接至真空或環境控制移送室,使 > 儿積於基板表面的一或多層不會在處理腔室間轉移基板 的過程中被污染或氧化。 第3圖係總結根據另一具體實施例的方法3〇〇之流程 圖。未繪示於第3圖的是’於方法300的某些具體實施 例中,可於步驟310、320或330進行之前,使用前面所 討論的一或多個步驟來熱清潔並氮化一或多個基板。於 12 201039381 步驟3 10,第一含鹵素氣體與第一 ΙΠ族金屬接觸,以形 成第一前驅物。含鹵素氣體可為基本幽素氣體,如氟氣、 氯氣、漠氣、碘氣、其混合物或其組合物。含齒素氣體 也可為前述基本南素氣體的南化氫氣體、豳化氫氣體的 混合物或組合物,或產化氫氣體及基本函素氣體的混合 物或組合物。ΙΠ族金屬可為鎵、銦、鋁、其任何混合物、 其任何組合物或其任何合金。當暴露至含_素氣體時, Ο π 1族金屬可被維持於固態或液態。根據個別具體實施例 的需求’含鹵素氣體可藉由流過金屬上方、流過金屬周 圍或穿過金屬等方式,以暴露至ΠΙ族金屬。 於步驟320,第二含齒素氣體與第二m族金屬接觸, 以形成第二前驅物。第二含鹵素氣體可能相同或不同於 第一含鹵素氣體,但通常將選自相同的材料群組。第二 III族金屬同樣可能相同或不同於第一 ΠΙ族金屬,但通 常將選自相同的群組。第二ΠΙ族金屬也可為固態或液 態’且可以任何前述的方式暴露至含函素氣體。 於步驟330,第一及第二前驅物與氮氣源一起被提供 至含有基板的處理腔室。基板通常為前述之各種結構基 板’且如前所述’氮氣源可為氨或活性氮氣物質。氮氣 源可與反應性載氣(如氮氣)或非反應性載氣(如氦氣或氬 氣)一起被提供。 於步驟340’助長第—及第二前驅物與一或多個氛氣 源反應,以於基板上沉積包含第一及第二ΠΙ族金屬之 層。所沉基之該層可為第一及第二ΠΙ族金屬氮化物之混 13 201039381 &舉例而s ’該層可為氮化鋁銦層,或氮化鋁鎵層, 或氮化銦鎵層。於其它具體實施例中,第三含鹵素氣體 可被暴露至第三III族金屬,所產生的前驅物被提供至處 理腔室與氮氣源、第-及第二前驅物一起反應,以形成 包含三種III族金屬的層。 Ο Ο 於步驟350,變化第一及第二前驅物的流速,以控制 沉積之薄膜的組成。於一具體實施例中,包含鋁及鎵之 層可被沉積於藍寶石基板上。該層可先進行富含鋁的沉 積使其具有接近藍寶石基板的組&,且紹及蘇前驅物 的流速可平穩地改變以於增加薄膜厚度的同時增加薄膜 中的鎵含量’最終形成富含鎵的區域。如前所述,這樣 的漸變組成(graded composition)薄臈可促進性質的轉 換三於-具體實施例中,流速轉換可以是線性的。舉例 而。’第-及第二前驅物的流速可開始於第—目標流速 (此時第-前驅物相較於第二前驅物本質上超量),並可 斜線改變至第二目標流速(此時第二前驅物相較於第一 前驅物本質上超量)。於—具體實施財,第二前驅物流 可先被停止’以沉積不含第二m族金屬之起始層。第二 前驅物流可接著被導人,以開始沉積包含第—及第二m 族金屬之層。當第一前驅物流被斜線減少時,第二前驅 =流可接著被斜料加,以形成漸變組成。第—前驅物 流可接著被停止,以形成不含第—m族金屬的最終層。 於其它具體實施例中,流速轉換也可以是非線性的。 舉例而言1度輪廓可呈S型,或可展現自第—濃度至 201039381 第二濃度的猝然轉移。於某些具體實施例中,濃度輪廓 也可能展現自第一等級至第二等級的一連串階段性改 變。 當使氮氣源的流速保持恆定時,藉由控制金屬前驅物 的相對流速可生產如前所述之彼等漸變組成。不同金屬 前驅物的反應速率也同樣可因不同溫度變化而受到不同 景/響可根據兩個體積流速(volumetric flow rate)的比率 〇 控制金屬刖驅物的流速。舉例而言,第一前驅物流速與 第一及第二前驅物流速總合的比率可先被設定為90%, 接著以某速度(由期望之層的厚度所決定)斜線下降至 1 〇 %。藉由非線性手段改變此比率也可產生非線性組成 輪靡。 於某些具體實施例中,根據前述任何組成的緩衝層可 於選足的概度下被沉積,以形成非晶結晶結構並接著 ^ 再結晶至任何期望的程度。在低於被沉積材料的序化溫 度(ordering temperature)下沉積本文所述之任何氮化物 層,可形成非晶薄膜。於某些具體實施例,在低於約55(rc 的/亚度下 >儿積會產生非晶緩衝層。緩衝層可接著透過熱 處理被部份或完全再結晶。在一方面,緩衝層可在高於 約70(TC,如介於約7〇〇χ至約1〇〇〇γ之間例如約 900T之溫度下進行退火處理,以完成再結晶反應。在 緩衝層及支撐層於相同腔室中進行沉積之具體實施例 中,於非晶緩衝層沉積之後及支撐層沉積之前,基板可 經熱浸(heat soak)步驟處理。熱浸步驟可於選作沉積支撐 15 201039381 層的溫度下進行,且可具有進行期間介於約丨分鐘至約 ίο分鐘。調整熱浸的時間將影響再結晶的程度,短時間 的熱浸步驟產生小型晶疇(crystal domains)埋設於非晶 基質(amorphous matrix)中,而長時間的熱浸步驟則產生 只有小型非晶籌(若有的話)的本質上多晶的基質 (polycrystalline matrix)。 疋向退火(Directional annealing)可根據因次參數 〇 (dimensional parameter)來再結晶非晶緩衝層。然而,一 般烘烤將產生主要等向再結晶(isotropic recrystallization),定向加熱則可被應用來選擇性地靠近 一表面或另一表面結晶。舉例而言,輻射能可被應用至 沉積之緩衝層的上表面,以由該表面向下再結晶。於此 手段中的部份再結晶會造成緩衝層靠近下方基板處為本 質上非晶的,而靠近上表面處則為本質上結晶的。舉例 而5,緩衝層的表面可被加熱到至少約700-C,約i分 鐘°同樣’藉由背面加熱所造成的部份再結晶可預期會 =到相反的結果。於某些具體實施例中,^向退火以完 王再m B日可以是增進再結晶而不困住結晶基質中的非晶 =之有效方式。隨著自一表面至另一表面之材料再結 S曰,晶體瑕疵以及非晶疇較不可能保留於基質中。 在方面,緩衝層可於二或多個程序中被沉積,且非 ’儿積製程可介於該些沉積程序之間。於一具體實施例 中’緩衝層的第—部份可於第—選定溫度下被沉積以带 成非晶層,直到達到目標厚度為止。當該第一部份進‘ 16 201039381 退火以再結晶其至少一部份時,可暫 控制基板至第二選定溫度, 停沉積。接著可熱 態將緩衝層的第二部份沉積於第—層,且以結晶型 實施例中’沉積程序可包含多個沉積m另一具體 處理、氮化或清潔循環交替進行。於^與多個熱 式中,被形成的緩衝層為化合的任一方 的支撐層於相同處理腔室中形成。且緩衝層可與後續 ❸ Ο 於某些具體實施例中,uΉ t Α μ 、土 ^源氣體可包含活性氮氣物 質。將遠端電漿產生器連接用& 、 疋伐用來/儿積緩衝層及支撐層的 理腔至’可何生活性氮氣。藉由將能量應用至含氮前 驅物,遠端電漿產生器可產生活性氮氣物質,如包含氮 氣之離子或自由基。此類含氮前驅物可包含氮_、 氨(ΜΗ3)、一氧化二氮(仏〇)、聯氨(Ν2Η4)、二醯亞胺 (Ν^2)、疊氮酸(ΗΝ3),且可為一種以上之含氮化合物之 混合。所用的能量適合於將被活化的前驅物,且可包含 由能量源(如DC或RF能量、υν輻射或微波輻射)所提 供之能量。含氮化合物可被此能量解離成離子,而離子 可重組成包含自由基的中性帶電物質。被活化的氮氣可 自遠端電漿腔室被導入處理腔室。當氣體向處理腔室移 動時’仍帶電的物質藉由重組而消滅,留下自由基及其 它中性帶電反應性物質與含金屬前驅物反應。於某些具 體實施例中,活性氮氣可如前所述與其它氮氣源或載氣 混合。 如同第2圖之方法,於方法3〇〇形成多種薄膜的期間 17 201039381 可額外提供含鹵素氣體至處理腔室,以增進薄膜的性 質。如前所述,含函素氣體可為函素氣體或鹵化物氣體, 如氣氣或氯化氫氣。 第4圖係可被用來執行本文所述一或多個本發明之具 體實施例的HVPE裝置400之剖面視圖。第4圖中的 HVPE裝置400包含腔室主體402,其具有一或多個壁面 403包圍一處理容積(processing v〇iume) 408,且基板載 〇 台414設置於處理容積408的另一邊界。基板載台414 可包含一或多個凹陷416,使一或多個基板可於處理期 間被設置於其中。基板載台414可承載六個以上的基 板。於一具體實施例中,基板載台414可承載八個基板。 需了解的是,基板載台414可承載更多或較少數量的基 板。基板載台的尺寸可介於200mm至500mm之間,而 基板尺寸可介於直徑50mm至l〇〇mm之間或更大。基板 載台可由多種材料形成,包含SiC或以siC塗佈之石墨。 〇 需了解的是,其它尺寸的基板也可根據本文所述的製 程’於HVPE裝置400中進行處理。 於處理期間,基板載台414可藉由使用旋轉馬達405 而繞著其中心軸旋轉。於一具體實施例中,基板載台41 4 可以介於約2 RPM至約100 RPM,如約30 RPM,的速 率旋轉。於某些具體實施例中,旋轉基板載台414有助 於將處理氣體均勻暴露至各個基板。於另一具體實施例 中’基板可於基板載台414中獨立旋轉,或者與基板載 台414本身—起旋轉。 18 201039381 可被群組於陣列中的複數個燈430a、430b可被設置於 基板載台414下。對某些具體實施例而言,典型的燈排 列可包含位於基板上方(未繪示)及下方(如圖所示)的燈 陣列。本發明之一具體實施例可包含側面燈。於某些具 體實施例中’燈可以同心圓方式排列。舉例而言,内側 燈4 3 0 b所組成之陣列可包含八個燈,而外侧燈4 3 〇 a所 組成之陣列可包含十二個燈。於一具體實施例中,各個 〇 燈430a' 430b皆為獨立供電。於另一具體實施例中,燈 430a、430b所組成之陣列可設置在噴頭組裝(sh〇werhead assembly) 404上方或内部。需了解的是,依據個別具體 實施例的需求,可能存在其它排列方式及其它數量的 燈。燈430a、43 0b所組成之陣列可被選擇性地供電,以 加熱基板載台414的内侧或外側區域。於一具體實施例 中’對作為内側陣列和外側陣列的燈43〇a、430b集中供 電,其中頂部和底部陣列不是集中供電就是獨立供電。 ® 於再一具體實施例中,分開的燈或加熱構件可設置在源 舟(source boat) 480的上方及/或下方。需了解的是,本 發明不限於使用燈陣列。任何適合的熱源都可能被使用 以確保將適當的溫度充分地應用到處理腔室、其中的基 板以及金屬源。舉例而言,可利用快速熱處理燈系統。 於一具體實施例中,源舟480可被安瓿組裝(amp〇ule assembly) 423取代,安瓿組裝423的位置與設置於一或 多個壁面403上之噴頭組裝404部件相隔一距離。與此 配置中,鹵素氣體及/或惰性氣體可自氣體源427被輸送 19 201039381 至安瓿425 (含有固態或液態源材料)的井425入,以創造 金屬齒化物前驅物(如,Gaa、GaC丨广A1C13)’此金屬豳 化物前驅物可進一步被輸送至處理容積4〇8。可藉由加 熱源429加熱安瓶,以加熱源材料使金屬齒化物前驅物 能被形成。含金屬前驅物氣體可接著藉由一組氣體管或 藉由傳統喷頭型組裝提供至處理容積4〇8。含氮前驅物 氣體也可透過一組氣體管被導入處理容積4〇8中。於某 〇 些具體實施例中,含氮前驅物氣體可含有氨。 一或多個燈430&、43015可被供電以同時加熱基板及源 舟480 〇燈可將基板加熱至約9〇(rc至約12〇〇。^^於另 一具體實施例中,燈430a、430b可將將源舟48〇中的井 420中的金屬源保持在約35(rc至約9〇『c。在井42〇中 可設置熱電偶(未繪示),以在處理期間測量金屬源之溫 度。由熱電偶測量的溫度可反饋到調節由加熱燈43〇&、 430b提供的熱之控制器’因此必要的話,井42〇中的金 屬源之溫度可以被控制或調節。 在根據本發明之一具體實施例的處理期間,前驅物氣 體傷從喷頭組裝楊流向基材表面。前驅物氣體4〇6 在基材表面或附近的反應可以將包括GaN、AiN* BN 的各種金屬氮化物層沈積在基材上。也可以將多重金屬 用於“組合薄膜(combination films)”的沈積,如編以 及 /或 InGaN。 如第1圖所示之元件的多重量子井層,可於m〇cvd 腔室(如,可自從加州聖克拉拉市應用材料公司的光產品 20 201039381 部門(Lighting Products division)取得者)上使用 M〇cvd 製程而形成。可根據任何前述方法製備的基板,如任何 前述之結構基板,被提供至MOCVD腔室。ΙΠ族金屬有 機則驅物與V族别驅物以及載氣一起被提供至腔室。合 適的III族金屬有機物包含三甲基鎵(trimethyl gallium, TMG)、三甲基鋁(trimethyl aluminum,TMA)、三甲基銦 (trimethyl indium,TMI)、其組合物或其混合物。合適的 〇 V族前驅物通常含氮。可用來形成金屬氮化物的反應性 含氮氣體包含氨(NH3)以及聯氨(仏仏)。合適的載氣包含 氫氣(HO、氮氣(NO、氦氣(He)、氬氣(Ar)、氙氣(Xe)、 其組合物或其混合物。於另一具體實施例中,氮氣源可 為一或多種活性氮氣物質’其衍生自含氮材料,如氮氣 (n2)、一氧化二氮(N2〇)、氨(Nh3)、聯氨(N2H4)、二醯亞 胺(Ν#2)、疊氮酸(HN3)及類似物,之遠端電漿。掺質前 驅物也可被供應至腔室中,使沉積的薄膜中包含摻質。 〇 於一具體實施例中,在提供至腔室的氣體混合物中包含 二環戊二稀基鎮(diCyCi〇pentadienyl magnesium, CpzMg),可使鎂(Mg)作為摻質加入薄膜中。以下表1提 供示範用之處理條件以及前驅物流速,其通常適合使用 前述元件之氮化物半導體結構的成長: 表1 參數 值 溫度(°c) 500-1200 壓力(Ton·) 5-760 TMG 流速(seem) 0-50 21 201039381 TMA 流速(seem) 0-50 ~~ TMI 流速(seem) 0-50 PH3 流速(seem) 0-1000 AsH3 流速(seem) 0-1000 — NH3 流速(seem) 100-100,000 N2 流速(seem) 「0-1 〇〇,〇〇〇 H2 流速(seem) 0-100,000~ Cp2Mg 0-2,000 第5圖係可被用來實施本發明所述之方法的HvpE裝 0 置500之剖面視圖。HVPE裝置500包含被蓋體5〇4封 閉之腔室502。腔室5〇2及蓋體504定義—處理容積 507。喷頭506設置於處理容積507的上方區域。基座 (susceptor) 5 Μ相對喷頭506設置於處理容積5〇7中。 基座514係被配置來於處理期間支撐複數個基板515於 其上。於一具體實施例中,複數個基板515被設置於基 板載台516上’基板載台516則由基座514支揮。基座 514可被馬達580旋轉,且可由多種材料形成,包含sic Ο 或以Sic塗佈之石墨。 於一具體實施例中,HVPE裝置500包含加熱組裝 528’其被配置來加熱基座514上的基板515〇於一具體 實施例中’腔室底部502a由石英形成,且加熱組裝528 為設置於腔室底部502a下方的燈組裝,以透過石英腔室 底部502a加熱基板5 15。於一具體實施例中,加熱組裝 528包含燈所組成的陣列,以於基板、基板載台及/或基 座上提供一致的溫度分佈。 HVPE裝置5 00進一步包含前驅物供應管路522、524, 22 201039381 設置於腔室502的側壁5〇8内。管路切、^與處理容 積5〇7及前驅物源模、組532中的入521流體連通 ( mUmCatl〇n)。噴頭506與處理容積507及氣體 源別流體連通。處理容積5〇7與排氣裝置551流體連 通。
HVPE裝置5〇〇進—步包含加熱器53〇埋設於腔室如 的侧壁5〇8内。若有需要,埋設於側壁508的加熱器530 可於沉積製程期間提供額外的熱。熱電偶可被用來測量 處理腔室内部的溫度。由熱電偶測量的溫度可反饋到控 制器54卜其可根據熱電偶(未緣示)的讀值調節供應至加 熱器530 (例如’電阻加熱構件)的電力,以調節腔室 側壁的溫度。舉例而言,若腔室太冷,加熱器530將被 打開。方腔室太熱,加熱器53〇將被關閉。此外,加熱 器530所提供的總熱可受控制,以使加熱器53〇所提供 的總熱最小化。 來自氣體源510的處理氣體透過設置於氣體分佈喷頭 506的氣至(gas plenum)5 3 6輸送至處理容積5 07。於一具 體貫施例中,氣體源510可包括含氮化合物。於—具體 實施例中,氣體源510被配置來輸送包含氨或氮的氣 體。於一具體實施例中,惰性氣體,如氦氣,或雙原子 氮氣可透過氣體分佈噴頭506導入’也可透過設置於腔 室502的側壁508上之管路524導入。能量源512可設 置於氣體源5 1 〇以及喷頭506之間。於一具體實施例中, 能量源5 12可包含加熱器或遠端rf電漿源。能量源5 i 2 23 201039381 可提供能量給自氣體源510傳輸之氣體,以形成自由基 或離子’致使含氮氣體中的氮更具活性。 源模組532包含連接至源舟534之井534A的鹵素氣體 源5 18以及惰性氣體源5 19。源材料523,如鋁、鎵或銦 設置於井534A中。加熱源520圍繞源舟534。入口管521 經由管路522、524將井534A連接至處理容積5〇7。 於一具體實施例中,函素氣體(如,Cl2、Br2或l2)於處 〇 理期間自函素氣體源518被傳輸至源舟534之井 534A,以創造金屬_化物前驅物(如,GaC卜GaCl3、 A1C13)。鹵素氣體以及固態或液態源材料523的交互作用 容許金屬鹵化物前驅物形成《加熱源520可加熱源舟 534,以加熱源材料523並容許金屬鹵化物前驅物形成。 金屬鹵化物刖驅物接著透過入口管521輸送至η VPE裝 置500的處理容積507。於一具體實施例中,自惰性氣 ❹體源5 1 9輸送的惰性氣體(如,Ar、Ν2)可用來承載或推 動形成於井53 4A中的金屬鹵化物前驅物透過入口管521 以及管路522、524至HVPE裝置500的處理容積507。 當金屬鹵化物前驅物提供至處理容積507時,含氮前驅 物氣體(如,氨(ΝΗ〇、NO可透過喷頭506導入處理容 積507,致使金屬氮化物層形成於設置在處理容積5〇7 中的基板515之表面。 第6圖係根據一具體實施例的處理系統600之平面 圖。處理系統600包含一個HVPE腔室602以及多個 MOCVD腔室6〇3a及603b,以根據本文所述之具體實施 24 201039381 例製造氮化物半導體元件。於一具體實施例中,處理系 統600中的環境被維持於真空或低於大氣壓力的狀態。 於某些具體實施例中,理想狀況是以惰性氣體,如氮氣 回填處理系統600。雖然第6圖繪示了一個fjVPE腔室 602以及兩個MOCVD腔室603a及603b,但需了解的是, 任何一或多個MOCVD腔室與一或多個HVPE腔室的組 合都可能連接至移送室606。舉例而言,於一具體實施 Ο 例中,處理系統600可包含三個MOCVD腔室。於另一 具體實施例中’本文所述之製程可於單一 M〇cvD腔室 中進行。還需了解的是,雖然第6圖繪示了群集設備, 但本文所述的具體實施例也可於線性軌道系統(linear track system)中進行。 於一具體實施例中’附加腔室(additional chambe〇 604 連接至移送室606。於一具體實施例中,附加腔室6〇4 ^ 包含附加處理腔室’如MOCVD腔室或HVPE腔室。於 另一具體實施例中,附加腔室004可包含測量腔室 (metrology chamber)。於再一具體實施例中,附加腔室 604可含有前處理(pre-processing)腔室或後處理 (post-processing)腔室,如適用於除氣、定位、冷卻、前 處理/刖清潔、後退火及類似任務的公設腔室(service chamber)。於一具體實施例中,移送室的外觀為具有六 個側邊的六面體,其具有六個供處理腔室固定的位置。 於另一具體實施例中,移送室6〇6可能具有其它外觀, 並具有五個、七個、八個或多個側邊,並具有對應數目 25 201039381 的處理腔室固定位置。 HVPE腔室602適用於執行HVPE製程,其中氣相金 屬鹵化物可用來於加熱的基板上遙晶成長氮化物半導體 材料薄層。HVPE腔室602包含腔室主體614,基板可設 置於其中以進行處理;化學輸送模組618,氣體前驅物 可自此輸送至腔室主體614;以及電子模組622,其包含 處理系統600的HVPE腔室所用之電子系統。 各個MOCVD腔室603a、603b包含腔室主體612a、612b 形成處理區域,基板可設置於其中以進行處理;化學輸 送模組616a、616b,氣體,如前驅物、淨化氣體及清潔 氣體,可自此輸送至腔室主體612a、612b;以及供各個 MOCVD腔室603a、603b所用之電子模組620a、620b, 其包含處理系統600的各MOCVD腔室所用之電子系 統。各個MOCVD腔室603a、603b適用於進行CVD製 程,有機金屬元素與金屬氫化物於其中反應,以形成氮 化物半導體材料薄層。 處理系統600包含容納機器人組裝 607之移送室 (transfer chamber) 606、連接移送室 606 的 HVPE 腔室 602、第一 MOCVD腔室603a以及第二MOCVD腔室 603b、連接移送室606的加載鎖定室(loadlock chamber) 608、用以儲存基板且連接移送室606之批次加載鎖定室 (batch loadlock chamber) 609,以及用以裝載基板且連接 加載鎖定室608之裝載站(load station) 610。移送室606 包含機器人組裝620,其可操作性拾取基板並於加載鎖 26 201039381 定室608、批次加載鎖定室609、HVPE腔室602、第一 MOCVD腔室603a以及第二MOCVD腔室603b之間移送 基板。 於處理進行期間,移送室606可維持於真空及/或低於 大氣壓力的狀態。移送室606的真空程度可被調節,以 符合對應處理腔室的真空程度。舉例而言,當自移送室 606移送基板至HVPE腔室602時(反之亦然),移送室 606及HVPE腔室602可維持於相同真空程度。接著, 當自移送室606移送基板至加載鎖定室608或批次加載 鎖定室609時(反之亦然),移送室的真空程度可符合加 載鎖定室608或批次加載鎖定室609的真空程度,即使 加載鎖定室608或批次加載鎖定室609及HVPE腔室602 的真空程度可能不同。所以,移送室的真空程度可被調 節。於某些具體實施例中,理想的狀況是以惰性氣體, 如氮氣,回填移送室606。於一具體實施例中,基板在 含有超過90%的N2之環境中進行移送。於某些具體實施 例中,基板於高純度的NH3環境中進行移送。於一具體 實施例中,基板在含有超過90%的NH3之環境中進行移 送。於某些具體實施例中,基板於高純度的H2環境中進 行移送。於一具體實施例中,基板在含有超過90%的H2 之環境中進行移送。 於處理系統600中,機器人組裝於真空下將裝載有基 板的載盤(carrier plate) 611移送入HVPE腔室602,以進 行第一沉積製程。載盤611尺寸可介於200mm至75 0mm 27 201039381 之間。載盤611可由多種材料製成,包含SiC或以SiC 塗佈之石墨。機器人組裝於真空下將載盤611移送入第 一 MOCVD腔室603a,以進行第二沉積製程。機器人組 裝於真空下將載盤611移送入第二MOCVD腔室603b, 以進行第三沉積製程。在所有或部份沉積步驟完成之 後,載盤611自HVPE腔室602或MOCVD腔室603a、 603b中之一移回加載鎖定室608。於一具體實施例中, 0 載盤611接著朝向裝載站610被釋放。於另一具體實施 例中,於下次HVPE腔室602或MOCVD腔室603a、603b 處理之前,載盤611可存放於加載鎖定室608或批次加 載鎖定室609。於2008年1月31日所提申之美國專利 申請序號第12/023,572號(現以美國專利公開號 2009-0194026 公開),名稱為「PROCESSING SYSTEM FOR FABRICATING COMPOUND NITRIDE SEMICONDUCTOR DEVICES」描述了一個示範性系統, 〇 其以全文引用方式納入本文中。 系統控制器660控制處理系統600的活動以及操作參 數。系統控制器660包含電腦處理器以及連接該處理器 的電腦可讀取記憶體。處理器執行系統控制軟體,如儲 存於記憶體中之電腦軟體。於2006年4月14日所提申 之美國專利申請序號第1 1/404,516號(現以美國專利公 開號 2007-0240631 公開),名稱為「EPITAXIAL GROWTH OF COMPOUND NITRIDE STRUCTURES」描述了 處理系 統及其使用方法,其以全文引用方式納入本文中。 28 201039381 於一具體實施例中,處理系統600包含一個HVPE腔 室602以及兩個MOCVD腔室603a及603b。這樣的處理 系統可根據本文所述之方法製造元件。載盤6 11上的圖 樣化藍寶石基板可透過加載鎖定室608或批次加載鎖定 室609提供至處理系統,並藉由機器人組裝607放置於 HVPE腔室602中。根據任何上述本發明之方法,多重 步驟HVPE製程可於HVPE腔室中進行,以沉積緩衝層 (如A1N層)及後續的一或多個GaN層,其可為經摻雜或 未摻雜的。基板可接著移動至MOCVD腔室之一,以形 成活性MQW層,接著回到HVPE腔室,以形成第二GaN 層或複數個經摻雜及未摻雜的GaN層。基板可接著被放 置於加載鎖定室608或批次加載鎖定室609中,以離開 處理系統600。 於可選擇之一具體實施例中,處理系統600的附加腔 室604可為第二HVPE腔室,其可用以於活性MQW層 形成後,形成第二GaN層或複數個層,或者第二HVPE 層可用以於含矽基板上的A1N緩衝層形成後,形成第一 GaN層或複數個經摻雜及未摻雜的GaN層,也可用以於 MQW活性層形成後,形成第二GaN層或複數個經摻雜 及未掺雜的GaN層。 雖然本發明之具體實施例已揭露如上,然在不脫離本 發明之基本範圍下,當可衍生其他和進一步之具體實施 例0 29 201039381 【圖式簡單說明】
例。 第1圖係示範用的LED元件之示意圖。 Ο 第2圖係總結根據一具體實施例的方法之流程圖。 第3圖係總結根據另一具體實施例的方法之流程圖。 第4圖係根據另一具體實施例的裝置之剖面視圖。 第5圖係根據另一具體實施例的裝置之剖面視圖。 第ό圖係根據另一具體實施例的裝置之平面圖。 為助於理解,各圖中相同的元件符號代表相似的元 件。應理解某一具體實施例的元件當可併入其他具體實 施例,在此不另外詳述。 【主要元件符號說明】 100 : LED 結構 1〇9 :緩衝層 116:多重量子井廣 124 : P·型 GaN 層 104 :基板 112 : η-型 GaN 層 120 : p-型 AlGaN 層 200、300 :方法 210〜240、3 10〜350 :步驟 30 201039381 400 : HVPE 裝置 403 :壁面 405 :旋轉馬達 408 :處理容積 416 :凹陷 423 :安瓿組裝 425 :安瓿 429 :加熱源 480 :源舟 402 :腔室主體 404 :喷頭組裝 406 :前驅物氣體 414 :基板載台 420 :井 425A :井 427 :氣體源 430a、430b :燈 502a :腔室底部 506 :喷頭 508 :側壁 512 :能量源 515 :基板 5 1 8 :鹵素氣體源 520 :加熱源 522 ' 524 :管路 528 :加熱組裝 5 3 2 :前驅物源模組 534A :井 541 :控制器 5 8 0 :馬達 500 : HVPE 裝置 502 :腔室 504 :蓋體 507 :處理容積 5 1 0 :氣體源 ❹ 514 :基座 516 :基板載台 519 :惰性氣體源 521 :入口管 523 :源材料 530 :加熱器 534 :源舟 536 :氣室 551 :排氣裝置 31 201039381 600 :處理系統 603a : MOCVD 腔室 604 :附加腔室 607 :機器人組裝 609 :批次加載鎖定室 611 :載盤 614 :腔室主體 6 1 8 :化學輸送模組 620a、620b :電子模組 660 :系統控制器 602 : HVPE 腔室 603b : MOCVD 腔室 606 :移送室 608 :加載鎖定室 610 :裝載站 612a、612b :腔室主體 616a、616b :化學輸送模組 607 :機器人組裝 622 :電子模組
32

Claims (1)

  1. 201039381 七、申請專利範圍: 1· 一種於一基板上沉積一薄膜的方法,包含下列步驟. 將一第一 III族金屬暴露至一第一無氳含鹵素氣體,以 形成一第一前驅物; 藉由使該第一前驅物與一第一氮氣源反應,以於該基 板上形成一第一薄膜; 將一第二III族金屬暴露至一第二無氫含鹵素氣體,以 〇 形成一第二前驅物;以及 藉由使該第二前驅物與一第二氮氣源反應,以於該基 板上形成一第二薄膜。 2.如申請專利範圍第丨項所述之方法,其中該如申請專 利範圍第1項所述之方法,其中該第一及第二ΙΠ族金屬 分別選自由鋁、鎵、銦、其組合物、其混合物及其合金 所組成之群組。 Ο 3.如申請專利範圍第丨項所述之方法,其中該第一及第 二無氫含鹵素氣體分別選自由f2、Cl2、Br2、ι2、其組合 物及其混合物所組成之群組。 4. 如申清專利範圍第1項所述之方法,其中該第—及第 二無氫含自素氣體分別為Cl2。 5. 如申請專利範圍第1項所述之方法,其中該第—及第 二氣氣源分別為氨或由一遠端電漿形成之一活性氮氣 源。 33 201039381 6、如中請專利範圍第!項所述之方法,其中該第—及第 一薄膜形成於一個處理腔室中。 驟: 種形成電子S件之-支樓表面的方法包含下列步 藉由將一結構基板暴露至一含氮齑 3礼乳體,以形成一基礎 表面,其包含位於該結構基板上之—含氮薄膜; 使-第-無氫含齒素氣體接觸一第—金屬,以形成一 Q 第一前驅物; 藉由使該第一前驅物盘一繁一-tJ? *.(,-.. ^ 弟 ^劑反應,以沉積鄰近 該基礎表面之一緩衝層; 使一第二無氫含函素氣體接觸—第二金屬,以形成一 第二前驅物;以及 藉由使該第二前驅物座一筮-叫為rr= 士 扨興弟一 5式劑反應,以沉積鄰近 該緩衝層之一層,形成該支撐表面。 〇 8· ”請專利範圍第7項所述之方法,其中形成該第一 及第二層之步驟係於不同處理腔室中進行,且該第一層 包含氮化鋁,其設置並覆蓋於該結構基板之一表面上, 且該結構基板包含發。 9.”請專利範圍第7項所述之方法,其中該第一及第 二無氫含函素氣體分別為氯氣。 如申請專利範圍第7項所述之方法,其中該第一金屬 係固態鋁,且該第二金屬係液態鎵。 34 201039381 ιι·如申請專利範圍第7項所述之方法,其中該第一及第 二試劑分別為氨。 12·如申請專利範圍第7項所述之方法,進一步包含下列 步驟:當將該結構基板暴露至該含氮氣體時,將該結耩 基板之一溫度斜線上升至一第一目標溫度。 13_如申請專利範圍第12項所述之方法,其中該含氮氣 體係氨。 14. 如申請專利範圍第7項所述之方法,其中該結構基板 包含一材料,其選自由 Si、SiC、LiA102、LiGa02、ΖηΟ、 Al2〇3、藍寶石、其混合物、其組合物及其合金所組成之 群組。 15. —種處理一基板之方法’包含下列步驟: 將一第一金屬暴露至一第一無氫含齒素氣體,以形成 一第一含自素前驅物; 將一第二金屬暴露至一第二無氫含鹵素氣體,以形成 一第二含齒素前驅物;以及 使該第一及第二含函素前驅物與一氮氣源以選用之一 體積比率進行反應’以於該基板上沉積一第一層,該第 * 一層包含期望量之該第一及第二金屬。 16. 如申請專利範圍第8或15項所述之方法,其中該第 一及第一無氛含鹵素氣體为別為氟氣、氣氣、淳氣、碑 氣、其組合物或其混合物。 35 201039381 17.如申請專利範圍第15項所述之方法,其中該基板包 含一材料,其選自由 Al2〇3、Si、SiC ' UA1〇2、LiGa〇2、 Zn〇、藍寶石、其混合物、其組合物及其合金所組成之 群組。 18.如申請專利範圍第15項所述之方法,進一步包含下 列步驟··改變該體積比率,以於該第—層中形成該第一 及第二金屬之一濃度梯度。
    19. 如申請專利範圍第18項所述之方法進一步包含下 列步驟:停止該第-含南素前驅物之流自,並於該基板 上沉積一第二層,該第二層包含該第二金屬。 20. 一種於—基板上沉積一薄膜之方法,包含下列步驟: 使-第一無氫含函素氣體流過—第—金屬,以形成— 第一金屬齒化物前驅物; 第二金屬,以形成一 第—氮氣源反應,以 點’各該成核點包含 使一第二無氫含鹵素氣體流過— 第二金屬i化物前驅物; 使該第一金屬_化物前驅物與一 於該基板上沉積複數個分散的成核 該第一金屬;以及 使該第二金屬齒化物前驅物與— 弟—氮氣源反應,以 於該基板上沉積一薄膜,該薄膜包含該第二金屬。 21.如申請專利範圍第2〇項 第二無氫含齒素氣體分別選 所述之方法,其中該第—及 自由氟氣、氣氣、溴氣、蛾 36 201039381 氣、其混合物及其組合物所組成之群組。 22. 如申請專利範圍第21項所述之方法,其中該第一及 第一金屬分別為選自由銘、鎵、銦、其混合物、其組合 物以及其合金所組成之群組。 23. 如申請專利範圍第22項所述之方法,其中該基板包 3材料,其選自由藍寶石、Al2〇3、Si、Sic、UAl〇2、 UGaC^、Zn〇、其混合物其組合物及其合金所組成之 群組。 25.如申請專利範圍第24項所述之方法,其中該第一及 产氮氣源分別選自由氨、一遠端電漿形成之一活性氮 氣物質、其組合物及其混合物所組成之群組。 37
TW099113741A 2009-04-29 2010-04-29 Method of forming in-situ pre-GaN deposition layer in HVPE TW201039381A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US17390609P 2009-04-29 2009-04-29

Publications (1)

Publication Number Publication Date
TW201039381A true TW201039381A (en) 2010-11-01

Family

ID=43030564

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099113741A TW201039381A (en) 2009-04-29 2010-04-29 Method of forming in-situ pre-GaN deposition layer in HVPE

Country Status (6)

Country Link
US (1) US20100279020A1 (zh)
JP (1) JP2012525718A (zh)
KR (1) KR20120023040A (zh)
CN (1) CN102414797A (zh)
TW (1) TW201039381A (zh)
WO (1) WO2010127156A2 (zh)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8129208B2 (en) * 2007-02-07 2012-03-06 Tokuyama Corporation n-Type conductive aluminum nitride semiconductor crystal and manufacturing method thereof
JP5378829B2 (ja) * 2009-02-19 2013-12-25 住友電気工業株式会社 エピタキシャルウエハを形成する方法、及び半導体素子を作製する方法
US20110263098A1 (en) * 2010-04-23 2011-10-27 Applied Materials, Inc. Hybrid deposition chamber for in-situ formation of group iv semiconductors & compounds with group iii-nitrides
US9076827B2 (en) 2010-09-14 2015-07-07 Applied Materials, Inc. Transfer chamber metrology for improved device yield
US8143147B1 (en) * 2011-02-10 2012-03-27 Intermolecular, Inc. Methods and systems for forming thin films
JP5736820B2 (ja) * 2011-02-15 2015-06-17 富士通株式会社 半導体製造装置の洗浄装置及びそれを用いた半導体装置の製造方法
US20120258580A1 (en) * 2011-03-09 2012-10-11 Applied Materials, Inc. Plasma-assisted mocvd fabrication of p-type group iii-nitride materials
US20120258581A1 (en) * 2011-03-09 2012-10-11 Applied Materials, Inc. Mocvd fabrication of group iii-nitride materials using in-situ generated hydrazine or fragments there from
SG10201601916TA (en) * 2011-03-28 2016-04-28 Applied Materials Inc Method and apparatus for the selective deposition of epitaxial germanium stressor alloys
US8778783B2 (en) 2011-05-20 2014-07-15 Applied Materials, Inc. Methods for improved growth of group III nitride buffer layers
US8853086B2 (en) 2011-05-20 2014-10-07 Applied Materials, Inc. Methods for pretreatment of group III-nitride depositions
US8980002B2 (en) * 2011-05-20 2015-03-17 Applied Materials, Inc. Methods for improved growth of group III nitride semiconductor compounds
JP6185398B2 (ja) * 2014-01-31 2017-08-23 東京エレクトロン株式会社 窒化ガリウム系結晶の成長方法及び熱処理装置
JP2015156418A (ja) * 2014-02-20 2015-08-27 株式会社ニューフレアテクノロジー 気相成長方法
DE102014108352A1 (de) * 2014-06-13 2015-12-17 Forschungszentrum Jülich GmbH Verfahren zum Abscheiden einer Kristallschicht bei niedrigen Temperaturen, insbesondere einer photolumineszierenden IV-IV-Schicht auf einem IV-Substrat, sowie ein eine derartige Schicht aufweisendes optoelektronisches Bauelement
CN111527587B (zh) * 2017-12-19 2023-11-21 胜高股份有限公司 第iii族氮化物半导体基板的制备方法
WO2019140445A2 (en) 2018-01-15 2019-07-18 Alliance For Sustainable Energy, Llc Hydride enhanced growth rates in hydride vapor phase epitaxy
US11434583B1 (en) * 2018-06-06 2022-09-06 United States Of America As Represented By The Secretary Of The Air Force Optimized Heteropitaxial growth of semiconductors
US10573532B2 (en) * 2018-06-15 2020-02-25 Mattson Technology, Inc. Method for processing a workpiece using a multi-cycle thermal treatment process
US11056338B2 (en) 2018-10-10 2021-07-06 The Johns Hopkins University Method for printing wide bandgap semiconductor materials
US11823900B2 (en) 2018-10-10 2023-11-21 The Johns Hopkins University Method for printing wide bandgap semiconductor materials
WO2020252415A1 (en) * 2019-06-13 2020-12-17 Alliance For Sustainable Energy, Llc Nitrogen-enabled high growth rates in hydride vapor phase epitaxy
CN111549375A (zh) * 2020-05-14 2020-08-18 华厦半导体(深圳)有限公司 一种可量产氮化镓的全立式hpve设备
WO2022159457A1 (en) * 2021-01-19 2022-07-28 Alliance For Sustainable Energy, Llc Dynamic hvpe of compositionally graded buffer layers

Family Cites Families (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8332394D0 (en) * 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4851295A (en) * 1984-03-16 1989-07-25 Genus, Inc. Low resistivity tungsten silicon composite film
US4740606A (en) * 1986-07-01 1988-04-26 Morton Thiokol, Inc. Gallium hydride/trialkylamine adducts, and their use in deposition of III-V compound films
US4763602A (en) * 1987-02-25 1988-08-16 Glasstech Solar, Inc. Thin film deposition apparatus including a vacuum transport mechanism
US5348911A (en) * 1987-06-30 1994-09-20 Aixtron Gmbh Material-saving process for fabricating mixed crystals
US4792467A (en) * 1987-08-17 1988-12-20 Morton Thiokol, Inc. Method for vapor phase deposition of gallium nitride film
USD329839S (en) * 1990-01-31 1992-09-29 Hohner Automation Societe Anonyme Incremental coder
DE69229265T2 (de) * 1991-03-18 1999-09-23 Univ Boston Verfahren zur herstellung und dotierung hochisolierender dünner schichten aus monokristallinem galliumnitrid
WO1992022084A1 (en) * 1991-05-21 1992-12-10 Advantage Production Technology, Inc. Organic preclean for improving vapor phase wafer etch uniformity
US5376580A (en) * 1993-03-19 1994-12-27 Hewlett-Packard Company Wafer bonding of light emitting diode layers
US5647911A (en) * 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5679152A (en) * 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
WO1995027570A1 (en) * 1994-04-08 1995-10-19 Ray Mark A Selective plasma deposition
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5715361A (en) * 1995-04-13 1998-02-03 Cvc Products, Inc. Rapid thermal processing high-performance multizone illuminator for wafer backside heating
JPH0945670A (ja) * 1995-07-29 1997-02-14 Hewlett Packard Co <Hp> Iii族−n系結晶の気相エッチング方法および再成長方法
US5667592A (en) * 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6270569B1 (en) * 1997-06-11 2001-08-07 Hitachi Cable Ltd. Method of fabricating nitride crystal, mixture, liquid phase growth method, nitride crystal, nitride crystal powders, and vapor phase growth method
AUPP014297A0 (en) * 1997-11-03 1997-11-27 Ark Engineering Pty Ltd Submersible lamp
US6464843B1 (en) * 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6086673A (en) * 1998-04-02 2000-07-11 Massachusetts Institute Of Technology Process for producing high-quality III-V nitride substrates
US6218280B1 (en) * 1998-06-18 2001-04-17 University Of Florida Method and apparatus for producing group-III nitrides
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6373114B1 (en) * 1998-10-23 2002-04-16 Micron Technology, Inc. Barrier in gate stack for improved gate dielectric integrity
US6413839B1 (en) * 1998-10-23 2002-07-02 Emcore Corporation Semiconductor device separation using a patterned laser projection
KR100304664B1 (ko) * 1999-02-05 2001-09-26 윤종용 GaN막 제조 방법
US6309465B1 (en) * 1999-02-18 2001-10-30 Aixtron Ag. CVD reactor
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) * 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6713789B1 (en) * 1999-03-31 2004-03-30 Toyoda Gosei Co., Ltd. Group III nitride compound semiconductor device and method of producing the same
TW477009B (en) * 1999-05-26 2002-02-21 Tadahiro Ohmi Plasma process device
KR100381742B1 (ko) * 1999-06-30 2003-04-26 스미토모덴키고교가부시키가이샤 Ⅲ-ⅴ족 질화물반도체의 성장방법 및 기상성장장치
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6569765B1 (en) * 1999-08-26 2003-05-27 Cbl Technologies, Inc Hybrid deposition system and methods
US6489241B1 (en) * 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6897119B1 (en) * 1999-12-22 2005-05-24 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6551399B1 (en) * 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
KR100780143B1 (ko) * 2000-02-04 2007-11-27 아익스트론 아게 기재상에 하나 이상의 층을 증착하기 위한 장치와 방법
TW518767B (en) * 2000-03-31 2003-01-21 Toyoda Gosei Kk Production method of III nitride compound semiconductor and III nitride compound semiconductor element
JP4813737B2 (ja) * 2000-04-17 2011-11-09 マットソン テクノロジー インコーポレイテッド 窒化ケイ素フィルムを形成するための超薄オキシニトリドのuv前処理法
US6616870B1 (en) * 2000-08-07 2003-09-09 Shipley Company, L.L.C. Method of producing high aspect ratio domes by vapor deposition
JP4374156B2 (ja) * 2000-09-01 2009-12-02 日本碍子株式会社 Iii−v族窒化物膜の製造装置及び製造方法
DE10048759A1 (de) * 2000-09-29 2002-04-11 Aixtron Gmbh Verfahren und Vorrichtung zum Abscheiden insbesondere organischer Schichten im Wege der OVPD
DE10056029A1 (de) * 2000-11-11 2002-05-16 Aixtron Ag Verfahren und Vorrichtung zur Temperatursteuerung der Oberflächentemperaturen von Substraten in einem CVD-Reaktor
AU2002219966A1 (en) * 2000-11-30 2002-06-11 North Carolina State University Methods and apparatus for producing m'n based materials
US6905547B1 (en) * 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
WO2002080225A2 (en) * 2001-03-30 2002-10-10 Technologies And Devices International Inc. Method and apparatus for growing submicron group iii nitride structures utilizing hvpe techniques
DE10118130A1 (de) * 2001-04-11 2002-10-17 Aixtron Ag Vorrichtung oder Verfahren zum Abscheiden von insbesondere kristallinen Schichten auf insbesondere kristallinen Substraten aus der Gasphase
KR100387242B1 (ko) * 2001-05-26 2003-06-12 삼성전기주식회사 반도체 발광소자의 제조방법
US7501023B2 (en) * 2001-07-06 2009-03-10 Technologies And Devices, International, Inc. Method and apparatus for fabricating crack-free Group III nitride semiconductor materials
US6936357B2 (en) * 2001-07-06 2005-08-30 Technologies And Devices International, Inc. Bulk GaN and ALGaN single crystals
US7211833B2 (en) * 2001-07-23 2007-05-01 Cree, Inc. Light emitting diodes including barrier layers/sublayers
US7659209B2 (en) * 2001-11-14 2010-02-09 Canon Anelva Corporation Barrier metal film production method
DE10163394A1 (de) * 2001-12-21 2003-07-03 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden kristalliner Schichten und auf kristallinen Substraten
AU2002366856A1 (en) * 2001-12-21 2003-07-09 Aixtron Ag Method for depositing iii-v semiconductor layers on a non-iii-v substrate
JP3803788B2 (ja) * 2002-04-09 2006-08-02 農工大ティー・エル・オー株式会社 Al系III−V族化合物半導体の気相成長方法、Al系III−V族化合物半導体の製造方法ならびに製造装置
AUPS240402A0 (en) * 2002-05-17 2002-06-13 Macquarie Research Limited Gallium nitride
KR100568701B1 (ko) * 2002-06-19 2006-04-07 니폰덴신뎅와 가부시키가이샤 반도체 발광 소자
US6869876B2 (en) * 2002-11-05 2005-03-22 Air Products And Chemicals, Inc. Process for atomic layer deposition of metal films
US7115896B2 (en) * 2002-12-04 2006-10-03 Emcore Corporation Semiconductor structures for gallium nitride-based devices
US7018940B2 (en) * 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP4377600B2 (ja) * 2003-03-24 2009-12-02 株式会社東芝 3族窒化物半導体の積層構造、その製造方法、及び3族窒化物半導体装置
US7061065B2 (en) * 2003-03-31 2006-06-13 National Chung-Hsing University Light emitting diode and method for producing the same
JP4026529B2 (ja) * 2003-04-10 2007-12-26 東京エレクトロン株式会社 シャワーヘッド構造及び処理装置
US6921437B1 (en) * 2003-05-30 2005-07-26 Aviza Technology, Inc. Gas distribution system
US7777241B2 (en) * 2004-04-15 2010-08-17 The Trustees Of Boston University Optical devices featuring textured semiconductor layers
US7366368B2 (en) * 2004-06-15 2008-04-29 Intel Corporation Optical add/drop interconnect bus for multiprocessor architecture
EP2573206B1 (en) * 2004-09-27 2014-06-11 Gallium Enterprises Pty Ltd Method for growing a group (iii) metal nitride film
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
DE102004058521A1 (de) * 2004-12-04 2006-06-14 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von dicken Gallium-Nitrit-Schichten auf einem Saphirsubstrat und zugehörigen Substrathalter
JP5706601B2 (ja) * 2005-03-10 2015-04-22 ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニア 平坦な半極性窒化ガリウムの成長技術
US7195934B2 (en) * 2005-07-11 2007-03-27 Applied Materials, Inc. Method and system for deposition tuning in an epitaxial film growth apparatus
JP2009519202A (ja) * 2005-12-12 2009-05-14 キーマ テクノロジーズ, インク. Iii族窒化物製品及び同製品の作製方法
TWI490918B (zh) * 2006-01-20 2015-07-01 Univ California 半極性氮化(鋁,銦,鎵,硼)之改良成長方法
US7364991B2 (en) * 2006-04-27 2008-04-29 Applied Materials, Inc. Buffer-layer treatment of MOCVD-grown nitride structures
US7585769B2 (en) * 2006-05-05 2009-09-08 Applied Materials, Inc. Parasitic particle suppression in growth of III-V nitride films using MOCVD and HVPE
US20080050889A1 (en) * 2006-08-24 2008-02-28 Applied Materials, Inc. Hotwall reactor and method for reducing particle formation in GaN MOCVD
EP2017884A3 (en) * 2007-07-20 2011-03-23 Gallium Enterprises Pty Ltd Buried contact devices for nitride-based films and manufacture thereof
WO2009035648A1 (en) * 2007-09-14 2009-03-19 Kyma Technologies, Inc. Non-polar and semi-polar gan substrates, devices, and methods for making them
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
KR100888440B1 (ko) * 2007-11-23 2009-03-11 삼성전기주식회사 수직구조 발광다이오드 소자의 제조방법
US20090194026A1 (en) * 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
CA2653581A1 (en) * 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition

Also Published As

Publication number Publication date
KR20120023040A (ko) 2012-03-12
WO2010127156A2 (en) 2010-11-04
JP2012525718A (ja) 2012-10-22
WO2010127156A3 (en) 2011-02-24
CN102414797A (zh) 2012-04-11
US20100279020A1 (en) 2010-11-04

Similar Documents

Publication Publication Date Title
TW201039381A (en) Method of forming in-situ pre-GaN deposition layer in HVPE
US8183132B2 (en) Methods for fabricating group III nitride structures with a cluster tool
US8110889B2 (en) MOCVD single chamber split process for LED manufacturing
CN103548116B (zh) 用于预处理iii族氮化物沉积的方法
US8138069B2 (en) Substrate pretreatment for subsequent high temperature group III depositions
TW201201401A (en) Forming a compound-nitride structure that includes a nucleation layer
US20110081771A1 (en) Multichamber split processes for led manufacturing
US8980002B2 (en) Methods for improved growth of group III nitride semiconductor compounds
TW200423397A (en) Growth of planar, non-polar A-plane gallium nitride by hydride vapor phase epitaxy
TW200917340A (en) Parasitic particle suppression in the growth of III-V nitride films using MOCVD and HVPE
TW200926265A (en) Method for depositing group III/V compounds
TW201222669A (en) Methods for depositing germanium-containing layers
TW201243980A (en) Substrate carrier with multiple emissivity coefficients for thin film processing
WO2010129289A2 (en) Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US7943492B2 (en) Method of forming nitride film and nitride structure