KR20120023040A - HVPE에서 인-시튜 사전-GaN 증착 층을 형성하는 방법 - Google Patents

HVPE에서 인-시튜 사전-GaN 증착 층을 형성하는 방법

Info

Publication number
KR20120023040A
KR20120023040A KR1020117028591A KR20117028591A KR20120023040A KR 20120023040 A KR20120023040 A KR 20120023040A KR 1020117028591 A KR1020117028591 A KR 1020117028591A KR 20117028591 A KR20117028591 A KR 20117028591A KR 20120023040 A KR20120023040 A KR 20120023040A
Authority
KR
South Korea
Prior art keywords
metal
substrate
precursor
layer
gas
Prior art date
Application number
KR1020117028591A
Other languages
English (en)
Inventor
유리이 멜니크
히데히로 고지리
올가 크리리오우크
테츠야 이시가와
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20120023040A publication Critical patent/KR20120023040A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4488Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C30B29/403AIII-nitrides

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electrochemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

Ⅲ/Ⅴ 화합물 반도체들을 통합하는 전자 디바이스들을 형성하기 위한 기판을 준비하기 위한 방법 및 장치가 제공된다. 기판 상에 질화물 버퍼 층을 증착하기 위해 질화물 소스들과 반응되는 프리커서들을 형성하기 위해, 원소 할로겐 가스들, 수소 할로겐화물 가스들, 또는 다른 할로겐 또는 할로겐화물 가스들이 액체 또는 고체 Ⅲ족 금속들과 접속된다. 천이 층일 수도 있는 버퍼 층은 하나보다 더 많은 Ⅲ족 금속을 통합할 수도 있고, 비정질 또는 결정질 모폴러지로 증착될 수도 있다. 비정질 층은 열 처리에 의해 부분적으로 또는 완전하게 재결정화될 수도 있다. 층 대신에, 복수의 이산 핵형성 부위들이 형성될 수도 있고, 그 사이즈, 밀도, 및 분포가 제어될 수도 있다. 질소 소스는 반응성 질소 화합물들 뿐만 아니라 원격 플라즈마 소스로부터의 활성 질소를 포함할 수도 있다. 또한, 버퍼 또는 천이 층의 조성은 원하는 프로파일에 따른 깊이에 따라 변화할 수도 있다.

Description

HVPE에서 인-시튜 사전-GaN 증착 층을 형성하는 방법{METHOD OF FORMING IN-SITU PRE-GAN DEPOSITION LAYER IN HVPE}
본 발명의 실시예들은 발광 다이오드들(LED들) 또는 레이저 다이오드들(LD들)과 같은 디바이스들의 제조에 관한 것으로, 특히, 그러한 디바이스들을 위한 기판들을 형성하는 방법들에 관한 것이다.
갈륨 질화물(GaN)과 같은 Ⅲ족 질화물 반도체들은, 단파장 발광 다이오드들(LED들), 레이저 다이오드들(LD들), 및 고전력, 고주파수, 고온 트랜지스터들 및 집적 회로들을 포함하는 전자 디바이스들과 같은, 다양한 반도체 디바이스들의 개발 및 제조에서, 더 큰 중요성을 찾고 있다. Ⅲ족 질화물들을 증착하기 위해 사용되어 온 하나의 방법은 HVPE(hydride vapor phase epitaxial) 증착이다. HVPE에서, 할로겐화물이 Ⅲ족 금속과 반응하여 금속 함유 프리커서(precursor)(예컨대, 금속 염화물)를 형성한다. 그 후, 금속 함유 프리커서가 질소 함유 가스와 반응하여 Ⅲ족 금속 질화물을 형성한다.
LED들, LD들, 트랜지스터들, 및 집적 회로들에 대한 수요가 증가함에 따라, Ⅲ족 금속 질화물을 증착하는 효율이 중요해 지고 있다. 큰 기판 또는 다수의 기판들에 걸쳐 막들을 균일하게 증착할 수 있는, 고 증착 레이트를 갖는 증착 장치 및 프로세스에 대한 일반적인 필요성이 존재한다. 부가적으로, 기판에 걸친 일관된 막 품질을 위해, 균일한 프리커서 혼합이 바람직하다. 따라서, 개선된 HVPE 증착 방법 및 HVPE 장치에 대한 필요성이 당업계에 존재한다.
본 발명의 실시예들은 기판 상에 막을 증착하는 방법을 제공하며, 상기 방법은 제 1 프리커서를 형성하기 위해 제 1 수소-프리(hydrogen-free) 할로겐 함유 가스에 제 1 Ⅲ족 금속을 노출시키는 단계, 제 2 프리커서를 형성하기 위해 제 2 수소-프리 할로겐 함유 가스에 제 2 Ⅲ족 금속을 노출시키는 단계, 프로세스 챔버에서 제 1 프리커서를 제 1 질소 소스와 반응시킴으로써, 기판 상에 제 1 막을 형성하는 단계, 및 프로세스 챔버에서 제 2 프리커서를 제 2 질소 소스와 반응시킴으로써, 기판 상에 제 2 막을 형성하는 단계를 포함한다.
다른 실시예들은 전자 디바이스들에 대한 지지 표면을 형성하는 방법을 제공하며, 상기 방법은 질소 함유 가스에 구조 기판을 노출시킴으로써, 질소 함유 막을 포함하는 기초 표면(foundation surface)을 구조 기판 상에 형성하는 단계, 제 1 프리커서를 형성하기 위해 제 1 수소-프리 할로겐 함유 가스를 제 1 금속과 접촉시키는 단계, 제 1 프리커서를 제 1 시약(reagent)과 반응시킴으로써, 기초 표면에 인접하게 버퍼 층을 증착하는 단계, 제 2 프리커서를 형성하기 위해 제 2 수소-프리 할로겐 함유 가스를 제 2 금속과 접촉시키는 단계, 및 지지 표면을 형성하기 위해 제 2 프리커서를 제 2 시약과 반응시킴으로써, 버퍼 층에 인접하게 층을 증착하는 단계를 포함한다.
다른 실시예들은 기판을 처리하는 방법을 제공하며, 상기 방법은 제 1 할로겐화물 프리커서를 형성하기 위해 제 1 수소-프리 할로겐 함유 가스에 제 1 금속을 노출시키는 단계, 제 2 할로겐화물 프리커서를 형성하기 위해 제 2 수소-프리 할로겐 함유 가스에 제 2 금속을 노출시키는 단계, 및 제 1 및 제 2 금속들의 원하는 양들을 포함하는 제 1 층을 기판 상에 증착하도록 선택된 용적비(volumetric ratio)로, 제 1 및 제 2 할로겐화물 프리커서들을 질소 소스와 반응시키는 단계를 포함한다.
다른 실시예들은 기판 상에 막을 증착하는 방법을 제공하며, 상기 방법은 제 1 금속 할로겐화물 프리커서를 형성하기 위해 제 1 금속을 지나게(across) 제 1 수소-프리 할로겐 함유 가스를 흘려 보내는 단계, 제 2 금속 할로겐화물 프리커서를 형성하기 위해 제 2 금속을 지나게 제 2 수소-프리 할로겐 함유 가스를 흘려 보내는 단계, 기판 상에 복수의 이산 핵형성 부위들을 증착하기 위해 제 1 금속 할로겐화물 프리커서를 제 1 질소 소스와 반응시키는 단계 ? 각각의 핵형성 부위는 제 1 금속을 포함함 ?, 및 기판 상에 제 2 금속을 포함하는 막을 증착하기 위해 제 2 금속 할로겐화물 프리커서를 제 2 질소 소스와 반응시키는 단계를 포함한다.
본 발명의 위에서 기재된 특징들이 상세히 이해될 수 있도록, 위에서 간략하게 요약된 본 발명의 더 특정한 설명이 실시예들을 참조하여 취해질 수도 있으며, 그 실시예들의 일부는 첨부된 도면들에서 예시된다. 그러나, 첨부된 도면들이 단지 본 발명의 전형적인 실시예들을 뿐이고, 따라서, 본 발명이 다른 동등하게 유효한 실시예들을 인정할 수도 있으므로, 본 발명의 범위를 한정하는 것으로 고려되지 않아야 한다는 것이 주의되어야 한다.
도 1은 예시적인 LED 디바이스의 개략도이다.
도 2는 일 실시예에 따른 방법을 요약하는 흐름도이다.
도 3은 다른 실시예에 따른 방법을 요약하는 흐름도이다.
도 4는 다른 실시예에 따른 장치의 개략적인 단면도이다.
도 5는 다른 실시예에 따른 장치의 개략적인 단면도이다.
도 6은 다른 실시예에 따른 장치의 평면도이다.
이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하도록, 가능한 경우에, 동일한 참조 번호들이 사용되었다. 일 실시예에서 개시된 엘리먼트들이 특정 기재 없이 다른 실시예들에 대해 유익하게 이용될 수도 있다는 것이 고려된다.
여기서 개시되는 실시예들은 일반적으로, "Ⅲ/Ⅴ" 종류(variety)의 화합물 반도체들을 포함하는 전자 디바이스들에 대한 지지 기판들을 형성하기 위한 방법들 및 장치를 제공한다. Ⅲ족 금속 질화물 재료들은 구조 기판 상에 Ⅲ/Ⅴ 디바이스들에 대한 지지 층을 제공할 수도 있다. 몇몇 실시예들은, LED들, 레이저 다이오드들, 및 갈륨 질화물, 인듐 질화물 및 알루미늄 질화물에 기초한 다른 디바이스들에 대한 지지 기판들을 제공하기 위해 사용될 수도 있다. 전형적인 디바이스에서, 전류를 주입하기 위한 전기 접촉으로서 또한 기능할 수도 있는 p-n 접합이 구조 기판 상에 형성된다. 접합 내로 주입된 전류는 전자들로 하여금 홀들과 결합하게 하여, 직접 밴드갭들을 갖는 재료들에 대해 광을 방출한다. 구조 기판은 단결정 기판과 같이 N-도핑된 Ⅲ족 질화물 에피택셜 막이 형성될 수도 있는 임의의 적합한 기판일 수도 있다. 본 발명의 실시예들에 대해 사용될 수도 있는 구조 기판들은, 실리콘(Si), 실리콘 탄화물(SiC), 사파이어 또는 다른 형태의 알루미늄 산화물(Al2O3), 리튬 알루미늄 산화물(LiAlO2), 리튬 갈륨 산화물(LiGaO2), 아연 산화물(ZnO), 갈륨 질화물(GaN), 알루미늄 질화물(AlN), 석영, 글라스, 갈륨 비화물(GaAs), 스피넬(MgAl2O4), 이들의 임의의 조합물, 이들의 임의의 혼합물, 또는 이들의 임의의 합금을 포함하나, 이로 제한되지는 않는다. 몇몇 실시예들에서, 평면 기판 상에 피쳐들을 형성하여 패터닝된 기판을 생성하기 위해, 마스킹 및 식각과 같은 임의의 공지된 방법이 이용될 수도 있다. 특정 실시예들에서, 패터닝된 기판은 (0001) 패터닝된 사파이어 기판(patterned sapphire substrate; PSS)이다. 패터닝된 사파이어 기판들이 광 추출 효율을 증가시키며, 이는 신세대 고체 상태 발광 디바이스들의 제조에서 매우 유용하기 때문에, 패터닝된 사파이어 기판들은 LED들의 제조에서의 사용에 대해 이상적일 수도 있다.
도 1은 예시적인 GaN-기반 LED 구조(100)의 측면도이다. 예시적인 GaN-기반 LED 구조(100)는 기판(104) 위에서 제조된다. 기판 사이즈는 50 ㎜ 내지 100 ㎜ 범위의 직경 또는 이보다 더 큰 직경을 가질 수도 있다. 기판 위에 형성된 GaN 또는 알루미늄 질화물(AlN) 버퍼 층(108) 위에, 도핑되지 않은 갈륨 질화물(u-GaN 층)에 이어 n-타입 GaN 층(112)이 증착된다. 도면에서 InGaN 층을 포함하는 것으로 도시된 다중-양자-우물(multi-quantum-well; MQW) 층(116)에서 디바이스의 활성 영역이 구현된다. 위에 놓인 p-타입 AlGaN 층(120)과 함께 p-n 접합이 형성되며, p-타입 GaN 층(124)은 접촉 층으로서 기능한다.
이 종류의 대부분의 디바이스들에서, 구조 기판 상에 Ⅲ족 질화물 막이 형성된다. 일반적으로, Ⅲ족 질화물 막은 그 막 위에 형성된 p-n 접합에 대한 지지 층으로서 기능한다. 그러한 막을 형성하는 것을 용이하게 하기 위해, 통상적으로, Ⅲ족 질화물 막 전에, 버퍼 또는 천이(transition) 층이 기판 상에 형성된다. 버퍼 또는 천이 층은 기판과 지지 층 사이에서의 결정학적 및 열적 특성들의 천이를 용이하게 하여, 박리(delaminate)되려는 층들의 경향을 감소시킨다. n-타입 또는 p-타입 도펀트를 이용하여 형성된 제 1 도핑된 Ⅲ족 질화물 층이 버퍼 또는 천이 층 위에 형성된다. 전기 에너지를 복사로 변환하는데 사용될 수 있는 p-n 접합을 형성하기 위해, 제 1 도핑된 Ⅲ족 질화물 층 위에 다중-양자-우물 층이 형성되며, 제 1 도핑된 Ⅲ족 질화물 층과 반대의 도펀트 타입을 갖는 제 2 도핑된 Ⅲ족 질화물 층이 활성 층 위에 형성된다.
도 2는 일 실시예에 따른 방법(200)을 요약하는 흐름도이다. 도 1의 방법은 기판 상에 도 1과 관련하여 상술된 바와 같은 화합물 반도체 디바이스를 위한 지지 표면을 형성하기 위해 사용될 수도 있다. 참조 번호(210)에서, 제 1 프리커서를 형성하기 위해, 제 1 할로겐 함유 가스가 제 1 Ⅲ족 금속과 접촉된다. 할로겐 함유 가스는 할로겐화물 가스일 수도 있고/있거나 수소-프리일 수도 있다. 몇몇 실시예들에서, 할로겐 함유 가스는 불소 가스(F2), 염소 가스(Cl2), 브롬 가스(Br2), 요오드 가스(I2), 수소 불화물 가스(HF), 수소 염화물 가스(HCl), 수소 브롬화물 가스(HBr), 수소 요오드화물 가스(HI), 또는 이들의 혼합물들 및 조합물들을 포함할 수도 있다. Ⅲ족 금속들은 갈륨, 알루미늄, 인듐, 또는 이들의 혼합물, 조합물 또는 합금일 수도 있다. 할로겐 함유 가스는 Ⅲ족 금속을 포함하는 저장소를 횡단하여 흐르며, Ⅲ족 금속은 액체 또는 고체일 수도 있다. 가스는 금속과 반응하여 금속 할로겐화물 가스를 형성하며, 그 금속 할로겐화물 가스는 막을 형성하는데 있어서 반응 프리커서로서 사용된다.
참조 번호(220)에서, 제 2 프리커서를 형성하기 위해, 제 2 할로겐 함유 가스가 제 2 Ⅲ족 금속과 접촉된다. 일 예시적인 실시예에서, 제 1 저장소는 고체 알루미늄을 포함하는 한편, 제 2 저장소는 액체 갈륨을 포함한다. 갈륨을 액체 상태로 유지하고 할로겐화 반응을 촉진하기 위해, 저장소들이 가열된다. 염소 가스가 금속들 양자 모두(both) 위로 동시에 또는 순차적으로 흐르며, 생성되는 할로겐화물들은 기판 상에 막을 증착하기 위해 사용된다.
방법(200)의 일 실시예에서, 단계(210, 220, 또는 230)에서의 프로세스를 수행하기 전에, 사파이어 기판이 프로세스 챔버에 배치되고, 약 1 ℃/sec 내지 약 5 ℃/sec의 온도 램프 레이트(temperature ramp rate)로, 약 500 ℃ 내지 약 1,100 ℃, 예컨대 약 850 ℃ 내지 약 1,100 ℃의 온도로 가열된다. 5 내지 20 분 동안, 약 100 sccm 내지 약 15,000 sccm의 레이트로, 암모니아 및 질소 가스를 흘려 보냄으로써, 기판이 열적으로 세정되고 질화된다. 기판을 질화시키는 것은 전자 디바이스들에 대한 지지 층을 증착하기 위한 얇은 기초 층을 제공한다. 일 실시예에서, 하나 이상의 기판들을 세정 온도로 가열하는 동안, 프로세싱 챔버 내에 세정 가스 혼합물을 흘려 보냄으로써, 열적 세정이 수행될 수도 있다. 일 실시예에서, 세정 가스 혼합물은 암모니아, 할로겐 함유 가스(예컨대, Cl2, F2, Br2, I2), 및 캐리어 가스를 포함한다. 일 실시예에서, 캐리어 가스는 질소 가스(N2)를 포함할 수도 있다.
참조 번호(230)에서, 기판 상에 제 1 층을 증착하기 위해, 프로세스 챔버에서 제 1 프리커서가 제 1 질소 소스와 반응된다. 제 1 층은 아래에서 설명되는 제 2 층과 기판 사이의 버퍼 층으로서 기능할 수도 있다. 일 실시예에서, 제 1 질소 소스는 암모니아이다. 다른 실시예들에서, 제 1 질소 소스는, 질소 가스(N2), 아산화 질소(N2O), 암모니아(NH3), 히드라진(N2H4), 디이미드(N2H2), 히드라조산(HN3) 등과 같은 질소 함유 재료의 원격 플라즈마로부터 도출된 하나 이상의 활성 질소 종들일 수도 있다. 다른 실시예들에서, 제 1 질소 소스는 또한, 하나 이상의 활성 질소 종들과 암모니아의 혼합물일 수도 있다. 또한, 적어도 부분적으로, 질소 가스와 같이 반응성일 수도 있거나 또는 헬륨 또는 아르곤 가스와 같이 비-반응성일 수도 있는 희석 또는 캐리어 가스를 이용하여 제 1 질소 소스가 전달될 수도 있다. 제 1 질소 소스가 제 1 금속 할로겐화물과 반응하여, 부산물들로서 수소 할로겐화물 및 잠재적으로는 수소 가스와 함께 금속 질화물을 포함하는 제 1 층을 생성한다. 제 1 층의 두께는 일반적으로 약 300 ㎚까지일 것이다.
참조 번호(240)에서, 기판 상에 제 2 층을 증착하기 위해, 동일한 프로세스 챔버에서, 제 1 질소 소스와 동일하거나 또는 상이할 수도 있는 제 2 질소 소스와 제 2 프리커서가 반응된다. 제 2 층은 금속 할로겐화물을 포함하고, 제 1 층과 실질적으로 동일한 조성일 수도 있거나 또는 상이한 조성일 수도 있다. 일 예시적인 실시예에서, 제 1 층은 알루미늄 질화물 층인 반면, 제 2 층은 갈륨 질화물 층이다. 제 1 층에 대한 목표 두께가 도달되는 경우에, 프로세스 챔버 내로의 제 1 프리커서의 흐름이 중지되고, 제 2 프리커서의 흐름이 시작된다. 제 1 프리커서의 흐름의 중지와 제 2 프리커서의 흐름의 시작 사이에서, 교대로, 질소, 헬륨, 또는 아르곤과 같은 정화 가스를 이용하여 프로세스 챔버가 정화될 수도 있다. 일 실시예에서, 실리콘 함유 재료(예컨대, Si, SiC)를 포함하는 기판의 표면 위에 제 2 금속 질화물 층을 형성하기 전에, 그 기판의 표면 위에 알루미늄 질화물 막을 실질적으로 증착하여, 갈륨 염화물(예컨대, GaCl, GaCl3) 함유 프리커서 가스에 의한 실리콘 함유 표면의 공격 또는 식각을 방지하는 것이 바람직하다.
알루미늄 염화물 프리커서를 형성하기 위해, 알루미늄은 약 450 ℃와 약 650 ℃ 사이의 온도로 유지하면서, 약 70 sccm 내지 약 140 sccm의 유량으로, 고체 알루미늄 위로 염소 가스를 흘려 보냄으로써, 기초 층에 인접하게 알루미늄 질화물 버퍼 층이 성장될 수도 있다. 반응기 사이즈에 따라, 약 1,000 sccm 내지 약 9,000 sccm의 레이트로, 질소 소스 가스가 지속적으로 흐르는 동안, 약 1 ℃/sec 내지 약 5 ℃/sec의 램프 레이트로, 약 500 ℃ 내지 약 950 ℃, 예컨대 약 550 ℃ 내지 약 700 ℃, 예컨대 640 ℃의 제 2 온도로, 기판의 온도가 램핑(ramp) 다운된다. 이 실시예 전반에 걸쳐 사용되는 온도 램프 레이트는, 재료들 간의 열 팽창 계수들에서의 차이들로 인해 열 응력들이 층들의 접착을 약화시키는 것을 방지하는데 유용하다. 기판 온도가 제 2 온도 목표에 도달하는 경우에, 프로세스 챔버 내로 알루미늄 염화물 프리커서 흐름이 시작된다. 이들 조건들은, 알루미늄 질화물 층이 목표 두께에 도달할 때까지 유지된다. 그 후, 고체 알루미늄 위로의 염소 가스 흐름이 중지된다.
그 후, 약 1 ℃/sec 내지 약 5 ℃/sec의 램프 레이트로, 약 550 ℃ 내지 약 1,100 ℃, 예컨대 약 900 ℃ 내지 약 1,100 ℃, 예컨대 약 1,050 ℃의 제 3 목표로 기판 온도를 램핑시키고, 약 700 ℃ 내지 약 1,000 ℃의 온도로 유지되는 액체 갈륨의 저장소를 횡단하여(across), 약 20 sccm 내지 약 150 sccm의 유량으로, 염소 가스의 흐름을 시작함으로써, 알루미늄 질화물 층에 인접하게 갈륨 질화물 층이 형성된다. 일 실시예에서, 온도가 램핑되는 동안, 염소 가스 흐름이 확립된다. 생성되는 갈륨 염화물 프리커서가 프로세스 챔버에 제공되며, 약 0.3 ㎛/hr 내지 약 15 ㎛/hr의 레이트로 갈륨 질화물 층을 증착하기 위해, 약 6,000 sccm 내지 약 50,000 sccm의 유량으로 질소 소스 가스의 흐름이 증가된다. 증착 프로세스들 동안에, 약 10 Torr 내지 약 760 Torr, 예컨대 약 70 Torr 내지 약 550 Torr, 예컨대 약 450 Torr로 챔버 압력이 유지되며, 챔버 벽 온도는 약 450 ℃ 이상으로 유지된다.
일 실시예에서, 기판 온도를 제 3 목표로 램핑시키는 동안, 질소 가스(N2), 암모니아(NH3), 또는 히드라진(H2N2)과 같은 질소 함유 가스가 챔버에 선택적으로 제공될 수도 있다. 제 1 층의 형성 동안에 사용된 질소 함유 가스가 온도 램핑 동안에 동일한 유량으로 계속될 수도 있거나, 또는 대체(alternate) 질소 함유 가스가 동일한 유량 범위 내에서 제공될 수도 있다. 질소 함유 가스는 제 1 층의 부가적인 질화를 제공하여, 버퍼 또는 천이 층으로서의 제 1 층의 특성들을 개선한다. 다른 대체 실시예에서, 할로겐 또는 할로겐화물 가스와 같은 할로겐 함유 가스, 예컨대 염소 가스(Cl2) 또는 수소 염화물(HCl)이 제 1 및/또는 제 2 층들의 형성 동안에 프로세스 챔버에 직접적으로 제공될 수도 있다. 일반적으로, 할로겐화물 프리커서의 흐름이 확립되고, 그 후, 할로겐 또는 할로겐화물 가스의 흐름이 시작된다. 일반적으로, 할로겐 또는 할로겐화물 가스는 층의 형성 동안에 불완전하게(poorly) 접착된 종들의 증가형 식각(incremental etching)을 제공함으로써 층의 특성들을 개선한다.
몇몇 실시예들에서, 제 1 층 및 제 2 층이 상이한 프로세스 챔버들에서 형성될 수도 있다. 예컨대, 실리콘 또는 실리콘 탄화물과 같은 실리콘 함유 기판 상에 갈륨 질화물 층이 형성되는 경우에, 제 1 챔버에서 제 1 층, 예컨대 알루미늄 질화물을 형성하고, 제 2 챔버에서 제 2 층, 즉 갈륨 질화물을 형성하여, 단일 챔버에서 층들 양자 모두가 형성되는 경우에 조우될 수도 있는 미량의 갈륨과 실리콘 기판의 반응을 회피하는 것이 유리할 수도 있다. 일반적으로, 하나보다 더 많은 층을 형성하는 것을 수반하는 여기서 개시된 모든 방법들에 대해, 그 형성은, 개별적인 실시예들의 필요들에 따라, 단일 챔버에서 또는 복수의 챔버들에서 수행될 수도 있다. 몇몇 실시예들에서, 프로세스 챔버들 사이에서 기판들을 이송하는 프로세스 동안에, 기판들의 표면 상에 증착된 층(들)이 오염되거나 또는 산화되지 않도록, 진공 또는 환경적으로 제어된 이송 챔버에 2개 이상의 프로세싱 챔버들을 커플링시키는 것이 바람직하다.
도 3은 다른 실시예에 따른 방법(300)을 요약하는 흐름도이다. 도 3에서 도시되지는 않았지만, 방법(300)의 몇몇 실시예들에서, 단계들(310, 320, 또는 330)을 수행하기 전에, 상술된 단계들 중 하나 이상의 단계들을 사용하여, 하나 이상의 기판들이 열적으로 세정되고 질화된다. 참조 번호(310)에서, 제 1 프리커서를 형성하기 위해, 제 1 할로겐 함유 가스가 제 1 Ⅲ족 금속과 접촉하게 된다. 할로겐 함유 가스는 불소, 염소, 브롬, 요오드, 또는 이들의 혼합물 또는 조합물과 같은 원소 할로겐 가스일 수도 있다. 할로겐 함유 가스는 또한, 전술한 원소들의 수소 할로겐화물, 수소 할로겐화물들의 혼합물 또는 조합물, 또는 수소 할로겐화물들과 원소 헬로겐 가스들의 혼합물 또는 조합물일 수도 있다. Ⅲ족 금속은 갈륨, 인듐, 알루미늄, 이들의 임의의 조합물, 이들의 임의의 혼합물, 또는 이들의 임의의 합금일 수도 있다. Ⅲ족 금속은, 할로겐 함유 가스에 대한 노출 동안에, 고체 또는 액체로서 유지될 수도 있다. 할로겐 함유 가스는, 개별적인 실시예들의 필요들에 따라, 금속 위로, 금속 주위로, 또는 금속을 통해 흐름으로써, Ⅲ족 금속에 노출될 수도 있다.
참조 번호(320)에서, 제 2 프리커서를 형성하기 위해, 제 2 할로겐 함유 가스가 제 2 Ⅲ족 금속과 접촉된다. 제 2 할로겐 함유 가스는 제 1 할로겐 함유 가스와 동일하거나 또는 상이할 수도 있지만, 일반적으로, 재료들의 동일한 그룹으로부터 선택될 것이다. 제 2 Ⅲ족 금속도 마찬가지로, 제 1 Ⅲ족 금속과 동일하거나 또는 상이할 수도 있지만, 일반적으로, 동일한 그룹으로부터 선택될 것이다. 제 2 Ⅲ족 금속은 또한 고체 또는 액체일 수도 있고, 상술된 방식들 중에 임의의 방식으로 할로겐 함유 가스에 노출될 수도 있다.
참조 번호(330)에서, 기판을 포함하는 프로세스 챔버에 질소 소스와 함께 제 1 및 제 2 프리커서들이 제공된다. 기판은 일반적으로, 상술된 종류들의 구조 기판이며, 질소 소스는 상술된 바와 같이 암모니아 또는 활성 질소 종들일 수도 있다. 질소 가스와 같은 반응성 캐리어 가스, 또는 헬륨 또는 아르곤과 같은 비-반응성 캐리어 가스를 이용하여 질소 소스가 제공될 수도 있다.
참조 번호(340)에서, 제 1 및 제 2 Ⅲ족 금속들을 포함하는 층을 기판 상에 증착하기 위해, 제 1 및 제 2 프리커서들이 하나 이상의 질소 소스들과 반응하도록 촉진된다. 증착된 층은 제 1 및 제 2 Ⅲ족 금속들의 질화물들의 혼합물일 수도 있다. 예컨대, 층은 알루미늄 인듐 질화물 층, 또는 알루미늄 갈륨 질화물 층, 또는 인듐 갈륨 질화물 층일 수도 있다. 다른 실시예들에서, 제 3 할로겐 함유 가스가 제 3 Ⅲ족 금속에 노출될 수도 있으며, 생성되는 프리커서는, 제 1 및 제 2 프리커서들과 함께 질소 소스와 반응하여 3개의 Ⅲ족 금속들을 포함하는 층을 형성하기 위해, 프로세스 챔버에 제공된다.
참조 번호(350)에서, 증착된 막의 조성을 제어하기 위해, 제 1 및 제 2 프리커서들의 유량들이 변화된다. 일 실시예에서, 알루미늄 및 갈륨을 포함하는 층이 사파이어 기판 상에 증착될 수도 있다. 초기에, 사파이어 기판의 조성에 근사시키기 위해 알루미늄이 풍부하게(aluminum-rich) 층이 증착될 수도 있으며, 알루미늄 및 갈륨 프리커서들의 유량들은, 막이 두꺼워짐에 따라 막의 갈륨 함유량을 증가시켜서, 갈륨이 풍부한 영역에서 종료되도록, 꾸준히 변화될 수도 있다. 그러한 단계적인 조성(graded composition) 막은 상술된 바와 같은 특성들의 천이를 용이하게 할 수도 있다. 일 실시예에서, 유량들의 천이는 선형적일 수도 있다. 예컨대, 제 1 및 제 2 프리커서들의 유량은 제 1 프리커서가 제 2 프리커서와 비교하여 상당히 과도한 제 1 목표에서 시작할 수도 있으며, 제 2 프리커서가 제 1 프리커서와 비교하여 상당히 과도한 제 2 목표로 램핑할 수도 있다. 일 실시예에서, 초기에, 제 2 Ⅲ족 금속이 없는 초기 층을 증착하기 위해, 제 2 프리커서의 흐름이 중지될 수도 있다. 그 후, 제 1 및 제 2 Ⅲ족 금속들 양자 모두를 포함하는 층의 증착을 시작하기 위해, 제 2 프리커서의 흐름이 도입될 수도 있다. 그 후, 단계적인 조성을 형성하기 위해, 제 1 프리커서의 흐름이 램핑 다운되는 동안, 제 2 프리커서의 흐름이 램핑 업될 수도 있다. 그 후, 제 1 Ⅲ족 금속이 없는 최종 층을 형성하기 위해, 제 1 프리커서의 흐름이 중지될 수도 있다.
다른 실시예들에서, 천이들은 비선형적일 수도 있다. 예컨대, 농도 프로파일은 에스자상(sigmoidal)일 수도 있거나, 또는 제 1 농도로부터 제 2 농도로의 갑작스러운 변이(shift)를 나타낼 수도 있다. 몇몇 실시예들에서, 농도 프로파일은 제 1 레벨로부터 제 2 레벨로의 일련의 단차(step) 변화들을 나타낼 수도 있다.
질소 소스의 유량을 일정하게 유지하면서, 금속 프리커서들의 상대적인 유량들을 제어함으로써, 상술된 바와 같은 단계적인 조성들이 생성될 수도 있다. 상이한 금속 프리커서들의 반응 레이트들도 마찬가지로, 온도 변화들에 의해 상이하게 영향을 받을 수도 있다. 금속 프리커서들의 유량들은 또한, 2개의 용적 유량들의 비율에 따라 제어될 수도 있다. 예컨대, 제 1 및 제 2 프리커서 유량들의 합에 대한 제 1 프리커서 유량의 비율은 초기에 90 %로 세팅될 수도 있고, 그 후, 원하는 층의 두께에 의해 결정되는 레이트로 10 %로 램핑 다운될 수도 있다. 비선형 조성 프로파일은 또한, 그러한 비율을 비선형 방식으로 변화시킴으로써 생성될 수도 있다.
몇몇 실시예들에서, 상술된 조성들 중에 임의의 조성들에 따른 버퍼 층이 비정질 결정 구조를 형성하도록 선택된 온도에서 증착되고, 그 후, 임의의 원하는 정도로 재결정화될 수도 있다. 증착되고 있는 재료의 오더링(ordering) 온도 미만의 온도에서, 여기서 설명된 임의의 질화물 층들을 증착함으로써, 비정질 막이 형성될 수도 있다. 몇몇 실시예들에 대해, 약 550 ℃ 미만의 온도에서의 증착으로 비정질 버퍼 층이 산출될 것이다. 그 후, 버퍼 층은 열 처리에 의해 부분적으로 또는 완전히 재결정화될 수도 있다. 일 양상에서, 재결정화를 달성하기 위해, 약 700 ℃ 위, 예컨대 약 700 ℃ 내지 약 1,000 ℃, 예컨대 약 900 ℃의 온도에서 버퍼 층이 어닐링될 수도 있다. 동일한 챔버에서 버퍼 층 및 지지 층이 증착되는 실시예에서, 비정질 버퍼 층의 증착 후 그리고 지지 층의 증착 전에, 기판은 열 소크(soak) 단계로 처리될 수도 있다. 열 소크 단계는, 지지 층의 증착을 위해 선택된 온도에서 수행될 수도 있고, 약 1 분 내지 약 10 분의 지속기간을 가질 수도 있다. 열 소크 시간을 조정하는 것은 재결정화의 정도에 영향을 미칠 것이며, 짧은 열 소크 단계는 비정질 매트릭스 내에 임베딩된 작은 결정 영역들을 산출하고, 긴 열 소크 단계는, 존재하는 경우에, 작은 비정질 영역들만을 갖는 실질적으로 다결정질인 매트릭스를 산출한다.
차원 파라미터에 따라 비정질 버퍼 층을 재결정화하기 위해, 방향성 어닐링이 사용될 수도 있다. 일반적인 베이킹(baking)이 주로 등방성 재결정화를 산출하는 반면에, 방향성 가열은 하나의 표면 또는 다른 표면 근처에서의 선택적 재결정화를 위해 가해질 수 있다. 예컨대, 표면으로부터 아래로 재결정화하기 위해, 증착된 버퍼 층의 상측 표면에 복사 에너지가 가해질 수도 있다. 이러한 방식으로 부분적으로 재결정화하는 것은, 아래에 놓인 기판 근처에서는 실질적으로 비정질이고, 상부 표면 근처에서는 실질적으로 결정질인 버퍼 층을 산출할 것이다. 예컨대, 버퍼 층의 표면은 약 1 분 동안, 적어도 약 700 ℃의 온도로 가열될 수도 있다. 마찬가지로, 후면측 가열에 의한 부분적인 재결정화가 반대의 결과를 달성할 것임이 예측될 수도 있다. 몇몇 실시예들에서, 완전한 재결정화를 위한 방향성 가열은, 결정질 매트릭스 내에 비정질 영역들을 트래핑(trap)하지 않으면서 재결정화를 촉진시키는 효율적인 방식일 수도 있다. 하나의 표면으로부터 다른 표면으로 재료가 재결정화됨에 따라, 결정 결함들 및 비정질 영역들이 매트릭스 내에 남아 있을 가능성이 더 적다.
일 양상에서, 버퍼 층은, 증착 시퀀스들 사이에 개재하는 비-증착 프로세스들을 갖는 2개 이상의 시퀀스들로 증착될 수도 있다. 일 실시예에서, 목표 두께가 도달될 때까지, 비정질 층을 형성하도록 선택된 제 1 온도에서, 버퍼 층의 제 1 부분이 증착될 수도 있다. 그 후, 제 1 부분의 적어도 일부를 재결정화하기 위해, 제 1 부분이 어닐링되는 동안, 증착이 중단(suspend)될 수도 있다. 그 후, 결정질 층을 형성하도록 선택된 제 2 온도로 기판이 열적으로 제어될 수도 있고, 버퍼 층의 제 2 부분이 제 1 부분 상에 결정질 형태로 증착된다. 다른 실시예에서, 증착 시퀀스는 복수의 열 처리, 질화, 또는 세정 사이클들과 교번하는 복수의 증착 사이클들을 포함할 수도 있다. 이들 방식들 중에 임의의 방식으로, 화합물 층인 버퍼 층이 형성될 수도 있으며, 버퍼 층은 이후에 형성되는 지지 층과 동일한 프로세싱 챔버에서 형성될 수도 있다.
몇몇 실시예들에서, 질소 소스 가스는 활성 질소 종들을 포함할 수도 있다. 버퍼 층 및 지지 층을 증착하기 위해 사용되고 있는 프로세스 챔버에 원격 플라즈마 생성기를 커플링시킴으로써, 활성 질소 종들이 도출될 수도 있다. 원격 플라즈마 생성기는, 질소 함유 프리커서들에 에너지를 인가함으로써, 질소를 포함하는 이온들 및 라디칼들과 같은 활성 질소 종들을 생성하기 위해 사용될 수도 있다. 그러한 프리커서들은 질소 가스(N2), 암모니아(NH3), 아산화 질소(N2O), 히드라진(N2H4), 디이미드(N2H2), 및 히드라조산(HN3)을 포함할 수도 있고, 하나보다 더 많은 질소 함유 화합물의 혼합물들일 수도 있다. 인가된 에너지는 활성화되는 프리커서에 적응되고, DC 또는 RF 에너지, UV 복사, 또는 마이크로파 복사와 같은 에너지 소스로부터 제공된 에너지를 포함할 수도 있다. 질소 함유 화합물들은, 에너지에 의해, 라디칼들을 포함하는 중성으로 하전된(neutrally charged) 종들로 재결합하는 이온들로 해리된다. 활성화된 질소 가스는, 원격 플라즈마 챔버로부터 공간을 통해 흘림으로써, 프로세싱 챔버에 도입된다. 가스가 프로세싱 챔버를 향해 이동함에 따라, 잔여의 하전된 종들이 재결합에 의해 소멸되어, 금속 함유 프리커서들과 반응하도록, 라디칼 및 다른 중성으로 하전된 반응성 종들을 남긴다. 몇몇 실시예들에서, 활성 질소 가스는 상술된 바와 같이 다른 질소 소스 또는 캐리어 가스와 혼합될 수도 있다.
도 2의 방법에 따르면, 막들의 특성들을 개선하기 위해, 방법(300)의 다양한 막들의 형성 동안에 프로세싱 챔버에 할로겐 함유 가스가 부가적으로 제공될 수도 있다. 상술된 바와 같이, 할로겐 함유 가스는 염소 또는 수소 염화물과 같은 할로겐 가스 또는 할로겐화물 가스일 수도 있다.
도 4는 여기서 설명되는 본 발명의 실시예들 중에 하나 이상을 실시하기 위해 사용될 수도 있는 HVPE 장치(400)의 개략적인 단면도이다. 도 4에서의 장치(400)는 프로세싱 볼륨(408)을 에워싸는 하나 이상의 벽들(403)을 갖는 챔버 바디(402)를 포함한다. 샤워헤드 어셈블리(404)는 프로세싱 볼륨(408)의 하나의 경계에 배치되며, 기판 캐리어(414)는 프로세싱 볼륨(408)의 다른 경계에 배치된다. 기판 캐리어(414)는 하나 이상의 리세스들(416)을 포함할 수도 있으며, 그 하나 이상의 리세스들 (416) 내에는 프로세싱 동안에 하나 이상의 기판들이 배치될 수도 있다. 기판 캐리어(414)는 6개 이상의 기판들을 보유(carry)할 수도 있다. 일 실시예에서, 기판 캐리어(414)는 8개의 기판들을 보유한다. 더 많거나 또는 더 적은 기판들이 기판 캐리어(414) 상에서 보유될 수도 있다는 것이 이해되어야 한다. 기판 사이즈는 50 ㎜ 내지 100 ㎜의 범위의 직경 또는 더 큰 직경을 가질 수도 있는 한편, 기판 캐리어 사이즈는 200 ㎜ 내지 500 ㎜의 범위를 가질 수도 있다. 기판 캐리어는 SiC 또는 SiC 코팅된 흑연을 포함하는 다양한 재료들로부터 형성될 수도 있다. 다른 사이즈들의 기판들이 장치(400) 내에서 그리고 여기서 설명된 프로세스들에 따라 프로세싱될 수도 있다.
기판 캐리어(414)는 회전 모터(405)의 사용에 의해 프로세싱 동안에 기판 캐리어(414)의 중심축 둘레를 회전할 수도 있다. 일 실시예에서, 기판 캐리어(414)는 약 2 RPM 내지 약 100 RPM, 예컨대 약 30 RPM의 레이트로 회전될 수도 있다. 기판 캐리어(414)를 회전시키는 것은, 몇몇 실시예들에서, 각각의 기판에 대한 프로세싱 가스들의 균일한 노출을 제공하는 것을 원조한다. 다른 실시예에서, 기판 캐리어(414) 자체를 회전시키는 것 대신에, 또는 기판 캐리어(414) 자체를 회전시키는 것과 함께, 기판들이 기판 캐리어(414) 내에서 개별적으로 회전될 수도 있다.
램프(lamp) 어레이들로 그룹화될 수도 있는 복수의 램프들(430a, 430b)이 기판 캐리어(414) 아래에 배치될 수도 있다. 몇몇 실시예들에 대해, 전형적인 램프 배열은 기판 위(미도시) 및 아래(도시)에서 램프들의 어레이들을 포함할 수도 있다. 일 실시예는 측면들로부터 램프들을 통합할 수도 있다. 특정 실시예들에서, 램프들은 동심 원들로 배열될 수도 있다. 예컨대, 램프들(430b)의 내측 어레이는 8개의 램프들을 포함할 수도 있고, 램프들(430a)의 외측 어레이는 12개의 램프들을 포함할 수도 있다. 일 실시예에서, 복수의 램프들(430a, 430b)의 각각은 개별적으로 전원공급된다. 다른 실시예에서, 램프들(430a, 430b)의 어레이들은 샤워헤드 어셈블리(404) 위에 또는 내에 포지셔닝될 수도 있다. 개별적인 실시예들의 필요들에 따라 다른 배열들 및 다른 수들의 램프들이 가능하다는 것이 이해된다. 기판 캐리어(414)의 내측 및 외측 영역들을 가열하기 위해, 램프들(430a, 430b)의 어레이들이 선택적으로 전원공급될 수도 있다. 일 실시예에서, 램프들(430a, 430b)은 내측 및 외측 어레이들로서 일괄적으로 전원공급되며, 여기서, 상부 및 저부 어레이들은 일괄적으로 전원공급되거나, 또는 별개로 전원공급된다. 또 다른 실시예에서, 소스 보트(480) 위에 및/또는 아래에, 별개의 램프들 또는 가열 엘리먼트들이 포지셔닝될 수도 있다. 본 발명이 램프들의 어레이들의 사용에 제한되지 않는다는 것이 이해되어야 한다. 프로세싱 챔버, 그 프로세싱 챔버 내의 기판들, 및 금속 소스에 적절한 온도가 적절하게 가해지는 것을 보장하기 위해, 임의의 적합한 가열 소스가 이용될 수도 있다. 예컨대, 신속한 열 프로세싱 램프 시스템이 이용될 수도 있다.
일 실시예에서, 소스 보트(480)는, 하나 이상의 벽들(403) 상에 배치된 샤워헤드 어셈블리(404)의 컴포넌트들로부터 거리를 두고 포지셔닝된 앰플 어셈블리(423)로 대체될 수도 있다. 이 구성에서, 프로세싱 볼륨(408)에 전달될 수 있는 금속 할로겐화물 프리커서(예컨대, GaCl, GaCl3, AlCl3)를 생성하기 위해, 가스 소스(427)로부터 고체 또는 액체 소스 재료를 포함하는 앰플(425)의 우물(425A)로 할로겐 가스 및/또는 비활성 가스가 전달될 수도 있다. 소스 재료를 가열하고 금속 할로겐화물 프리커서가 형성되는 것을 허용하기 위해, 가열 소스(429)에 의해 앰플이 가열될 수도 있다. 그 후, 가스 튜브들의 세트에 의해, 또는 종래의 샤워헤드 타입 어셈블리를 통해, 프로세싱 볼륨(408)에 금속 함유 프리커서 가스가 제공될 수도 있다. 질소 함유 프리커서 가스가 또한, 가스 튜브들의 세트를 통해 프로세싱 볼륨(408) 내로 도입될 수도 있다. 몇몇 실시예들에서, 질소 함유 프리커서 가스는 암모니아를 함유할 수도 있다.
소스 보트(480) 뿐만 아니라 기판들을 가열하기 위해, 복수의 램프들(430a, 430b) 중에 하나 이상이 전원공급될 수도 있다. 램프들은 약 900 ℃ 내지 약 1200 ℃의 온도로 기판을 가열할 수도 있다. 다른 실시예에서, 램프들(430a, 430b)은, 약 350 ℃ 내지 약 900 ℃의 온도로, 소스 보트(480) 내의 우물(420) 내의 금속 소스를 유지한다. 프로세싱 동안에 금속 소스 온도를 측정하기 위해, 우물(420) 내에 열전대(미도시)가 포지셔닝될 수도 있다. 우물(420)에서의 금속 소스의 온도가 필요한 대로 제어되거나 또는 조정될 수도 있도록, 열전대에 의해 측정된 온도가 가열 램프들(430a, 430b)로부터 제공되는 열을 조정하는 제어기로 피드백될 수도 있다.
본 발명의 일 실시예에 따른 프로세스 동안에, 프리커서 가스들(406)은 샤워헤드 어셈블리(404)로부터 기판 표면을 향해 흐른다. 기판 표면에서의 또는 근처에서의 프리커서 가스들(406)의 반응은 GaN, AlN, 및 InN을 포함하는 다양한 금속 질화물 층들을 기판 상에 증착할 수도 있다. 다수의 금속들은 또한, AlGaN 및/또는 InGaN과 같은 "조합 막들"의 증착에 대해 이용될 수도 있다.
도 1의 디바이스와 같은 디바이스의 다중 양자 우물 층들은, 캘리포니아, 산타 클라라의 어플라이드 머티리얼스 사의 라이팅 프로덕트 디비전으로부터 입수가능한 바와 같은 MOCVD 챔버 상에서 수행되는 MOCVD 프로세스를 사용하여 형성될 수도 있다. 상술된 방법들 중에 임의의 방법에 따라 준비될 수도 있는 상술된 임의의 구조 기판과 같은 기판이 MOCVD 챔버에 제공된다. Ⅴ족 프리커서 및 캐리어 가스와 함께 챔버에 Ⅲ족 금속 유기 프리커서가 제공된다. 적합한 Ⅲ족 금속 유기체들은 트리메틸 갈륨(TMG), 트리메틸 알루미늄(TMA), 및 트리메틸 인듐(TMI), 및 이들의 조합물들 또는 혼합물들을 포함한다. 적합한 Ⅴ족 프리커서들은 일반적으로 질소를 함유한다. 금속 질화물들을 형성하기 위해 사용될 수도 있는 반응성 질소 함유 가스들은 암모니아(NH3) 및 히드라진(N2H4)을 포함한다. 적합한 캐리어 가스들은 수소(H2), 질소(N2), 헬륨(He), 아르곤(Ar), 크세논(Xe), 및 이들의 조합물들 또는 혼합물들을 포함한다. 다른 실시예에서, 질소 소스는, 질소 가스(N2), 아산화 질소(N2O), 암모니아(NH3), 히드라진(N2H4), 디이미드(N2H2), 히드라조산(HN3) 등과 같은 질소 함유 재료의 원격 플라즈마로부터 도출된 하나 이상의 활성 질소 종들일 수도 있다. 증착된 막 내에 도펀트들을 포함시키기 위해, 도펀트 프리커서가 또한 챔버에 제공될 수도 있다. 일 실시예에서, 챔버에 제공되는 가스 혼합물 내에 다이사이클로펜타다이에닐 마그네슘(Cp2Mg)을 포함시킴으로써, 마그네슘(Mg)이 도펀트로서 막에 부가될 수도 있다. 아래의 테이블 1은, 상술된 디바이스들을 사용하는 질화물 반도체 구조들의 성장에 일반적으로 적합한 예시적인 프로세싱 조건들 및 프리커서 유량들을 제공한다.
테이블 1
Figure pct00001
도 5는 여기서 설명된 방법들을 실시하기 위해 사용될 수 있는 HVPE 장치(500)의 개략적인 단면도이다. HVPE 장치(500)는 리드(504)에 의해 에워싸여진 챔버(502)를 포함한다. 챔버(502) 및 리드(504)는 프로세싱 볼륨(507)을 한정한다. 샤워헤드(506)는 프로세싱 볼륨(507)의 상측 영역에 배치된다. 서셉터(514)는 프로세싱 볼륨(507)에서 샤워헤드(506)에 대향하여 배치된다. 서셉터(514)는 프로세싱 동안에, 그 위에 놓인 복수의 기판들(515)을 지지하도록 구성된다. 일 실시예에서, 복수의 기판들(515)은 서셉터(514)에 의해 지지되는 기판 캐리어(516) 상에 배치된다. 서셉터(514)는 모터(580)에 의해 회전될 수도 있고, SiC 또는 SiC 코팅된 흑연을 포함하는 다양한 재료들로부터 형성될 수도 있다.
일 실시예에서, HVPE 장치(500)는 서셉터(514) 상의 기판들(515)을 가열하도록 구성된 가열 어셈블리(528)를 포함한다. 일 실시예에서, 챔버 바닥부(502a)는 석영으로부터 형성되며, 가열 어셈블리(528)는, 석영 챔버 바닥부(502a)를 통해 기판들(515)을 가열하기 위해 챔버 바닥부(502a) 아래에 배치된 램프 어셈블리이다. 일 실시예에서, 가열 어셈블리(528)는 기판들, 기판 캐리어, 및/또는 서셉터에 걸쳐 균일한 온도 분포를 제공하도록 분포된 램프들의 어레이를 포함한다.
HVPE 장치(500)는 챔버(502)의 측벽(508) 내부에 배치된 프리커서 공급 파이프들(522, 524)을 더 포함한다. 파이프들(522 및 524)은 프리커서 소스 모듈(532)에서 발견되는 유입 튜브(521) 및 프로세싱 볼륨(507)과 유체 소통한다. 샤워헤드(506)는 프로세싱 볼륨(507) 및 가스 소스(510)와 유체 소통한다. 프로세싱 볼륨(507)은 배기부(551)와 유체 소통한다.
HVPE 장치(500)는 챔버(502)의 벽들(508) 내에 임베딩된 가열기(530)를 더 포함한다. 벽들(508) 내에 임베딩된 가열기 엘리먼트들(530)은 증착 프로세스 동안 요구되는 경우에 부가적인 열을 제공할 수도 있다. 프로세싱 챔버 내부의 온도를 측정하기 위해 열전대가 사용될 수도 있다. 열전대(미도시)로부터의 판독에 기초하여, 가열기 엘리먼트들(530)(예컨대, 저항성 가열 엘리먼트들)에 전달되는 전력을 조정함으로써 챔버(502)의 벽들의 온도를 제어하는 제어기(541)로 열전대로부터의 출력이 피드백될 수도 있다. 예컨대, 챔버가 너무 차가운 경우에, 가열기(530)가 턴온될 것이다. 챔버가 너무 뜨거운 경우에, 가열기(530)가 턴오프될 것이다. 또한, 가열기(530)로부터 제공되는 열의 양은, 가열기(530)로부터 제공되는 열의 양이 최소화되도록 제어될 수도 있다.
가스 소스(510)로부터의 프로세싱 가스는 가스 분배 샤워헤드(506) 내에 배치된 가스 플레넘(536)을 통해 챔버 볼륨(507)으로 전달된다. 일 실시예에서, 가스 소스(510)는 질소 함유 화합물을 포함할 수도 있다. 일 실시예에서, 가스 소스(510)는 암모니아 또는 질소를 포함하는 가스를 전달하도록 구성된다. 일 실시예에서, 헬륨 또는 이원자 질소와 같은 비활성 가스가 마찬가지로, 챔버(502)의 벽들(508) 상에 배치된 파이프(524)를 통해 또는 가스 분배 샤워헤드(506)를 통해 도입될 수도 있다. 가스 소스(510)와 가스 분배 샤워헤드(506) 사이에 에너지 소스(512)가 배치될 수도 있다. 일 실시예에서, 에너지 소스(512)는 가열기 또는 원격 RF 플라즈마 소스를 포함할 수도 있다. 에너지 소스(512)가 가스 소스(510)로부터 전달된 가스에 에너지를 제공할 수도 있어, 라디칼들 또는 이온들이 형성될 수 있어서, 질소 함유 가스 내의 질소가 더 반응성이 크게 된다.
소스 모듈(532)은 소스 보트(534)의 우물(534A)에 접속된 할로겐 가스 소스(518), 및 우물(534A)에 접속된 비활성 가스 소스(519)를 포함한다. 알루미늄, 갈륨, 또는 인듐과 같은 소스 재료(523)가 우물(534A) 내에 배치된다. 가열 소스(520)는 소스 보트(534)를 둘러싼다. 유입 튜브(521)는 파이프들(522, 524)을 통해 우물(534A)을 프로세싱 볼륨(507)에 접속시킨다.
일 실시예에서, 프로세싱 동안에, 금속 할로겐화물 프리커서(예컨대, GaCl, GaCl3, AlCl3)를 생성하기 위해, 할로겐 가스 소스(518)로부터 소스 보트(534)의 우물(534A)로 할로겐 가스(예컨대, Cl2, Br2, 또는 I2)가 전달된다. 할로겐 가스와 고체 또는 액체 소스 재료(523)의 상호 작용은 금속 할로겐화물 프리커서가 형성되게 허용한다. 소스 재료(523)를 가열하고 금속 할로겐화물 프리커서가 형성되게 하용하도록, 가열 소스(520)에 의해 소스 보트(534)가 가열될 수도 있다. 그 후, 금속 할로겐화물 프리커서는 유입 튜브(521)를 통해 HVPE 장치(500)의 프로세싱 볼륨(507)으로 전달된다. 일 실시예에서, 비활성 가스 소스(519)로부터 전달된 비활성 가스(예컨대, Ar, N2)는, 우물(534A) 내에 형성된 금속 할로겐화물 프리커서를 유입 튜브(521) 및 파이프들(522 및 524)을 통해 HVPE 장치(500)의 프로세싱 볼륨(507)으로 운반하거나, 또는 푸시(push)하기 위해 사용된다. 프로세싱 볼륨(507) 내에 배치된 기판들(515)의 표면 상에 금속 질화물 층이 형성될 수 있도록, 질소 함유 프리커서 가스(예컨대, 암모니아(NH3), N2)가 샤워헤드(506)를 통해 프로세싱 볼륨(507)으로 도입될 수도 있는 한편, 금속 할로겐화물 프리커서도 또한 프로세싱 볼륨(507)에 제공된다.
도 6은 여기서 설명된 실시예들에 따른 질화 화합물 반도체 디바이스들을 제조하기 위해 하나의 HVPE 챔버(602) 및 다수의 MOCVD 챔버들(603a 및 603b)을 포함하는 프로세싱 시스템(600)의 일 실시예를 예시하는 개략적인 상면도이다. 일 실시예에서, 프로세싱 시스템(600) 내의 환경은 진공 환경 또는 대기압 미만의 압력으로 유지된다. 특정 실시예에서, 프로세싱 시스템(600)을 질소와 같은 비활성 가스로 백필(backfill)하는 것이 바람직할 수도 있다. 하나의 HVPE 챔버(602) 및 2개의 MOCVD 챔버들(603a 및 603b)이 도시되었지만, 하나 이상의 HVPE 챔버들과 하나 이상의 MOCVD 챔버들의 임의의 조합이 또한 이송 챔버(606)와 커플링될 수도 있다는 것이 이해되어야 한다. 예컨대, 일 실시예에서, 프로세싱 시스템(600)은 3개의 MOCVD 챔버들을 포함할 수도 있다. 다른 실시예에서, 여기서 설명된 프로세스들은 단일의 MOCVD 챔버에서 수행될 수도 있다. 또한, 클러스터 툴이 도시되었지만, 여기서 설명된 실시예들은 선형 트랙 시스템들을 사용하여 수행될 수도 있다는 것이 이해되어야 한다.
일 실시예에서, 부가적인 챔버(604)가 이송 챔버(606)와 커플링된다. 일 실시예에서, 부가적인 챔버(604)는 MOCVD 챔버 또는 HVPE 챔버와 같은 부가적인 프로세싱 챔버를 포함한다. 다른 실시예에서, 부가적인 챔버(604)는 메트롤로지(metrology) 챔버를 포함할 수도 있다. 또 다른 실시예에서, 부가적인 챔버(604)는 디가스(degas), 배향, 쿨 다운(cool down), 사전 처리/사전 세정, 사후-어닐링 등에 대해 적응된 서비스 챔버들과 같은 사전-프로세싱 또는 사후-프로세싱 챔버들을 포함할 수도 있다. 일 실시예에서, 이송 챔버는 프로세스 챔버 탑재를 위한 6개의 포지션들을 갖는 6면 및 6각형 형상이다. 다른 실시예에서, 이송 챔버(606)는 프로세스 챔버 탑재 포지션들의 대응하는 수에 따라 5개, 7개, 8개, 또는 더 많은 측면들을 가질 수도 있고, 다른 형상들을 가질 수도 있다.
HVPE 챔버(602)는 HVPE 프로세스들을 수행하도록 적응되며, HVPE 프로세스들에서, 질화 화합물 반도체 재료들의 두꺼운 층들을 가열된 기판들 상에 에피택셜 성장시키기 위해 가스 금속 할로겐화물들이 사용된다. HVPE 챔버(602)는, 프로세싱을 겪도록 기판이 위치되는 챔버 바디(614), 케미컬 전달 모듈(618), 및 프로세싱 시스템(600)의 HVPE 챔버에 대한 전기 시스템을 포함하는 전기 모듈(622)을 포함하며, 케미컬 전달 모듈(618)로부터 가스 프리커서들이 챔버 바디(614)로 전달된다.
각각의 MOCVD 챔버(603a, 603b)는, 프로세싱을 겪도록 기판이 위치되는 프로세싱 영역을 형성하는 챔버 바디(612a, 612b), 케미컬 전달 모듈(616a, 616b), 및 프로세싱 시스템(600)의 각각의 MOCVD 챔버에 대한 전기 시스템을 포함하는 각각의 MOCVD 챔버(603a, 603b)에 대한 전기 모듈(620a, 620b)을 포함하며, 케미컬 전달 모듈(616a, 616b)로부터 프리커서들, 정화 가스들, 및 세정 가스들과 같은 가스들이 챔버 바디(612a, 612b)로 전달된다. 각각의 MOCVD 챔버(603a, 603b)는 CVD 프로세스들을 수행하도록 적응되며, CVD 프로세스들에서, 유기 금속(metalorganic) 원소들이 금속 수소화물 원소들과 반응하여 질화 화합물 반도체 재료들의 얇은 층들을 형성한다.
프로세싱 시스템(600)은, 로봇 어셈블리(607)를 하우징하는 이송 챔버(606), 이송 챔버(606)와 커플링된, HVPE 챔버(602), 제 1 MOCVD 챔버(603a) 및 제 2 MOCVD 챔버(603b), 이송 챔버(606)와 커플링된 로드락 챔버(608), 이송 챔버(606)와 커플링되며, 기판들을 저장하기 위한 배치 로드락 챔버(609), 및 로드락 챔버(608)와 커플링되며, 기판들을 로딩하기 위한 로드 스테이션(610)을 포함한다. 이송 챔버(606)는 로드락 챔버(608), 배치 로드락 챔버(609), HVPE 챔버(602), 제 1 MOCVD 챔버(603a), 및 제 2 MOCVD 챔버(603b) 사이에서 기판들을 픽업하고 이송하도록 동작 가능한 로봇 어셈블리(620)를 포함한다.
이송 챔버(606)는 프로세스 동안에 진공 및/또는 대기압 미만의 압력으로 유지될 수도 있다. 이송 챔버(606)의 진공 레벨은 대응하는 프로세싱 챔버들의 진공 레벨에 매칭하기 위해 조정될 수도 있다. 예컨대, 이송 챔버(606)로부터 HVPE 챔버(602) 내로 (또는 반대로) 기판을 이송하는 경우에, 이송 챔버(606) 및 HVPE 챔버(602)는 동일한 진공 레벨로 유지될 수도 있다. 그 후, 이송 챔버(606)로부터 로드락 챔버(608) 또는 배치 로드락 챔버(609)로 (또는 반대로) 기판을 이송하는 경우에, 이송 챔버 진공 레벨은, 로드락 챔버(608) 또는 배치 로드락 챔버(609) 와 HVPE 챔버(602)의 진공 레벨이 상이할 수도 있더라도, 로드락 챔버(608) 또는 배치 로드락 챔버(609)의 진공 레벨에 매칭할 수도 있다. 따라서, 이송 챔버의 진공 레벨은 조정될 수도 있다. 특정 실시예들에서, 이송 챔버(606)를 질소와 같은 비활성 가스로 백필하는 것이 바람직할 수도 있다. 일 실시예에서, 기판은 90 %보다 더 많은 N2를 갖는 환경에서 이송된다. 특정 실시예들에서, 기판은 고순도 NH3 환경에서 이송된다. 일 실시예에서, 기판은 90 %보다 더 많은 NH3을 갖는 환경에서 이송된다. 특정 실시예들에서, 기판은 고순도 H2 환경에서 이송된다. 일 실시예에서, 기판은 90 %보다 더 많은 H2를 갖는 환경에서 이송된다.
프로세싱 시스템(600)에서, 로봇 어셈블리는, 제 1 증착 프로세스를 겪도록, 진공 하에서, 기판들이 로딩된 캐리어 플레이트(611)를 HVPE 챔버(602) 내로 이송한다. 캐리어 플레이트(611) 사이즈 범위는 200 ㎜ 내지 750 ㎜일 수도 있다. 캐리어 플레이트(611)는 SiC 또는 SiC 코팅된 흑연을 포함하는 다양한 재료들로부터 형성될 수도 있다. 로봇 어셈블리는, 제 2 증착 프로세스를 겪도록, 진공 하에서, 캐리어 플레이트(611)를 제 1 MOCVD 챔버(603a) 내로 이송한다. 로봇 어셈블리는, 제 3 증착 프로세스를 겪도록, 진공 하에서, 캐리어 플레이트(611)를 제 2 MOCVD 챔버(603b) 내로 이송한다. 증착 단계들의 전부 또는 일부가 완료된 후에, 캐리어 플레이트(611)는 HVPE 챔버(602) 또는 MOCVD 챔버들(603a, 603b) 중 하나로부터 로드락 챔버(608)로 다시 이송된다. 일 실시예에서, 그 후, 캐리어 플레이트(611)는 로드 스테이션(610)을 향해 방출된다. 다른 실시예에서, 캐리어 플레이트(611)는, HVPE 챔버(602) 또는 MOCVD 챔버들(603a, 603b)에서의 추가적인 프로세싱 전에, 로드락 챔버(608) 또는 배치 로드락 챔버(609) 내에 저장될 수도 있다. 일 예시적인 시스템은, 여기서 전체가 참조로 통합되는, 발명의 명칭이 PROCESSING SYSTEM FOR FABRICATING COMPOUND NITRIDE SEMICONDUCTOR DEVICES인, 현재 제 US 22009-0194026 호로서 발행된, 2008년 1월 31일자로 출원된, 미국 특허 출원 제 12/023,572 호에서 설명된다.
시스템 제어기(660)는 프로세싱 시스템(600)의 동작들 및 동작 파라미터들을 제어한다. 시스템 제어기(660)는 컴퓨터 프로세서 및 그 프로세서에 커플링된 컴퓨터-판독가능 메모리를 포함한다. 프로세서는 메모리에 저장된 컴퓨터 프로그램과 같은 시스템 제어 소프트웨어를 실행한다. 유용한 프로세싱 시스템 및 방법들의 양상들은, 여기서 전체가 참조로 통합된, 발명의 명칭이 EPITAXIAL GROWTH OF COMPOUND NITRIDE STRUCTURES인, 현재 제 US 2007-0240631 호로서 발행된, 2006년 4월 14일자로 출원된, 미국 특허 출원 제 11/404,516호에서 더 설명된다.
일 실시예에서, 프로세싱 시스템(600)은 하나의 HVPE 챔버(602) 및 2개의 MOCVD 챔버들(603a 및 603b)을 포함하다. 그러한 프로세싱 시스템은 여기서 설명된 방법들에 따라 디바이스를 제조하기 위해 사용될 수도 있다. 패터닝된 사파이어 기판은, 기판 캐리어(611) 상에서, 로드락 챔버(608) 또는 배치 로드락 챔버(609)를 통해, 프로세싱 시스템에 제공될 수도 있고, 로봇 어셈블리 (607)에 의해 HVPE 챔버(602) 내에 배치될 수도 있다. 상술된 방법들 중에 임의의 방법에 따라, AlN 층과 같은 버퍼 층을 증착하고, 이어서, 도핑되거나 또는 도핑되지 않을 수도 있는 하나 이상의 GaN 층들을 증착하기 위해 다단계 HVPE 프로세스가 HVPE 챔버에서 수행될 수도 있다. 그 후, 기판은 활성 MQW 층의 형성을 위해 MOCVD 챔버들 중에 하나로 이동되고, 그 후, 제 2 GaN 층 또는 복수의 도핑된 및 도핑되지 않은 GaN 층들의 형성을 위해 HVPE 챔버로 다시 이동될 수도 있다. 그 후, 기판은, 시스템(600)을 벗어나기 위해, 로드락 챔버(608) 또는 배치 로드락 챔버(609) 내에 배치될 수도 있다.
대안적 실시예에서, 시스템(600)의 부가적인 챔버(604)는 활성 MQW 층의 형성에 이어서 제 2 GaN 층 또는 복수의 층들을 형성하기 위해 사용될 수도 있는 제 2 HVPE 챔버일 수도 있거나, 또는 제 2 HVPE 층은 MQW 활성 층의 형성에 이어서, 제 2 GaN 층 또는 복수의 도핑된 및 도핑되지 않은 GaN 층들을 형성하는 것 뿐만 아니라, 실리콘 함유 기판 상의 AlN 버퍼 층의 형성에 이어서, 제 1 GaN 층 또는 복수의 도핑된 및 도핑되지 않은 GaN 층들을 형성하기 위해 사용될 수도 있다.
전술한 바가 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 및 추가적인 실시예들이 본 발명의 기본적인 범위로부터 벗어나지 않으면서 고안될 수도 있다.

Claims (17)

  1. 기판 상에 막을 증착하는 방법으로서,
    제 1 프리커서(precursor)를 형성하기 위해 제 1 수소-프리(hydrogen-free) 할로겐 함유 가스에 제 1 Ⅲ족 금속을 노출시키는 단계;
    상기 제 1 프리커서를 제 1 질소 소스와 반응시킴으로써, 상기 기판 상에 제 1 막을 형성하는 단계;
    제 2 프리커서를 형성하기 위해 제 2 수소-프리 할로겐 함유 가스에 제 2 Ⅲ족 금속을 노출시키는 단계; 및
    상기 제 2 프리커서를 제 2 질소 소스와 반응시킴으로써, 상기 기판 상에 제 2 막을 형성하는 단계를 포함하는, 막을 증착하는 방법.
  2. 제 1 항에 있어서,
    상기 제 1 막 및 상기 제 2 막은 동일한 프로세스 챔버에서 형성되는, 막을 증착하는 방법.
  3. 전자 디바이스들을 위한 지지 표면을 형성하는 방법으로서,
    질소 함유 가스에 구조 기판을 노출시킴으로써, 질소 함유 막을 포함하는 기초 표면(foundation surface)을 상기 구조 기판 상에 형성하는 단계;
    제 1 프리커서를 형성하기 위해 제 1 수소-프리 할로겐 함유 가스를 제 1 금속과 접촉시키는 단계;
    상기 제 1 프리커서를 제 1 시약(reagent)과 반응시킴으로써, 상기 기초 표면에 인접하게 제 1 층을 증착하는 단계;
    제 2 프리커서를 형성하기 위해 제 2 수소-프리 할로겐 함유 가스를 제 2 금속과 접촉시키는 단계; 및
    상기 지지 표면을 형성하기 위해 상기 제 2 프리커서를 제 2 시약과 반응시킴으로써, 상기 제 1 층에 인접하게 제 2 층을 증착하는 단계를 포함하는, 지지 표면을 형성하는 방법.
  4. 제 3 항에 있어서,
    상기 제 1 시약 및 상기 제 2 시약은 각각 암모니아인, 지지 표면을 형성하는 방법.
  5. 제 3 항에 있어서,
    상기 제 1 층을 형성하는 것 및 상기 제 2 층을 형성하는 것은 상이한 프로세스 챔버들에서 수행되며, 상기 제 1 층은 실리콘을 포함하는 상기 구조 기판의 표면 위에 배치된 알루미늄 질화물을 포함하는, 지지 표면을 형성하는 방법.
  6. 제 3 항에 있어서,
    상기 질소 함유 가스에 상기 구조 기판을 노출시키는 동안, 상기 구조 기판의 온도를 제 1 목표 온도로 램핑(ramp)시키는 단계를 더 포함하는, 지지 표면을 형성하는 방법.
  7. 제 6 항에 있어서,
    상기 질소 함유 가스는 암모니아인, 지지 표면을 형성하는 방법.
  8. 기판을 처리하는 방법으로서,
    제 1 할로겐 함유 프리커서를 형성하기 위해 제 1 수소-프리 할로겐 함유 가스에 제 1 금속을 노출시키는 단계;
    제 2 할로겐 함유 프리커서를 형성하기 위해 제 2 수소-프리 할로겐 함유 가스에 제 2 금속을 노출시키는 단계; 및
    상기 제 1 금속 및 상기 제 2 금속의 원하는 양들을 포함하는 제 1 층을 상기 기판 상에 증착하도록 선택된 용적비(volumetric ratio)로, 상기 제 1 할로겐 함유 프리커서 및 상기 제 2 할로겐 함유 프리커서를 질소 소스와 반응시키는 단계를 포함하는, 기판을 처리하는 방법.
  9. 제 8 항에 있어서,
    상기 제 1 층에서 상기 제 1 금속 및 상기 제 2 금속의 농도 구배(gradient)를 형성하기 위해 상기 용적비를 가변시키는 단계를 더 포함하는, 기판을 처리하는 방법.
  10. 제 8 항에 있어서,
    상기 제 1 할로겐 함유 프리커서의 흐름을 중지시키고, 상기 제 2 금속을 포함하는 제 2 층을 상기 기판 상에 증착하는 단계를 더 포함하는, 기판을 처리하는 방법.
  11. 기판 상에 막을 증착하는 방법으로서,
    제 1 금속 할로겐화물 프리커서를 형성하기 위해 제 1 금속을 지나게(across) 제 1 수소-프리 할로겐 함유 가스를 흘려 보내는 단계;
    제 2 금속 할로겐화물 프리커서를 형성하기 위해 제 2 금속을 지나게 제 2 수소-프리 할로겐 함유 가스를 흘려 보내는 단계;
    상기 기판 상에 복수의 이산 핵형성 부위(discrete nucleation site)들을 증착하기 위해 상기 제 1 금속 할로겐화물 프리커서를 제 1 질소 소스와 반응시키는 단계 ? 각각의 핵형성 부위는 상기 제 1 금속을 포함함 ?; 및
    상기 제 2 금속을 포함하는 막을 상기 기판 상에 증착하기 위해 상기 제 2 금속 할로겐화물 프리커서를 제 2 질소 소스와 반응시키는 단계를 포함하는, 막을 증착하는 방법.
  12. 제 1 항, 제 3 항, 제 8 항, 또는 제 11 항 중 어느 한 항에 있어서,
    상기 제 1 수소-프리 할로겐 함유 가스 및 상기 제 2 수소-프리 할로겐 함유 가스는 각각, 불소 가스, 염소 가스, 브롬 가스, 요오드 가스, 이들의 혼합물들, 및 이들의 조합물들을 포함하는 그룹으로부터 선택되는, 방법.
  13. 제 1 항, 제 3 항, 제 8 항, 또는 제 11 항 중 어느 한 항에 있어서,
    상기 제 1 금속 및 상기 제 2 금속은 각각, 알루미늄, 갈륨, 인듐, 이들의 혼합물들, 이들의 조합물들, 및 이들의 합금들로 구성된 그룹으로부터 선택되는, 방법.
  14. 제 1 항, 제 3 항, 제 8 항, 또는 제 11 항 중 어느 한 항에 있어서,
    상기 기판은 사파이어, Al2O3, Si, SiC, LiAlO2, LiGaO2, ZnO, 이들의 혼합물들, 이들의 조합물들, 및 이들의 합금들로 구성된 그룹으로부터 선택된 재료를 포함하는, 방법.
  15. 제 1 항, 제 3 항, 제 8 항, 또는 제 11 항 중 어느 한 항에 있어서,
    상기 제 1 수소-프리 할로겐 함유 가스 및 상기 제 2 수소-프리 할로겐 함유 가스는 각각 염소 가스인, 방법.
  16. 제 15 항에 있어서,
    상기 제 1 금속은 고체 알루미늄이며, 상기 제 2 금속은 액체 갈륨인, 방법.
  17. 제 1 항, 제 7 항, 또는 제 11 항 중 어느 한 항에 있어서,
    상기 제 1 질소 소스 및 상기 제 2 질소 소스는 각각, 암모니아, 원격 플라즈마로부터 형성된 활성 질소 종들, 이들의 조합물들, 및 이들의 혼합물들로 구성된 그룹으로부터 선택되며, 상기 제 1 수소-프리 할로겐 함유 가스 및 상기 제 2 수소-프리 할로겐 함유 가스는 각각 염소 가스인, 방법.
KR1020117028591A 2009-04-29 2010-04-29 HVPE에서 인-시튜 사전-GaN 증착 층을 형성하는 방법 KR20120023040A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17390609P 2009-04-29 2009-04-29
US61/173,906 2009-04-29

Publications (1)

Publication Number Publication Date
KR20120023040A true KR20120023040A (ko) 2012-03-12

Family

ID=43030564

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117028591A KR20120023040A (ko) 2009-04-29 2010-04-29 HVPE에서 인-시튜 사전-GaN 증착 층을 형성하는 방법

Country Status (6)

Country Link
US (1) US20100279020A1 (ko)
JP (1) JP2012525718A (ko)
KR (1) KR20120023040A (ko)
CN (1) CN102414797A (ko)
TW (1) TW201039381A (ko)
WO (1) WO2010127156A2 (ko)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8129208B2 (en) * 2007-02-07 2012-03-06 Tokuyama Corporation n-Type conductive aluminum nitride semiconductor crystal and manufacturing method thereof
JP5378829B2 (ja) * 2009-02-19 2013-12-25 住友電気工業株式会社 エピタキシャルウエハを形成する方法、及び半導体素子を作製する方法
US20110263098A1 (en) * 2010-04-23 2011-10-27 Applied Materials, Inc. Hybrid deposition chamber for in-situ formation of group iv semiconductors & compounds with group iii-nitrides
US9076827B2 (en) 2010-09-14 2015-07-07 Applied Materials, Inc. Transfer chamber metrology for improved device yield
US8143147B1 (en) * 2011-02-10 2012-03-27 Intermolecular, Inc. Methods and systems for forming thin films
JP5736820B2 (ja) * 2011-02-15 2015-06-17 富士通株式会社 半導体製造装置の洗浄装置及びそれを用いた半導体装置の製造方法
US20120258580A1 (en) * 2011-03-09 2012-10-11 Applied Materials, Inc. Plasma-assisted mocvd fabrication of p-type group iii-nitride materials
US20120258581A1 (en) * 2011-03-09 2012-10-11 Applied Materials, Inc. Mocvd fabrication of group iii-nitride materials using in-situ generated hydrazine or fragments there from
CN103443901B (zh) * 2011-03-28 2017-09-15 应用材料公司 选择性沉积外延锗合金应力源的方法与设备
US8980002B2 (en) * 2011-05-20 2015-03-17 Applied Materials, Inc. Methods for improved growth of group III nitride semiconductor compounds
US8778783B2 (en) 2011-05-20 2014-07-15 Applied Materials, Inc. Methods for improved growth of group III nitride buffer layers
US8853086B2 (en) 2011-05-20 2014-10-07 Applied Materials, Inc. Methods for pretreatment of group III-nitride depositions
JP6185398B2 (ja) * 2014-01-31 2017-08-23 東京エレクトロン株式会社 窒化ガリウム系結晶の成長方法及び熱処理装置
JP2015156418A (ja) * 2014-02-20 2015-08-27 株式会社ニューフレアテクノロジー 気相成長方法
DE102014108352A1 (de) * 2014-06-13 2015-12-17 Forschungszentrum Jülich GmbH Verfahren zum Abscheiden einer Kristallschicht bei niedrigen Temperaturen, insbesondere einer photolumineszierenden IV-IV-Schicht auf einem IV-Substrat, sowie ein eine derartige Schicht aufweisendes optoelektronisches Bauelement
CN111527587B (zh) * 2017-12-19 2023-11-21 胜高股份有限公司 第iii族氮化物半导体基板的制备方法
EP3740306A4 (en) 2018-01-15 2021-10-13 Alliance for Sustainable Energy, LLC HYDRID-EXTENDED GROWTH RATES IN HYDRID VAPOR PHASE EPITAXY
US11535951B1 (en) * 2018-06-06 2022-12-27 United States Of America As Represented By The Secretary Of The Air Force Optimized thick heteroepitaxial growth of semiconductors with in-situ substrate pretreatment
US10573532B2 (en) * 2018-06-15 2020-02-25 Mattson Technology, Inc. Method for processing a workpiece using a multi-cycle thermal treatment process
US11056338B2 (en) 2018-10-10 2021-07-06 The Johns Hopkins University Method for printing wide bandgap semiconductor materials
US11823900B2 (en) 2018-10-10 2023-11-21 The Johns Hopkins University Method for printing wide bandgap semiconductor materials
EP3983572A4 (en) * 2019-06-13 2023-06-28 Alliance for Sustainable Energy, LLC Nitrogen-enabled high growth rates in hydride vapor phase epitaxy
CN111549375A (zh) * 2020-05-14 2020-08-18 华厦半导体(深圳)有限公司 一种可量产氮化镓的全立式hpve设备
EP4281996A1 (en) * 2021-01-19 2023-11-29 Alliance for Sustainable Energy, LLC Dynamic hvpe of compositionally graded buffer layers

Family Cites Families (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8332394D0 (en) * 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4851295A (en) * 1984-03-16 1989-07-25 Genus, Inc. Low resistivity tungsten silicon composite film
US4740606A (en) * 1986-07-01 1988-04-26 Morton Thiokol, Inc. Gallium hydride/trialkylamine adducts, and their use in deposition of III-V compound films
US4763602A (en) * 1987-02-25 1988-08-16 Glasstech Solar, Inc. Thin film deposition apparatus including a vacuum transport mechanism
US5348911A (en) * 1987-06-30 1994-09-20 Aixtron Gmbh Material-saving process for fabricating mixed crystals
US4792467A (en) * 1987-08-17 1988-12-20 Morton Thiokol, Inc. Method for vapor phase deposition of gallium nitride film
USD329839S (en) * 1990-01-31 1992-09-29 Hohner Automation Societe Anonyme Incremental coder
EP0576566B1 (en) * 1991-03-18 1999-05-26 Trustees Of Boston University A method for the preparation and doping of highly insulating monocrystalline gallium nitride thin films
WO1992022084A1 (en) * 1991-05-21 1992-12-10 Advantage Production Technology, Inc. Organic preclean for improving vapor phase wafer etch uniformity
US5376580A (en) * 1993-03-19 1994-12-27 Hewlett-Packard Company Wafer bonding of light emitting diode layers
US5647911A (en) * 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5679152A (en) * 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
JPH09501612A (ja) * 1994-04-08 1997-02-18 マーク エー. レイ, 選択的プラズマ成長
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5715361A (en) * 1995-04-13 1998-02-03 Cvc Products, Inc. Rapid thermal processing high-performance multizone illuminator for wafer backside heating
JPH0945670A (ja) * 1995-07-29 1997-02-14 Hewlett Packard Co <Hp> Iii族−n系結晶の気相エッチング方法および再成長方法
US5667592A (en) * 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6270569B1 (en) * 1997-06-11 2001-08-07 Hitachi Cable Ltd. Method of fabricating nitride crystal, mixture, liquid phase growth method, nitride crystal, nitride crystal powders, and vapor phase growth method
AUPP014297A0 (en) * 1997-11-03 1997-11-27 Ark Engineering Pty Ltd Submersible lamp
US6464843B1 (en) * 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6086673A (en) * 1998-04-02 2000-07-11 Massachusetts Institute Of Technology Process for producing high-quality III-V nitride substrates
WO1999066565A1 (en) * 1998-06-18 1999-12-23 University Of Florida Method and apparatus for producing group-iii nitrides
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6413839B1 (en) * 1998-10-23 2002-07-02 Emcore Corporation Semiconductor device separation using a patterned laser projection
US6373114B1 (en) * 1998-10-23 2002-04-16 Micron Technology, Inc. Barrier in gate stack for improved gate dielectric integrity
KR100304664B1 (ko) * 1999-02-05 2001-09-26 윤종용 GaN막 제조 방법
US6309465B1 (en) * 1999-02-18 2001-10-30 Aixtron Ag. CVD reactor
US6540838B2 (en) * 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6713789B1 (en) * 1999-03-31 2004-03-30 Toyoda Gosei Co., Ltd. Group III nitride compound semiconductor device and method of producing the same
EP1879213B1 (en) * 1999-05-26 2012-03-14 Tokyo Electron Limited Plasma processing apparatus
US6475277B1 (en) * 1999-06-30 2002-11-05 Sumitomo Electric Industries, Ltd. Group III-V nitride semiconductor growth method and vapor phase growth apparatus
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6569765B1 (en) * 1999-08-26 2003-05-27 Cbl Technologies, Inc Hybrid deposition system and methods
US6489241B1 (en) * 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US6897119B1 (en) * 1999-12-22 2005-05-24 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6551399B1 (en) * 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
WO2001057289A1 (de) * 2000-02-04 2001-08-09 Aixtron Ag Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat
TW518767B (en) * 2000-03-31 2003-01-21 Toyoda Gosei Kk Production method of III nitride compound semiconductor and III nitride compound semiconductor element
ATE518239T1 (de) * 2000-04-17 2011-08-15 Mattson Tech Inc Verfahren zur uv-vorbehandlung von ultradünnem oxynitrid zur herstellung von siliziumnitridschichten
US6616870B1 (en) * 2000-08-07 2003-09-09 Shipley Company, L.L.C. Method of producing high aspect ratio domes by vapor deposition
JP4374156B2 (ja) * 2000-09-01 2009-12-02 日本碍子株式会社 Iii−v族窒化物膜の製造装置及び製造方法
DE10048759A1 (de) * 2000-09-29 2002-04-11 Aixtron Gmbh Verfahren und Vorrichtung zum Abscheiden insbesondere organischer Schichten im Wege der OVPD
DE10056029A1 (de) * 2000-11-11 2002-05-16 Aixtron Ag Verfahren und Vorrichtung zur Temperatursteuerung der Oberflächentemperaturen von Substraten in einem CVD-Reaktor
ATE528421T1 (de) * 2000-11-30 2011-10-15 Univ North Carolina State Verfahren zur herstellung von gruppe-iii- metallnitrid-materialien
US6905547B1 (en) * 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
US6656272B2 (en) * 2001-03-30 2003-12-02 Technologies And Devices International, Inc. Method of epitaxially growing submicron group III nitride layers utilizing HVPE
DE10118130A1 (de) * 2001-04-11 2002-10-17 Aixtron Ag Vorrichtung oder Verfahren zum Abscheiden von insbesondere kristallinen Schichten auf insbesondere kristallinen Substraten aus der Gasphase
KR100387242B1 (ko) * 2001-05-26 2003-06-12 삼성전기주식회사 반도체 발광소자의 제조방법
US7501023B2 (en) * 2001-07-06 2009-03-10 Technologies And Devices, International, Inc. Method and apparatus for fabricating crack-free Group III nitride semiconductor materials
US6936357B2 (en) * 2001-07-06 2005-08-30 Technologies And Devices International, Inc. Bulk GaN and ALGaN single crystals
US7211833B2 (en) * 2001-07-23 2007-05-01 Cree, Inc. Light emitting diodes including barrier layers/sublayers
US7659209B2 (en) * 2001-11-14 2010-02-09 Canon Anelva Corporation Barrier metal film production method
WO2003054929A2 (de) * 2001-12-21 2003-07-03 Aixtron Ag Verfahren zum abscheiden von iii-v-halbleiterschichten auf einem nicht-iii-v-substrat
DE10163394A1 (de) * 2001-12-21 2003-07-03 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden kristalliner Schichten und auf kristallinen Substraten
JP3803788B2 (ja) * 2002-04-09 2006-08-02 農工大ティー・エル・オー株式会社 Al系III−V族化合物半導体の気相成長方法、Al系III−V族化合物半導体の製造方法ならびに製造装置
AUPS240402A0 (en) * 2002-05-17 2002-06-13 Macquarie Research Limited Gallium nitride
KR100568701B1 (ko) * 2002-06-19 2006-04-07 니폰덴신뎅와 가부시키가이샤 반도체 발광 소자
US6869876B2 (en) * 2002-11-05 2005-03-22 Air Products And Chemicals, Inc. Process for atomic layer deposition of metal films
US7115896B2 (en) * 2002-12-04 2006-10-03 Emcore Corporation Semiconductor structures for gallium nitride-based devices
US7018940B2 (en) * 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP4377600B2 (ja) * 2003-03-24 2009-12-02 株式会社東芝 3族窒化物半導体の積層構造、その製造方法、及び3族窒化物半導体装置
US7061065B2 (en) * 2003-03-31 2006-06-13 National Chung-Hsing University Light emitting diode and method for producing the same
JP4026529B2 (ja) * 2003-04-10 2007-12-26 東京エレクトロン株式会社 シャワーヘッド構造及び処理装置
JP2007525822A (ja) * 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド ガス分配システム
US7777241B2 (en) * 2004-04-15 2010-08-17 The Trustees Of Boston University Optical devices featuring textured semiconductor layers
US7366368B2 (en) * 2004-06-15 2008-04-29 Intel Corporation Optical add/drop interconnect bus for multiprocessor architecture
EP1809788A4 (en) * 2004-09-27 2008-05-21 Gallium Entpr Pty Ltd METHOD AND APPARATUS FOR GROWING GROUP (III) METAL NITRIDE FILM AND GROUP (III) METAL FILM
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
DE102004058521A1 (de) * 2004-12-04 2006-06-14 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von dicken Gallium-Nitrit-Schichten auf einem Saphirsubstrat und zugehörigen Substrathalter
KR101145753B1 (ko) * 2005-03-10 2012-05-16 재팬 사이언스 앤드 테크놀로지 에이젼시 평면의 반극성 갈륨 질화물의 성장을 위한 기술
US7195934B2 (en) * 2005-07-11 2007-03-27 Applied Materials, Inc. Method and system for deposition tuning in an epitaxial film growth apparatus
US7897490B2 (en) * 2005-12-12 2011-03-01 Kyma Technologies, Inc. Single crystal group III nitride articles and method of producing same by HVPE method incorporating a polycrystalline layer for yield enhancement
JP5896442B2 (ja) * 2006-01-20 2016-03-30 国立研究開発法人科学技術振興機構 Iii族窒化物膜の成長方法
US7364991B2 (en) * 2006-04-27 2008-04-29 Applied Materials, Inc. Buffer-layer treatment of MOCVD-grown nitride structures
US7585769B2 (en) * 2006-05-05 2009-09-08 Applied Materials, Inc. Parasitic particle suppression in growth of III-V nitride films using MOCVD and HVPE
US20080050889A1 (en) * 2006-08-24 2008-02-28 Applied Materials, Inc. Hotwall reactor and method for reducing particle formation in GaN MOCVD
CN101604665A (zh) * 2007-07-20 2009-12-16 镓力姆企业私人有限公司 用于氮化物基膜以及其制造的掩埋接触器件
WO2009035648A1 (en) * 2007-09-14 2009-03-19 Kyma Technologies, Inc. Non-polar and semi-polar gan substrates, devices, and methods for making them
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
KR100888440B1 (ko) * 2007-11-23 2009-03-11 삼성전기주식회사 수직구조 발광다이오드 소자의 제조방법
US20090194026A1 (en) * 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
CA2653581A1 (en) * 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition

Also Published As

Publication number Publication date
US20100279020A1 (en) 2010-11-04
WO2010127156A3 (en) 2011-02-24
JP2012525718A (ja) 2012-10-22
CN102414797A (zh) 2012-04-11
TW201039381A (en) 2010-11-01
WO2010127156A2 (en) 2010-11-04

Similar Documents

Publication Publication Date Title
KR20120023040A (ko) HVPE에서 인-시튜 사전-GaN 증착 층을 형성하는 방법
US8110889B2 (en) MOCVD single chamber split process for LED manufacturing
US8138069B2 (en) Substrate pretreatment for subsequent high temperature group III depositions
US8183132B2 (en) Methods for fabricating group III nitride structures with a cluster tool
US8778783B2 (en) Methods for improved growth of group III nitride buffer layers
US8853086B2 (en) Methods for pretreatment of group III-nitride depositions
US8980002B2 (en) Methods for improved growth of group III nitride semiconductor compounds
US20110033966A1 (en) Growth of n-face led with integrated processing system
TWI496935B (zh) Mocvd腔室在原位清潔後利用nh3淨化之去汙染
US20110081771A1 (en) Multichamber split processes for led manufacturing
KR20130046333A (ko) 핵생성 층을 포함하는 질화물 화합물 구조물의 형성
WO2010129289A2 (en) Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
JP5759690B2 (ja) 膜の形成方法、半導体装置の製造方法及び基板処理装置
US7943492B2 (en) Method of forming nitride film and nitride structure
CN115087766B (zh) 氮化镓的气相生长装置及制造方法

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid