KR20130046333A - 핵생성 층을 포함하는 질화물 화합물 구조물의 형성 - Google Patents

핵생성 층을 포함하는 질화물 화합물 구조물의 형성 Download PDF

Info

Publication number
KR20130046333A
KR20130046333A KR1020117030756A KR20117030756A KR20130046333A KR 20130046333 A KR20130046333 A KR 20130046333A KR 1020117030756 A KR1020117030756 A KR 1020117030756A KR 20117030756 A KR20117030756 A KR 20117030756A KR 20130046333 A KR20130046333 A KR 20130046333A
Authority
KR
South Korea
Prior art keywords
group iii
processing chamber
nitride layer
iii nitride
chamber
Prior art date
Application number
KR1020117030756A
Other languages
English (en)
Inventor
자이 수
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20130046333A publication Critical patent/KR20130046333A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0062Processes for devices with an active region comprising only III-V compounds
    • H01L33/0066Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound
    • H01L33/007Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound comprising nitride compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02455Group 13/15 materials
    • H01L21/02458Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 일반적으로 LED 구조물을 형성하기 위한 장치 및 방법을 제공한다. 사파이어 기판이 선택되는 일 실시예에서, 벌크 Ⅲ족 질화물의 성장은 MVPE 또는 MOCVD 챔버에서 증착될 수 있는 반면에, PVD, MOCVD, CVD, 또는 ALD 챔버와 같은 별도의 처리 챔버가 낮은 성장률로 사파이어 기판 상에 버퍼 층을 성장시키는데 사용될 수 있다. 버퍼 층은 GaN, AlN, AlGaN, InGaN, 또는 InAlGaN일 수 있다. 실리콘계 기판이 선택되는 다른 실시예에서, 벌크 Ⅲ족 질화물의 성장은 무-알루미늄 환경이 내부에 제공되는 HVPE 또는 MOCVD 챔버 내에서 증착될 수 있는 반면에, 무-Ga 환경을 갖는 별도의 처리 챔버가 실리콘계 기판 상에 Al, AlN, 또는 SiN과 같은 무-Ga 버퍼 층을 성장시키는데 사용된다. 상기 별도의 처리 챔버는 PVD, CVD, MOCVD, 플라즈마 보조 MOCVD, 또는 다른 기상 증착 기술일 수 있다.

Description

핵생성 층을 포함하는 질화물 화합물 구조물의 형성 {FORMING A COMPOUND-NITRIDE STRUCTURE THAT INCLUDES A NUCLEATION LAYER}
본 발명의 실시예들은 일반적으로 발광 다이오드(LEDs), 레이저 다이오드(LDs)와 같은 소자들의 제조에 관한 것이며, 보다 구체적으로는 Ⅲ-Ⅴ 족재료를 형성하기 위한 공정에 관한 것이다.
Ⅲ-Ⅴ족 재료는 단파장 LEDs, LDs, 및 고전력, 고주파수, 고온 트랜지스터 및 집적 회로를 포함한 전자 소자들과 같은 다양한 반도체 소자들의 발전 및 제조에 더욱 커진 중요성이 발견되고 있다. 예를 들어, 단파장(예를 들어, 청색/녹색 내지 자외선) LEDs는 Ⅲ족 질화물 반도체 재료인 갈륨 질화물(GaN)을 사용하여 제조된다. GaN을 사용하여 제조된 단파장 LEDs는 Ⅱ-Ⅵ족 원소를 포함한 비-질화물 반도체 재료를 사용하여 제조된 단파장 LEDs보다 상당히 더 높은 효율과 보다 긴 작동 수명을 제공할 수 있다는 것이 관찰되었다.
GaN과 같은 Ⅲ족 질화물을 증착하는데 사용된 하나의 방법은 유기 금속 화학 기상 증착(MOCVD)이다. 이러한 화학 기상 증착 방법은 일반적으로, 갈륨(Ga)과 같은 Ⅲ족으로부터의 하나 이상의 원소를 함유하는 제 1 전구체 가스의 안정성을 보장하기 위한 온도 제어 환경을 갖는 반응로 내에서 수행된다. 암모니아(NH3)와 같은 제 2 전구체 가스는 Ⅲ족 질화물을 형성하는데 필요한 질소를 제공한다. 상기 두 개의 전구체 가스들은 반응로 내의 처리 영역 내측으로 주입되며, 상기 처리 영역에서 이들 가스들이 혼합되고 상기 처리 영역 내의 가열된 기판 쪽으로 이동한다. 캐리어 가스는 기판 쪽으로 전구체 가스들을 이동시키는데 도움을 주기 위해 사용될 수 있다. 상기 전구체 가스들은 가열된 기판의 표면에서 반응하여 기판 표면 상에 GaN과 같은 Ⅲ족 질화물 층을 형성한다. 필름의 품질은 증착 균일도에 일부 의존하는데, 이러한 증착 균일도는 차례로, 기판을 가로지르는 전구체의 균일한 유동 및 혼합에 의존한다.
스펙트럼의 청색 영역에 광발광(photoluminescence)을 형성하기 위해 GaN을 사용하는 가능성이 수십 년간 공지되었지만, 거기에는 이들의 실제 제조를 방해하는 다수의 난관들이 있었다. 예를 들어, 격자 상수, 열 팽창 계수, 및 계간 표면 에너지와 같은, 사파이어 기판과 Ⅲ족 질화물 층 간의 재료 차이는 사파이어 기판과 Ⅲ족 질화물 층 간의 격자 불일치에 의해 생성되는 유도 응력으로 인해, 구조물을 통해 전파될 수 있으며 장치 성능을 열화시킬 수 있는 전위(dislocation)를 생성할 수 있다. 하부 기판의 표면 에너지를 변경시키고, 격자 일치된 질화물 층들 내의 고유 응력을 경감시키며, 다음 층의 에피택셜 성장을 위한 핵생성 위치를 제공하기 위해 다양한 형태의 버퍼 층들이 기판과 Ⅲ족 질화물 층 사이에 사용되었다. 그러나, 종래의 Ⅲ족 질화물의 품질은 일반적으로 만족되지 못했는데, 이는 버퍼 층의 두께, 아일랜드 밀도(island density), 아일랜드 크기 등과 같은 필름 특성들이 항상 일정하지 못했기 때문이다. 핵생성 중에 성장 변수들에서 어떤 미소한 변화가 질화물 층의 품질에 쉽게 영향을 끼칠 수 있으며, 이는 차례로 융합(coalescence) 이전에 핵생성 아일랜드의 비틀림이나 불일치를 초래하여 벌크(bulk) Ⅲ족 질화물의 성장에 악영향을 끼친다.
LEDs, LDs, 트랜지스터, 및 집적 회로에 대한 요구가 증대되면서, 고품질의 Ⅲ족 질화물 필름을 증착시키기 위한 과제가 더욱더 중요해졌다. 그러므로, 기판 위의 버퍼 층의 품질 및 Ⅲ족 질화물의 성장을 개선할 수 있는 공정 및 장치에 대한 필요성이 제기되었다.
일 실시예에서, 질화물계 반도체 화합물 구조물을 제조하는 방법이 제공된다. 상기 방법은 제 1 처리 챔버 내에서 하나 또는 그보다 많은 기판 위에 Ⅲ족 질화물 버퍼 층을 형성하는 단계와, 상기 버퍼 층 위에 제 1 Ⅲ족 질화물 층을 형성하기 위해 상기 하나 또는 그보다 많은 기판을 주위 대기 환경에 노출함이 없이 상부에 증착된 Ⅲ족 질화물 버퍼 층을 갖는 하나 또는 그보다 많은 기판을 제 2 처리 챔버 내측으로 이송하는 단계와, 제 3 처리 챔버 내에서 상기 Ⅲ족 질화물 버퍼 층 위에 InGaN 다중-양자샘(multi-quantum well; MQW) 활성 층을 형성하는 단계와, 제 4 처리 챔버 내에서 InGaN MQW 활성 층 상에 p-AlGaN 층을 형성하는 단계, 및 상기 p-AlGaN 층 위에 제 2 Ⅲ족 질화물 층을 형성하는 단계를 포함한다. 상기 Ⅲ족 질화물 버퍼 층은 GaN, AlN, AlGaN, InGaN, 또는 InAlGaN일 수 있으며, 용도에 따라 n-형 또는 p-형 도펀트 원소로 언도핑 또는 도핑될 수 있다. 상기 제 1 처리 챔버는 PVD, MOCVD, CVD, ALD, 또는 어떤 다른 형태의 유사한 증착 챔버일 수 있다. 제 2 처리 챔버는 MOCVD 또는 HVPE 챔버일 수 있다. 제 3 처리 챔버는 MOCVD 챔버일 수 있다. 제 4 처리 챔버는 MOCVD 또는 HVPE 챔버일 수 있다.
다른 실시예에서, 질화물계 반도체 화합물 구조물을 제조하기 위한 방법이 제공된다. 상기 방법은 무-Ga 환경(Ga-free environment)을 포함하는 제 1 처리 챔버 내에서 하나 또는 그보다 많은 실리콘계 기판 위에 버퍼 층을 형성하는 단계, 및 제 2 처리 챔버 내에서 상기 버퍼 층 위에 벌크 Ⅲ-Ⅴ족 층을 형성하기 위해 상기 하나 또는 그보다 많은 기판을 주위 대기 환경에 노출함이 없이 무-Al 환경을 포함하는 제 2 처리 챔버 내측으로 상부에 증착된 상기 버퍼 층을 갖는 상기 하나 또는 그보다 많은 실리콘계 기판을 이송하는 단계를 포함한다. 일 예에서, 상기 버퍼 층은 용도에 따라 n-형 또는 p-형 도펀트 원소로 언도핑 또는 도핑될 수 있는 Al, AlN, 또는 SiN 중 적어도 하나를 포함할 수 있다. 상기 제 1 처리 챔버는 MOCVD, PVD, CVD, ALD 챔버, 또는 어떤 다른 형태의 증착 챔버일 수 있다. 제 2 처리 챔버는 MOCVD 또는 HVPE 챔버일 수 있다. 다른 예에서, Al, AlN, 또는 SiN을 포함하는 부동태 층이 실리콘계 기판의 표면 상에 증착될 수 있으며, 계속해서 용도에 따라 n-형 또는 p-형 도펀트 원소로 언도핑 또는 도핑될 수 있는 GaN 버퍼 층이 뒤이어 증착된다. 상기 부동태 층 및 버퍼 층은 동일하거나 상이한 처리 챔버 내에서 증착될 수 있다.
하나의 다른 실시예에서, 질화물계 반도체 화합물 소자를 처리하기 위한 처리 시스템이 제공된다. 상기 처리 시스템은 하나 또는 그보다 많은 기판의 표면 상에 버퍼 층을 증착하도록 구성되는 제 1 처리 챔버와, 인풋 영역으로부터 상기 제 1 처리 챔버로 상기 하나 또는 그보다 많은 기판을 이송하도록 구성되는 제 1 기판 취급 시스템과, 상기 하나 또는 그보다 많은 기판 상에 형성된 버퍼 층 위에 하나 또는 그보다 많은 Ⅲ-Ⅴ족 층을 증착하도록 구성되는 제 2 처리 챔버, 및 상기 하나 또는 그보다 많은 기판을 주위 대기 환경에 노출함이 없이 상기 제 1 처리 챔버와 제 2 처리 챔버 사이로 상기 하나 또는 그보다 많은 기판을 이송하도록 구성되는 자동 이송 시스템을 포함한다. 일 예에서, 상기 제 1 처리 챔버는 MOCVD, PVD, CVD, ALD 챔버, 또는 어떤 다른 기상 증착 챔버일 수 있다. 제 2 처리 챔버는 MOCVD 또는 HVPE 챔버일 수 있다.
또 다른 실시예에서, 질화물계 반도체 화합물 소자를 처리하기 위한 통합 처리 시스템이 제공된다. 상기 통합 처리 시스템은 이송 영역, 하나 또는 그보다 많은 기판을 주위 대기 환경에 노출함이 없이 하나 또는 그보다 많은 기판을 이송하기 위해 상기 이송 영역 내에 배열되는 로봇 조립체, 상기 이송 영역과 이송가능하게 연결되고 상기 하나 또는 그보다 많은 기판 위에 버퍼 층을 형성하도록 구성되는 기상 증착 챔버와, 상기 이송 영역과 이송가능하게 연결되고 상기 하나 또는 그보다 많은 기판 위에 n-도핑 및/또는 p-도핑 갈륨 질화물(GaN) 층을 형성하도록 구성되는 수소화물 기상 에피택셜(HVPE) 챔버, 및 상기 이송 영역과 이송가능하게 연결되고 n-도핑 및 p-도핑 갈륨 질화물(GaN) 층 사이에 InGaN 층을 형성하도록 구성되는 유기 금속 화학 기상 증착(MOCVD) 챔버를 포함한다.
본 발명의 전술한 특징들이 구체적으로 이해될 수 있는 방식으로, 위에서 간단히 요약된 본 발명에 대한 더욱 특별한 설명을 위해 일부가 첨부 도면에 도시된 실시예들이 참조될 수 있다. 그러나, 첨부 도면은 단지 본 발명의 전형적인 실시예들만을 도시한 것이므로, 본 발명의 범주를 한정하는 것으로 이해해서는 안 되며 본 발명에 대한 다른 균등한 유효한 실시예들이 있을 수 있다는 것에 주목해야 한다.
도 1은 예시적인 GaN계 발광 다이오드(LEDs)의 구조물에 대한 개략적인 도면이며,
도 2는 본 발명에서 설명되는 본 발명의 실시예들에 따른, 질화물 반도체 화합물 소자들을 제조하기 위한 처리 시스템의 일 실시예를 도시하는 개략적인 평면도이며,
도 3은 본 발명에서 설명되는 본 발명의 실시예들에 따른, 질화물 반도체 화합물 소자들을 제조하기 위한 유기 금속 화학 기상 증착(MOCVD) 챔버의 개략적인 횡단면도이며,
도 4a는 본 발명의 실시예들에 따른, 질화물 반도체 화합물 소자들을 제조하기 위한 수소화물 기상 에피택시(HVPE) 챔버의 개략적인 등각도이며,
도 4b는 본 발명의 실시예들에 따른, 질화물 반도체 화합물 소자들을 제조하기 위한 HVPE 챔버의 개략적인 횡단면도이며,
도 5는 본 발명의 일 실시예에 따른 처리 시퀀스에 대한 흐름도이며,
도 6은 본 발명의 다른 실시예에 따른 처리 시퀀스에 대한 흐름도이다.
본 발명에서 설명되는 본 발명의 실시예들은 일반적으로, 유기금속 화학 기상 증착(MOCVD), 수소화물 기상 에피택셜(HVPE), 물리 기상 증착(PVD), 화학 기상 증착(CVD), 및/또는 원자층 증착(ALD) 공정들을 사용하여 Ⅲ-Ⅴ족 재료를 형성하기 위한 방법에 관한 것이다. 사파이어 기판이 선택되는 일 실시예에서, 두꺼운 Ⅲ족 질화물의 성장 층이 HVPE 또는 MOCVD 챔버 내에서 증착될 수 있는 반면에, PVD, MOCVD, CVD, 또는 ALD 챔버와 같은 별도의 처리 챔버들이 낮은 성장률로 사파이어 기판 상에 버퍼 층을 성장시키는데 사용될 수 있으며, 상기 버퍼 층은 때때로 핵생성 층으로 지칭된다. 상기 버퍼 층은 도핑 또는 언도핑될 수 있는 GaN, AlN, AlGaN, InGaN 또는 InAlGaN일 수 있다.
실리콘계 기판이 선택되는 다른 실시예에서, 두꺼운 Ⅲ족 질화물의 성장 층이 무-Al 환경이 내부에 제공되는 HVPE 또는 MOCVD 챔버 내에서 증착될 수 있는 반면에, 무-Ga 환경을 갖는 별도의 처리 챔버가 Ⅲ족 질화물 층과 실리콘계 기판 사이에 Al, AlN, 또는 SiN과 같은 무-Ga 버퍼 층을 성장시키는데 사용된다. 그와 같은 실시예에서, 별도의 처리 챔버는 무-Ga 버퍼 층을 증착시키기 위한 PVD, CVD, MOCVD, 플라즈마 보조 MOCVD, 또는 다른 유사한 기상 증착 기술을 사용할 수 있다. 전용 처리 챔버는 버퍼 층의 필름 특성들을 개선하는데 도움을 줄 것으로 판단되는데, 이는 아일랜드 밀도, 아일랜드 크기, 두께 등과 같은 버퍼 층의 성장 특성들이 보다 양호하게 제어되며, 이는 차례로 실리콘계 기판과 기판 위에 증착된 Ⅲ족 질화물 층 사이의 양호한 통합(integration)을 초래하기 때문이다. 또한, 이들 분리형 챔버들을 포함하는 시스템의 생산성은 종래의 단일 챔버 설계에 비해 증대되는데, 이는 버퍼 층과 벌크 Ⅲ족 질화물 층이 동일 챔버 내에서 형성되는 경우라면, 분리형 챔버들과는 달리, 필요로 할 수 있는 증대된 횟수의 세정 및 공정 조절에 대한 필요성이 제거되기 때문이다.
예시적인 하드웨어
도 2는 본 발명의 적어도 하나의 실시예에 따른 질화물 반도체 화합물 소자들을 제조하는데 사용될 수 있는 예시적인 처리 시스템(200)의 개략적인 평면도이다. 도 5에 대해 이후에 설명되는 공정들도 또한, 다른 적합한 처리 챔버들 내에서 수행될 수 있다고 이해해야 한다. 처리 시스템(200) 내의 환경은 진공 상태 또는 대기압 미만의 압력으로 유지될 수 있다. 임의의 실시예에서 질소와 같은 불활성 가스로 처리 시스템(200)을 다시 충전하는 것(backfill)도 바람직할 수 있다.
처리 시스템(200)은 일반적으로, 기판 핸들러(도시 않음)를 수용하는 이송 챔버(206), 상기 이송 챔버(206)에 연결되는, 제 1 MOCVD 챔버(202a), 제 2 MOCVD 챔버(202b) 및 제 3 MOCVD 챔버(202c), 상기 이송 챔버(206)에 연결되는 로드록 챔버(208), 상기 이송 챔버(206)에 연결되고 기판을 저장하기 위한 배치 로드록 챔버(209), 및 상기 로드록 챔버(208)에 연결되고 기판을 로딩하기 위한 로드 스테이션(210)을 포함한다. 상기 이송 챔버(206)는 로드록 챔버(208), 배치 로드록 챔버(209), 및 MOCVD 챔버(202a-c) 사이로 기판을 픽업하고 이송하는 작동을 할 수 있는 로봇 조립체(도시 않음)를 포함한다. 3 개의 MOCVD 챔버(202a,202b,202c)가 도시되어 있지만, 임의의 수의 MOCVD 챔버들이 이송 챔버(206)에 연결될 수 있다고 이해해야 한다. 또한, 챔버(202a,202b,202c)들은 (이후에 설명되는 도 3에 도시된 MOCVD 챔버(300)와 같은)하나 또는 그보다 많은 MOCVD 챔버들과 상기 이송 챔버(206)에 연결되는 (도 4a 및 도 4b에 도시된 챔버(400,401)와 같은)하나 또는 그보다 많은 수소화물 기상 에피택셜(HVPE) 챔버들과의 조합체들 일 수 있다. 이와는 달리, 처리 시스템(200)은 이송 챔버가 없는 인-라인 시스템일 수 있다. 다양한 실시예에서, PVD, CVD, 또는 ALD 챔버가 추가로 포함되거나 용도에 따라 이송 챔버(206)에 연결되는 MOCVD 또는 HVPE 챔버들 중의 하나로 대체될 수 있다.
각각의 MOCVD 챔버(202a,202b,202c)는 일반적으로, 기판이 처리되도록 놓여지는 처리 영역을 형성하는 챔버 몸체(212a,212b,212c)와, 가스 전구체가 챔버 몸체(212a,212b,212c)로 분배되는 화학약품 분배 모듈(216a,216b,216c), 및 처리 시스템(200)의 각각의 MOCVD 챔버를 위한 전기 시스템을 포함하는 각각의 MOCVD 챔버(202a,202b,202c)용 전기 모듈(220a,220b,220c)을 포함한다. 각각의 MOCVD 챔버(202a,202b,202c)는 CVD 공정들을 수행하도록 구성되며, CVD 공정에서 예를 들어, 유기금속 원소들이 금속 수소화물 원소들과 반응하여 질화물 반도체 화합물 재료의 얇은 층을 형성한다.
상기 이송 챔버(206)는 처리 중에 대기압 미만의 압력 또는 진공 하에서 유지될 수 있다. 이송 챔버(206)의 진공 레벨은 MOCVD 챔버(202a)의 진공 레벨과 일치되도록 조절될 수 있다. 예를 들어, 이송 챔버(206)로부터 MOCVD 챔버(202a)로 (또는 역으로)기판을 이송할 때, 이송 챔버(206) 및 MOCVD 챔버(202a)는 동일한 진공 레벨로 유지될 수 있다. 그 후, 이송 챔버(206)로부터 로드록 챔버(208) 또는 배치 로드록 챔버(209)로 (또는 역으로)기판을 이송할 때, 이송 챔버의 진공 레벨은 비록 로드록 챔버(208) 또는 배치 로드록 챔버(209) 및 MOCVD 챔버(202a)의 진공 레벨이 상이할 수 있더라도 로드록 챔버(208) 또는 배치 로드록 챔버(209)의 진공 레벨과 일치될 수 있다. 임의의 실시예에서, 이송 챔버(206)를 질소와 같은 불활성 가스로 다시 충전하는 것이 바람직할 수 있다. 예를 들어, 기판은 90% 이상의 N2 또는 NH3를 갖는 환경에서 이송될 수 있다. 이와는 달리, 기판은 90% 이상의 H2를 갖는 환경과 같은 고순도 H2 환경으로 이송될 수 있다.
처리 시스템(200)에서, 로봇 조립체는 제 1 증착 공정이 수행되도록 제 1 MOCVD 챔버(202a) 내측으로 하나 또는 그보다 많은 기판이 로딩되어 있는 캐리어 판(250)을 이송한다. 캐리어 판은 200 mm 내지 750 mm 범위일 수 있다. 기판 캐리어는 SiC 또는 SiC-코팅된 흑연을 포함하는 다양한 재료로부터 형성될 수 있다. 일 실시예에서, 캐리어 판(250)은 실리콘 카바이드 재료를 포함하며 약 1000 ㎠ 또는 그보다 큰, 바람직하게 2000 ㎠ 또는 그보다 큰, 더 바람직하게 4000 ㎠ 또는 그보다 큰 표면적을 가진다. 캐리어 판의 예시적인 실시예들은 "개선된 광발광 균일성을 위한 웨이퍼 캐리어 설계"란 명칭으로 2009년 8월 28일자로 출원된 미국 특허출원 번호 12/871,143호에 더 설명되어 있다. 로봇 조립체는 제 2 증착 공정을 수행하도록 캐리어 판(250)을 제 2 MOCVD 챔버(202b) 내측으로 이송한다. 로봇 조립체는 제 3 증착 공정을 수행하도록 캐리어 판(250)을 제 1 MOCVD 챔버(202a) 또는 제 3 MOCVD 챔버(202c) 중 어느 하나로 이송한다. 모든 또는 일부의 증착 단계들이 완료된 이후에, 캐리어 판(250)은 MOCVD 챔버(202a-202c)로부터 다시 로드록 챔버(208)로 이송된다. 캐리어 판(250)은 그 후 로드 스테이션(210)으로 이송된다. 이와는 달리, 캐리어 판(250)은 MOCVD 챔버(202a-202c)들 중의 하나 또는 그보다 많은 챔버 내에서의 추가의 처리 이전에 로드록 챔버(208) 또는 배치 로드록 챔버(209) 중 어느 하나의 내부에 저장될 수 있다. 하나의 예시적인 시스템은 "질화물 반도체 화합물 소자들의 제조를 위한 처리 시스템"이란 명칭으로 2008년 1월 31일자로 출원된 미국 특허출원번호 12/023,572호에 설명되어 있으며, 이는 전체적으로 본 발명에 참조되었다.
시스템 제어기(260)는 처리 시스템(200)의 작동성 및 작동 변수들을 제어한다. 시스템 제어기(260)는 컴퓨터 프로세서, 지원 회로 및 컴퓨터 프로세서에 연결된 컴퓨터-판독가능한 메모리를 포함한다. 컴퓨터 프로세서는 메모리 내에 저장된 컴퓨터 프로그램과 같은 시스템 제어 소프트웨어를 실행한다. 처리 시스템 및 그 사용 방법에 대한 일면들은 "질화물 화합물 구조물의 에피택셜 성장"이란 명칭으로 2006년 4월 14일자로 출원되어 현재 US 2007/024516호로 공개된 미국 특허출원 번호 11/404,516호에 더 설명되어 있으며, 이는 전체적으로 본 발명에 참조되었다.
예시적인 MOCVD 챔버
도 3은 본 발명의 적어도 일 실시예에 따른 질화물 반도체 화합물 소자를 제조하는데 사용될 수 있는 MOCVD 챔버(300)의 개략적인 횡단면도이다. MOCVD 챔버(300)는 시스템(200)을 참조하여 전술한 바와 같은 챔버(202a, 202 또는 202c)들 중의 하나 또는 그보다 많은 챔버일 수 있다. MOCVD 챔버(300)는 일반적으로, 챔버 몸체(302), 전구체 가스, 캐리어 가스, 세정 가스, 및/또는 퍼지 가스들을 분배하기 위한 화학약품 분배 모듈(316), 플라즈마 소오스를 갖는 원격 플라즈마 시스템(326), 서셉터 또는 기판 지지대(314), 및 진공 시스템(312)을 포함한다. MOCVD 챔버(300)의 챔버 몸체(302)는 처리 영역(308)을 에워싼다. 샤워헤드 조립체(304)는 처리 영역(308)의 일 단부에 배열되며, 캐리어 판(250)은 처리 영역(308)의 타 단부에 배열된다. 캐리어 판(250)은 기판 지지대(314) 상에 배열될 수 있다.
일 실시예에서, 샤워헤드 조립체(304)는 제 1 전구체 또는 제 1 처리 가스 혼합물을 처리 영역(308)으로 분배하기 위한 화학약품 분배 모듈(316)에 연결되는 제 1 처리 가스 채널(304A), 제 2 전구체 또는 제 2 처리 가스 혼합물을 처리 영역(308)으로 분배하기 위한 화학약품 분배 모듈(316)에 연결되는 제 2 처리 가스 채널(304B), 및 샤워헤드 조립체(304)의 온도를 조절하는데 도움을 주기 위해 열교환 유체를 샤워헤드 조립체(304)로 유동시키기 위한 열교환 시스템(370)에 연결되는 온도 제어 채널(304C)을 갖는 듀얼-존(dual-zone) 조립체일 수 있다. 적합한 열교환 유체들에는 물, 수-계(water-based) 에틸렌 글리콜 혼합물, 퍼플루오로폴리에테르(예를 들어, Galden(등록상표) 유체), 오일계 열 전달 유체, 또는 이와 유사한 유체들이 포함될 수 있다.
처리 중에, 제 1 전구체 또는 제 1 처리 가스 혼합물은 샤워헤드 조립체(304) 내의 제 1 처리 가스 채널(304A)에 연결된 가스 도관(346)을 경유하여 처리 영역(308)으로 분배될 수 있으며 제 2 전구체 또는 제 2 처리 가스 혼합물은 샤워헤드 조립체(304) 내의 제 2 처리 가스 채널(304B)에 연결된 가스 도관(345)을 경유하여 처리 영역(308)으로 분배될 수 있다. 처리 가스 혼합물 또는 전구체들은 하나 또는 그보다 많은 전구체 가스 또는 처리 가스뿐만 아니라, 전구체 가스와 혼합될 수 있는 캐리어 가스 및/또는 도펀트 가스를 포함할 수 있다. 본 발명에서 설명되는 실시예들을 실행하도록 구성될 수 있는 예시적인 샤워헤드들은 "다중-가스 직선형 채널 샤워헤드"란 명칭으로 2007년 10월 16일자로 출원된 미국 특허출원 번호 11/873,132호, "다중 가스 나선형 채널 샤워헤드"란 명칭으로 2007년 10월 16일자로 출원되어 현재 US 2009-0095222호로 공개된 미국 출원번호 11/873,141호, 및 "다중-가스 동심형 분사 샤워헤드"란 명칭으로 2007년 10월 16일자로 출원되어 현재 US 2009-0095221호로 공개된 미국 출원번호 11/873,170호에 설명되어 있으며, 이들은 모두 전체적으로 본 발명에 참조되었다.
하부 돔(319)은 하부 영역(310)의 일 단부에 배열되며, 캐리어 판(250)은 하부 영역(310)의 타 단부에 배열된다. 캐리어 판(250)은 처리 위치에 도시되어 있지만, 예를 들어 기판(S)이 로딩 또는 언로딩될 수 있는 하부 위치로 이동될 수 있다. 배기 링(320)은 하부 영역(310) 내에서 증착이 발생하는 것을 방지하는데 도움을 주고 또한, MOCVD 챔버(300)로부터 배기 포트(309)로 배기 가스를 지향시키는데 도움을 주도록 캐리어 판(250)의 주변부 주위에 배열될 수 있다. 하부 돔(319)은 기판(S)의 복사 가열을 위해 광이 통과될 수 있도록 고순도 석영과 같은 투명 재료로 형성될 수 있다. 복사 가열은 하부 돔(319) 아래에 배열되는 복수의 내측 램프(321A) 및 외측 램프(321B)에 의해 제공될 수 있으며 반사기(366)는 내측 램프(321A) 및 외측 램프(321B)에 의해 제공되는 복사 에너지에 대한 MOCVD 챔버(300)의 노출을 제어하는데 도움을 주도록 사용될 수 있다. 램프의 추가 링들도 기판(S)의 보다 미세한 온도 제어를 위해 사용될 수 있다.
퍼지 가스(예를 들어, 질소 함유 가스)는 챔버 몸체의 바닥 근처 및 캐리어 판(250)의 아래에 배열되는 입구 포트 또는 튜브(도시 않음)로부터 및/또는 샤워헤드 조립체(304)로부터 MOCVD 챔버(300)의 내측으로 분배될 수 있다. 퍼지 가스는 MOCVD 챔버(300)의 하부 영역(310)으로 유입되며 캐리어 판(250) 및 배기 링(320)을 지나 상방향으로 그리고 환형 배기 채널(305) 주위에 배열되는 다중 배기 포트(309)의 내측으로 유동한다. 배기 도관(306)은 환형 배기 채널(305)을, 진공 펌프(307)를 포함하는 진공 시스템(312)에 연결한다. MOCVD 챔버(300)의 압력은 배기 가스가 환형 배기 채널로부터 흡인되는 비율을 제어하는 밸브 시스템을 사용하여 제어될 수 있다. MOCVD 챔버의 다른 일면들은 "CVD 장치"란 명칭으로 2008년 1월 31일자로 출원된 미국 특허출원 번호 12/023,520호에 설명되어 있으며, 이는 전체적으로 본 발명에 참조되었다.
바람직하다면, 세정 가스(예를 들어, 염소 가스와 같은 할로겐 함유 가스)는 처리 영역(308) 근처에 배열되는 입구 포트 또는 튜브(도시 않음) 및/또는 샤워헤드 조립체(304)로부터 MOCVD 챔버(300)의 내측으로 분배될 수 있다. 세정 가스는 기판 지지대(314) 및 샤워헤드 조립체(304)와 같은 챔버 구성요소들로부터 증착물을 제거하도록 MOCVD 챔버(300)의 처리 영역(308)으로 유입되며 환형 배기 채널(305) 주위에 배열되는 다중 배기 포트(309)를 경유하여 MOCVD 챔버(300)를 빠져 나간다.
화학약품 분배 모듈(316)은 일반적으로, 전구체 및/또는 화학약품을 MOCVD 챔버(300)로 공급한다. 반응성 가스, 캐리어 가스, 퍼지 가스, 및 세정 가스들은 화학약품 분배 모듈(316)로부터 공급 라인을 통해 MOCVD 챔버(300)의 내측으로 공급된다. 상기 가스들은 공급 라인을 통해 가스 혼합 박스의 내측으로 공급될 수 있으며, 상기 가스 혼합 박스에서 가스들이 서로 혼합되며 샤워헤드 조립체(304)로 분배된다. 처리 계획에 따라, MOCVD 챔버(300)로 분배된 전구체 및/또는 화학약품들의 일부는 가스라기보다는 액체일 수 있다. 액체 화학약품이 사용될 때, 화학약품 분배 모듈은 액체를 증발시키기 위한 액체 분사 시스템 또는 다른 적합한 기구(예를 들어, 발포기(bubbler) 또는 증발기)를 포함한다. 액체로부터의 증기는 캐리어 가스와 혼합될 수 있다.
원격 플라즈마 시스템(326)은 처리 기판으로부터 결함 층 또는 잔류물을 에칭하거나 챔버를 세정하는 것과 같은 선택된 용도를 위한 플라즈마를 생성할 수 있다. 인풋 라인을 통해 공급된 전구체로부터 원격 플라즈마 시스템(326) 내에서 생성되는 플라즈마 종은 샤워헤드 조립체(304)를 통한 MOCVD 챔버(300) 내의 처리 영역(308)으로의 분산을 위해 도관(304D)을 통해 보내진다. 세정 용도를 위한 전구체 가스들은 염소 함유 가스, 불소 함유 가스, 요오드 함유 가스, 브롬 함유 가스, 질소 함유 가스, 및/또는 다른 적합한 반응성 원소들을 포함할 수 있다. 원격 플라즈마 시스템(326)은 또한, 층 증착 공정 중에 적합한 증착 전구체 가스들을 원격 플라즈마 시스템(326)의 내측으로 유동시킴으로써 CVD 층들을 증착시키도록 구성될 수 있다. 일 예에서, 원격 플라즈마 시스템(326)은 활성 질소 종을 처리 영역(308)으로 분배하는데 사용된다.
MOCVD 챔버(300)의 벽들 및 배기 통로와 같은 주변 구조물들의 온도는 열 교환기를 형성하기 위한 챔버의 벽들 내의 채널들(도시 않음)을 통해 열교환 액체를 순환시킴으로써 추가로 제어될 수 있다. 샤워헤드 조립체(304)는 또한, 추가의 열교환기를 형성하기 위한 열교환 통로들(도시 않음)을 가질 수 있다. 통상적인 열 교환 유체들은 수-계 에틸렌 글리콜 혼합물, 오일계 열 전달 유체, 또는 이와 유사한 유체들을 포함한다. 샤워헤드 조립체(304)의 가열은 추가의 열 교환기(들)를 사용하여 수행될 수 있으며, 이에 따라 바람직하지 않은 반응 생성물의 응축을 감소 또는 제거할 수 있으며 배기 도관(306)의 벽들 상에 응축되고 가스 유동이 없는 기간 동안 처리 챔버의 내측으로 역으로 이동되는 경우에 공정을 오염시킬 수 있는 처리 가스들 및 기타 오염물들의 휘발성 생성물에 대한 제거를 개선할 수 있다.
예시적인 HVPE 챔버
도 4a는 본 발명의 실시예들에 따른 질화물 반도체 화합물 소자들을 제조하기 위한 수소화물 기상 에피택시(HVPE) 챔버(400)의 개략적인 등각도이다. HVPE 챔버(400)는 제 1 전구체 소오스(402), 제 2 전구체 소오스(404), 염소 함유 가스와 같은 반응 가스의 통행을 위한 통로(406), 상부 링(408), 하부 링(410), 및 측벽(412)을 포함한다. 염소 함유 가스는 염화물을 형성하기 위해 갈륨 또는 알루미늄과 같은 전구체 소오스와 반응할 수 있다.
도 4b는 본 발명의 실시예들에 따른 질화물 반도체 화합물 소자들을 제조하기 위한 HVPE 챔버(401)의 개략적인 횡단면도이다. HVPE 챔버(401)는 지지 샤프트(420)에 의해 지지되는 서셉터(418)를 포함한다. HVPE 챔버(401)는 또한, 챔버 벽(403)을 포함하며, 상기 챔버 벽은 챔버 벽에 연결되는 제 1 튜브(405)를 가진다. 제 1 튜브(405)는 챔버의 내측으로 방출되기 이전에 내측으로 염화물 반응 생성물이 먼저 유동하는 튜브이다. 제 1 튜브(405)는 하나 또는 그보다 많은 커넥터(409)를 통해 제 2 튜브(407)에 연결된다. 일 실시예에서, 하나 또는 그보다 많은 커넥터(409)는 염화물 반응 생성물의 유동에 대한 실질적인 균형을 맞추도록 배열될 수 있다. 일 실시예에서, 실질적으로 동일한 복수의 커넥터(409)가 제공될 수 있다. 다른 실시예에서, 적어도 하나의 커넥터(409)가 적어도 하나의 다른 커넥터(409)와 상이한 복수의 커넥터(409)가 제공될 수 있다. 다른 실시예에서, 튜브(405,407)들 사이에 실질적으로 균일하게 분포되는 복수의 커넥터(409)가 제공될 수 있다. 다른 실시예에서, 튜브(405,407)들 사이에 실질적으로 불균일하게 분포되는 복수의 커넥터(409)가 제공될 수 있다. 튜브(407)는 복수의 개구(411)를 가지며, 상기 개구를 통해 염화물 반응 생성물이 처리 공간 내측으로 유입될 수 있다. 일 실시예에서, 개구(411)는 제 2 튜브(407)를 따라 균일하게 분포될 수 있다. 다른 실시예에서, 개구(411)는 제 2 튜브(407)를 따라 불균일하게 분포될 수 있다. 일 실시예에서, 개구(411)는 실질적으로 유사한 크기를 가질 수 있다. 다른 실시예에서, 개구(411)는 상이한 크기를 가질 수 있다. 일 실시예에서, 개구(411)는 기판으로부터 멀어지는 방향으로 지향될 수 있다. 다른 실시예에서, 개구(411)는 일반적으로 기판을 향하는 방향으로 지향될 수 있다. 다른 실시예에서, 개구(411)는 기판의 증착 표면에 실질적으로 평행한 방향으로 지향될 수 있다. 다른 실시예에서, 개구(411)는 다중 방향으로 지향될 수 있다. 염화물 가스는 전구체 소오스 또는 보트 내측으로 염소 함유 가스를 먼저 유입시킴으로써 형성되며 통로(416) 내부로 유동된다. 염소 함유 가스는 튜브(414) 내의 통로 주위로 스며든다. 통로(416)는 전술한 저항식 가열기에 의해 가열된다. 따라서, 염소 함유 가스는 전구체와 접촉하기 이전에 온도가 증가한다. 일단 염소가 전구체와 접촉하면, 튜브(414)에 연결되는 가스 공급구(413) 내의 통로(416)를 통해 유동되는 염화물 반응 생성물을 형성하기 위한 반응이 일어난다. 그 후, 염화물 반응 생성물이 균일하게 분포되고 나서 HVPE 챔버(401) 내측으로 분배된다. HVPE 챔버(401)의 다른 일면들은 "HVPE 챔버 하드웨어"란 명칭으로 2009년 12월 15일자로 출원된 미국 특허출원 번호 12/637,019호에 설명되어 있으며, 이는 전체적으로 본 발명에 참조되었다.
분리형 챔버 내에서 버퍼 층의 성장을 위한 예시적인 방법
도 1은 본 발명의 다양한 실시예들을 사용하여 형성될 수 있는 예시적인 Ⅲ-Ⅴ족 소자를 도시한다. 도 1에 도시된 바와 같이, 질화물계 LED 구조물(100)은 기판(104) 예를 들어, (0001)사파이어 기판 위에 형성될 수 있다. 기판 크기는 직경이 50 mm 내지 200 mm 범위 또는 그보다 클 수 있다. 언도핑 GaN(u-GaN) 층(110) 및 n-형 GaN(n-GaN) 층(112)들이 기판(104) 위에 형성된 (GaN 또는 AlN 버퍼 층과 같은)버퍼 층(108) 위에 순차적으로 증착된다. 상기 소자의 활성 영역이 InGaN 층을 포함하도록 도면에 도시된, 다중 양자 샘(MQW) 활성 층(116) 내에 매설된다. p-n 접합은 전자 차단 층(EBL)으로서 작용하는 상부 p-형 AlGaN 층(120) 및 접촉 층으로서 작용하는 p-형 GaN 접촉 층(122)으로 형성된다. 본 발명의 설명에서 LED 형태의 Ⅲ-Ⅴ족 소자를 주로 지칭하였지만, 본 발명에서 설명한 공정들 중 하나 또는 그보다 많은 공정이 레이저 다이오드 및 Ⅲ-Ⅴ족 전력 변환 소자와 같은 다른 유사한 소자들을 형성하는데 사용될 수 있기 때문에, 이러한 구성은 본 발명에서 설명된 발명의 범주에 관해서 한정하고자 하는 것이 아니다.
도 1에 도시된 예시적인 질화물계 LED 구조물(100)에서, 기판(104)은 사파이어(Al2O3), 실질적으로 순수한 실리콘(Si), 실리콘 카바이드(SiC), 첨정석(spinel), 지르코늄 산화물을 포함하는 임의의 기판뿐만 아니라, 다른 기판들 중에서도 갈륨 비화물(GaAs), 리튬 갈산염(gallate), 인듐 인산염(phosphate)(InP), 및 단결정 GaN과 같은 반도체 화합물 기판일 수 있으나, 이에 한정되지는 않는다. 일 실시예에서, 사파이어 기판이 사용된다. 사파이어 기판 상에 증착된 Ⅲ족 질화물의 개선된 성장 특성을 얻기 위해, 도 5에 도시된 신규한 처리 시퀀스(500)가 제공된다. 하나 또는 그보다 많은 단계들이 본 발명에서 설명된 본 발명의 기본 범주로부터 이탈함이 없이 추가, 삭제 및/또는 재정리될 수 있기 때문에, 단계들의 수와 순서들은 본 발명에서 설명된 범주에 관해서 한정하고자 하는 것이 아니라고 이해해야 한다.
처리 시퀀스는 제 1 처리 챔버 내에서 하나 또는 그보다 많은 기판(104) 상에 버퍼 층(108)을 형성함으로써(도 1) 단계 502에서 시작한다. 기판(104)은 세정 가스를 사용하여 제 1 처리 챔버 내에서 세정될 수 있거나 제 1 처리 챔버 내측으로 이송되기 이전에 미리 세정될 수 있다. 제 1 처리 챔버는 도 2에서 이미 상세히 설명된 바와 같은, 일반적으로 이송 챔버 및 로드록 챔버를 포함하는 처리 시스템 내에 배열되는 다중 처리 챔버들 중의 하나 일 수 있다. 이와는 달리, 제 1 처리 챔버는 이송 챔버를 갖거나 갖지 않을 수 있는 인-라인 처리 시스템 내에 배열되는 배치 처리 챔버일 수 있다. 어느 한 경우에, 제 1 처리 챔버는 PVD, MOCVD, CVD, ALD 챔버, 또는 임의의 다른 기상 증착 챔버일 수 있다. 버퍼 층(108)은 하나 또는 그보다 많은 Ⅲ족 원소 및 질소의 고용체를 포함하는 2원계, 3원계 또는 4원계 필름일 수 있다. 버퍼 층(108)은 상부에 형성될 Ⅲ족 질화물 결정질 필름과 유사한 격자 구조를 갖는(즉, 동일한 입방 구조를 갖는) 임의의 결정질 필름일 수 있다. 본 발명의 다양한 실시예들에서, 버퍼 층(108)은 예를 들어, MOCVD, HVPE, PVD, CVD, ALD, 또는 임의의 다른 적합한 공정을 사용하여 (용도에 따라 n-형 또는 p-형 도펀트 원소로 언도핑 또는 도핑된)GaN, AlN, AlGaN, InGaN, 또는 InAlGaN일 수 있다. 일 예에서, 버퍼 층(108)은 도 2에 대해 전술한 바와 같이, 독립형 챔버(stand-alone chamber)일 수 있거나 클러스터 툴의 일부일 수 있는 PVD 챔버(도시 않음) 내에서 증착되는 AlN 재료이다. 그런 경우에, AlN 재료는 약 0.5 mTorr 내지 수 Torr, 예를 들어 약 2 mTorr 내지 약 300 Torr로 유지되는 환경과 같은 감압 하에서 유지되는 아르곤(Ar)과 질소(N2) 가스 혼합물 내에서 Al을 반응성 스퍼터링시킴으로써 기판 상에 증착될 수 있다. 다른 경우에 있어서, AlN 재료는 기판의 표면 상으로 AlN 재료를 스퍼터링하기 위한 아르곤(Ar) 및/또는 질소(N2) 환경 하에서 알루미늄 질화물(AlN) 타겟을 RF 및/또는 DC 바이어싱함으로써 기판 상에 증착될 수 있다. 또한, 질소(N2) 부화(rich) 환경에서 알루미늄을 증발시키거나 심지어 CVD 방법을 사용하여 AlN 층을 형성함으로써 AlN 재료를 증착시키는 것도 고려될 수 있다. 다양한 실시예에서, 버퍼 층(108)은 10 내지 800 nm 범위의 두께로 형성될 수 있으나, 이 두께는 변경될 수 있으며 몇몇 경우에는 최대 0.5 내지 1.0 ㎛ 범위일 수 있다.
다른 예에서, 버퍼 층(108)은 MOCVD 공정을 사용하여 MOCVD 챔버(300)(도 3) 내에서 형성되는 GaN 재료일 수 있다. MOCVD 공정은 일반적으로 늦은 증착률(예를 들어, 5 ㎛/시간 또는 그 미만)을 가지며 매우 균일한 증착 결과와 성장률에 대한 양호한 제어를 제공한다. 또한, MOCVD 질화물 필름은 통상적으로 낮은 온도에서 증착됨으로써, 제조 공정이 낮은 열 예산을 가질 수 있게 한다. 이러한 예에서, 암모니아(NH3)와 같은 질소 함유 전구체 및 유기금속 전구체가 버퍼 층(108)의 증착을 시작하기 위해서 제 1 처리 챔버 내측으로 유입된다. 유기 금속 전구체는 다른 구성 원소들 중에서도 Ⅲ족 금속 및 카본 그룹을 포함할 수 있다. 예를 들어, 상기 전구체는 다른 것들 중에서도 알킬 알루미늄 화합물, 알킬 갈륨 화합물, 및/또는 알킬 인듐 화합물과 같은 알킬 Ⅲ족 금속 화합물을 포함할 수 있다. 특별한 전구체의 예에는 트리메틸알루미늄(TMA), 트리에틸알루미늄(TEA), 트리메틸인듐(TMI), 트리에틸인듐(TEI), 트리메틸갈륨(TMG), 및 트리에틸갈륨(TEG)이 포함되나, 이에 한정되지 않는다. 프로필, 펜틸, 헥살(hexal) 등과 같은 큰 크기의 알킬 그룹들이 또한 Ⅲ족 금속과 조합될 수 있다. 에틸디메틸갈륨, 메틸디에틸-알루미늄 등과 같은 상이한 크기의 알킬 그룹들이 또한 동일한 전구체로 조합될 수 있다. 방향족, 알켄족, 알킨족 등과 같은 다른 유기 성분들이 또한, 유기금속 전구체의 일부분일 수 있다. 바람직하다면, 질소 함유 전구체는 제 1 처리 챔버 내측으로 별도의 가스 스트림으로 유동하여 기판 위의 가열된 반응 영역 내의 공간에서 유기금속 전구체 가스 스트림과 혼합될 수 있다. 헬륨과 같은 캐리어 가스들이 제 1 처리 챔버 내의 전구체 유동을 촉진시킬뿐만 아니라 챔버 내의 전체 압력을 조절하는데 사용될 수 있다. 캐리어 가스는 챔버로 유입되기 이전에 전구체 가스와 미리 혼합되거나/되고 별도의 유동 라인을 통해 혼합되지 않은 상태로 챔버로 유입될 수 있다.
MOCVD 공정을 사용하는 이러한 다른 실시예에서, GaN 버퍼 층과 같은 버퍼 층(108)이 트리메틸 갈륨(TMG) 및 NH3와 같은 전구체 가스를 제 1 처리 챔버의 내측으로 유입함으로써 형성되며, 이때 약 10 nm 내지 약 50 nm 범위의 두께를 갖는 GaN 버퍼 층을 형성하기 위한 TMG 유동률은 약 0 sccm 내지 약 10 sccm 범위이고 NH3의 유동율은 약 0 slm 내지 약 30 slm이며 서셉터의 온도는 약 500 ℃ 내지 약 900 ℃이며 챔버 압력은 약 50 Torr 내지 약 300 Torr이다. 버퍼 층(108)이 AlN을 포함하는 실시예들에서, 트리메틸 알루미늄(TMA) 및 NH3와 같은 전구체 가스가 제 1 처리 챔버의 내측으로 유입되며, 이때 약 10 nm 내지 약 50 nm 범위의 두께를 갖는 AlN 버퍼 층을 형성하기 위한 TMA 유동률은 약 0 sccm 내지 약 10 sccm 범위이고 NH3의 유동율은 약 0 slm 내지 약 30 slm이며 서셉터의 온도는 약 500 ℃ 내지 약 900 ℃이며 챔버 압력은 약 50 Torr 내지 약 300 Torr이다. 이와는 달리, 버퍼 층(108)은 HVPE 챔버를 사용하여 형성되는 GaN 재료일 수 있다. 그런 경우에, GaN 버퍼 층은 HVPE 공정을 사용하여 갈륨과 질소의 전구체로부터 기판 상에 신속히 형성된다.
504 단계에서, 버퍼 층(108)의 증착 이후에 증착된 기판은 버퍼 층(108) 위에 벌크 Ⅲ족 질화물 층을 증착하기 위해 제 2 처리 챔버의 내측으로 이송된다. 벌크 Ⅲ족 질화물 층은 일반적으로, 도 1에 도시된 바와 같이 버퍼 층(108) 상에 순차적으로 증착되는 언도핑 GaN(u-GaN) 층(110) 및 n-도핑 (n-GaN)층(112)을 포함한다. 제 2 처리 챔버는 MOCVD 챔버(도 3), HVPE 챔버(도 4a 및 도 4b), 또는 임의의 다른 적합한 처리 챔버일 수 있다. 일 예에서, 벌크 Ⅲ족 질화물 층들은 HVPE 챔버를 사용하여 증착된다.
Ⅲ족 질화물 층을 증착하기 위해 MOCVD 공정이 사용되는 경우에, TMG, NH3, 및 N2와 같은 전구체 가스가 제 2 처리 챔버의 내측으로 유입될 수 있으며, 이때 서셉터의 온도는 약 950 ℃ 내지 약 1050 ℃ 범위이며 챔버의 압력은 약 50 Torr 내지 약 600 Torr, 예를 들어 약 100 Torr 내지 약 300 Torr이다. u-GaN 층(110)은 약 1 ㎛ 내지 약 100 ㎛ 범위의 두께로 증착될 수 있으며, n-GaN 층(112)은 약 2 ㎛ 내지 약 140 ㎛ 범위의 두께로 증착될 수 있다. 일 예에서, u-GaN/n-GaN 층(110,112)은 약 4 ㎛의 전체 두께로 증착된다. 몇몇 실시예에서, u-GaN 층(110)은 생략될 수 있다.
이와는 달리, Ⅲ족 질화물 층을 HVPE 챔버 내에서 증착하기 위해 HVPE 공정이 사용될 수 있다. 그런 경우에, HVPE 챔버는 약 700 ℃ 내지 약 1100 ℃ 범위의 서셉터 온도 및 약 450 Torr의 챔버 압력에서 HVPE 전구체 가스, 예를 들어 GaCl3 및 NH3를 사용함으로써 GaN 재료의 신속한 증착을 제공하도록 구성될 수 있다. 갈륨 함유 전구체는 약 700 ℃ 내지 약 950 ℃ 범위의 온도로 유지되는 액체 갈륨 위에 약 20 sccm 내지 약 150 sccm 범위의 유동률로 염소 가스를 유동시킴으로써 생성될 수 있다. 상기 액체 갈륨은 약 800 ℃의 온도로 유지될 수 있다. 암모니아는 약 6 SLM 내지 약 20 SLM 범위 구간 내의 유동률로 처리 챔버에 공급된다. 바람직하다면, 제 2 처리 챔버는 각각의 u-GaN 및 n-GaN 증착 공정 이후에 세정될 수 있으며, 그 이후에 세정 공정 중에 생성된 세정 부산물을 제거하기 하기 위한 퍼지/배기 단계가 이어진다.
그 후에 506 단계에서, InGaN 다중 양자샘(MQW) 활성 층(116)이 제 3 처리 챔버, 예를 들어 MOCVD 챔버에서 n-GaN 층(112)(도 1) 위에 증착된다. 트리메틸 갈륨(TMG), 트리메틸 인듐(TMI), 및 NH3와 같은 전구체 가스들이 약 700 ℃ 내지 약 850 ℃ 범위의 서셉터 온도 및 약 100 Torr 내지 약 500 Torr 범위의 챔버 압력에서 H2 캐리어 가스 유동과 함께 제 3 처리 챔버의 내측으로 유동될 수 있다. InGaN MQW 활성 층(116)은 약 750 ℃의 온도에서 약 40분 내지 수 시간 범위의 기간에 걸쳐 증착될 수 있는 약 750 Å의 두께를 가질 수 있다.
바람직하다면, 506 단계에서 언급된 공정이 임의의 성장을 방해함이 없이 508 내지 510 단계들에서 언급된 공정들과 동일한 MOCVD 챔버 내에서 수행될 수 있다. 그러나, 고온에서 GaN 재료의 성장이 MOCVD 챔버 내에, 특히 샤워헤드 또는 가스 분배 조립체를 포함하는 챔버 구성 요소들 상에 Ga 금속 및 GaN의 심각한 기생 증착(parasitic deposition)을 초래할 수 있음이 관찰되었다. 갈륨 부화(rich) 증착은 트랩으로서 작용하여 예를 들어, 트리메틸 인듐(TMI), 트리메틸 알루미늄(TMA)과 같은 LED의 후속 층의 증착을 위해 사용되는 가스 상 전구체, 실란(SiH4) 및 디실란(Si2H6)과 같은 n-형 도펀트, 및 Cp2Mg와 같은 p-형 도펀트와 반응하는 갈륨 자체의 성질로 인한 문제점들을 유발한다. InGaN 다중 양자샘(MQW)은 MOCVD 챔버 내의 유리한 조건에서의 Ga-In 공정 형성물로 인해 가장 큰 영향을 받으며, 이는 대체로 PL 파장 표류(drift), PL 세기 감소, 및 장치 열화를 초래한다. 그러므로, 본 발명의 실시예들은 이후에 구체적으로 논의되는 바와 같이, 상이한 층들 간의 교차 오염을 최소화하거나 심지어 제거하기 위해 InGaN MQW 활성 층(116), p-AlGaN 층(120), 및 p-GaN 층 콘택(contac)(122)을 위한 다중 처리 챔버를 사용하는 "2분할 공정(two-split process)"을 적용한다.
그 후 508 단계에서, InGaN MQW 활성 층(116)의 증착 이후에 p-AlGaN 층(120)이 MOCVD 공정 또는 HVPE 공정을 사용하여 MOCVD 또는 HVPE 챔버와 같은 제 4 처리 챔버 내에서 InGaN MQW 활성 층(116) 위에 증착된다(도 1). p-AlGaN 층(120)이 MOCVD 공정을 사용하여 성장된 때에, 트리메틸 갈륨(TMG), 트리메틸 알루미늄(TMA), 및 NH3와 같은 전구체가 약 1020 ℃의 서셉터 온도 및 약 200 Torr의 압력에서 H2 캐리어 가스 유동 내에 제공될 수 있다. 바람직하다면, TMA 및 TMG 전구체들이 증착된 층의 적합한 Al : Ga 화학량론을 제공하도록 선택될 수 있다. p-AlGaN 층(120)은 약 950 ℃ 내지 약 1020 ℃ 범위의 온도에서 약 5분 내에 증착될 수 있는 약 200 Å 내지 약 500 Å의 두께를 가질 수 있다. InGaN MQW 활성 층(116) 및 p-AlGaN 층(120)을 형성하기 위해 두 개의 분리형 챔버를 사용함으로써, p-형 층 및 MQW 층의 성장이 상이한 챔버 내측에서 분리될 수 있음으로써 Mg-In 교차 오염을 피할 수 있다. 한편, 시스템 생산성도 또한, InGaN 및 AlGaN 층들이 동일한 챔버 내에서 형성되는 경우라면, 그렇지 않은 경우와는 달리, 필요할 수 있는 처리 챔버에 대한 세정 및 조절을 제거함으로써 증가된다.
일단 p-AlGaN 층(120)이 InGaN MQW 활성 층(116) 위에 증착되면, 공정은 510 단계로 진행한다. 510 단계에서, p-GaN 콘택 층(122)이 MOCVD 공정 또는 HVPE 공정 중 어느 한 공정을 사용하여 제 4 처리 챔버 내에서 p-AlGaN 층(120)(도 1) 위에 증착된다. MOCVD 공정이 사용되는 실시예들에서, 트리메틸 갈륨(TMG), NH3, Cp2Mg, 및 N2와 같은 전구체가 약 1020 ℃의 서셉터 온도 및 약 100 Torr의 압력에서 제 3 처리 챔버의 내측으로 유동될 수 있다. 이와는 달리, p-GaN 콘택 층(122)은 약 25분 동안 약 850 ℃ 내지 약 1050 ℃ 범위의 서셉터 온도에서 TMG, Cp2Mg, 및 플라즈마 활성화된 N2의 유동을 사용하여 무-알루미늄 환경에서 성장될 수 있다. p-GaN 콘택 층(122)의 형성 중에, 하나 또는 그보다 많은 기판들이 약 5 ℃/초 내지 약 10 ℃/초 범위의 온도 증가율(ramp-up rate)로 가열된다. 상기 구조물을 완성하는 p-GaN 콘택 층(122)의 두께는 약 0.1 ㎛ 내지 0.5 ㎛ 또는 그보다 클 수 있다. 추가로, 실리콘(Si) 또는 마그네슘(Mg)과 같은 도펀트가 상기 필름들에 추가될 수 있다. 상기 필름들은 증착 공정 중에 소량의 도펀트 가스들을 추가함으로써 도핑될 수 있다. 실리콘 도핑을 위해, 예를 들어 실란(SiH4) 및 디실란(Si2H6) 가스들이 사용될 수 있으며 도펀트 가스는 마그네슘 도핑을 위한 비스(사이클로펜타디에닐) 마그네슘 (Cp2Mg 또는 (C5H5)2Mg)를 포함할 수 있다.
실리콘 기판을 사용한 예시적인 제조 방법들
버퍼 층 및 벌크 Ⅲ족 질화물 층들을 형성하기 위한 두 개의 별도의 처리 챔버를 사용하는 전술한 개념은 실리콘계 기판을 사용할 때 유리하다는 것이 발견되었다. 전술한 바와 같이, GaN, AlN, InGaN, AlGaN, 또는 InAlGaN을 사용한 버퍼 층들이 사파이어 기판과 그 기판 위에 증착된 Ⅲ족 질화물 층들 사이에 양호한 계면 영역을 제공할 수 있었지만, 실리콘계 기판 상의 임의의 버퍼 층들(특히 GaN 버퍼 층들)의 성장은 어떠한 문제점들과 마주칠 수 있다. 예를 들어, 실리콘계 기판과 GaN 층 간의 높은 격자 불일치(mismatch)는 GaN 층 내의 높은 전위 밀도를 초래한다. 또한, GaN과 Si 간의 열팽창 계수의 커다란 차이는 성장 온도로부터 실온으로의 냉각 중에 GaN 층 내에 커다란 인장 응력을 유도하여 GaN 층의 크랙을 초래한다. 실리콘계 기판 상에 GaN 버퍼 층을 성장시키기 위한 다른 문제점은 후속 u-GaN 또는 n-GaN 성장 중에 사용된 높은 처리 온도에서 Ga-Si 공정 합금의 형성에 의해 생성되는 소위 멜트백(meltback) 에칭 공정이다. 기판 계면에서 실리콘과 갈륨의 상호작용은 실리콘계 기판과 그 기판 상에 증착된 Ⅲ족 질화물 에피택셜 층을 파괴하여 질화물 층의 불균일한 성장이나 빈약한 표면 형상을 초래하는 강하고 신속한 에칭 반응을 개시한다. 이런 이유로, GaN 버퍼 층은 실리콘계 기판에 불리한 대상이라는 것이 발견되었다. 이들 문제점들 극복하기 위해, 실리콘계 기판 상에 형성된 Ⅲ족 질화물 에피택셜 층들의 양호한 필름 품질을 제공하기 위해 도 6에 도시된 바와 같은 신규한 처리 시퀀스(600)가 제안되었다. 처리 단계들의 수와 순서는 본 발명에서 설명된 본 발명의 범주에 관해서 제한하고자 하는 것이 아니라고 이해해야 하는데, 이는 본 발명에서 설명된 본 발명의 기본 범주로부터 이탈함이 없이 하나 또는 그보다 많은 단계들이 추가, 삭제 및/또는 재정렬될 수 있기 때문이다.
상기 처리 시퀀스는 내부에 무-Ga 환경이 제공되는 제 1 처리 챔버 내에서 하나 또는 그보다 많은 실리콘계 기판 상에 버퍼 층(108)을 형성함으로써 602 단계에서 시작한다. 일 실시예에서, 제 1 처리 챔버는 MOCVD, 플라즈마 보조 MOCVD, 또는 PVD 챔버일 수 있다. 전술한 502 단계와 유사하게, 제 1 처리 챔버는 일반적으로 이송 챔버, 두 개 또는 그보다 많은 처리 챔버들 및 로드록 챔버를 포함하는 처리 시스템 내에 배열되는 다중 처리 챔버들 중의 하나일 수 있다. 이와는 달리, 제 1 처리 챔버는 이송 챔버를 갖거나 갖지 않을 수 있는 인-라인 처리 시스템 내에 배열되는 배치 처리 챔버일 수 있다. 602 단계의 일 예에서, 용도에 따라 도핑 또는 언도핑되는 Al, AlN, 또는 SiN을 포함하는 버퍼 층(108)이 기판 표면 상에 형성된다. 일 예에서, 버퍼 층(108)은 PVD 챔버 내에서 PVD 공정을 사용하여 증착되는 AlN 재료이다. 무-Ga 처리 챔버 내에서 실리콘계 기판 상에 AlN 버퍼 층을 증착하는 것에 의해 잠재적인 Ga-Si 공정(eutectice) 반응을 방지하는데, 이는 Ga계 버퍼 층이 기판 표면 상에 존재하지 않거나 Ga계 층의 증착이 제 1 처리 챔버 내에서 수행되지 않음으로써, 갈륨 함유 재료가 실리콘 기판 표면으로의 이동 및 오염시킬 가능성이 제거되기 때문이다.
AlN 버퍼 층이 약 0.5 mTorr 내지 수 Torr 범위, 예를 들어 약 0.5 mTorr 내지 약 300 Torr 범위에서 유지되는 환경과 같은 감압에서 유지되는 아르곤(Ar) 및 질소(N2) 가스 혼합물 내에서 Al을 반응성 스퍼터링함으로써 실리콘계 기판 상에 증착될 수 있다. 다른 경우에, AlN 재료는 실리콘계 기판의 표면 상에 AlN 재료를 스퍼터링하기 위한 아르곤(Ar) 및/또는 질소(N2) 환경 내에서 알루미늄 질화물(AlN) 타겟을 RF 및/또는 DC 바이어싱함으로써 실리콘계 기판 상에 증착될 수 있다. 또한, AlN 재료는 질소(N2) 부화 분위기에서 알루미늄(Al)을 증발시키거나, 심지어 CVD 방법을 사용하여 AlN 층을 형성함으로써 증착될 수 있다고 이해된다. 다양한 실시예에서, 버퍼 층(108)은 10 내지 800 nm 범위의 두께로 형성되나, 이러한 두께는 변경될 수 있으며 몇몇 경우에는 최대 0.5 내지 1.0 ㎛ 범위일 수 있다.
이와는 달리, AlN 버퍼 층은 MOCVD 공정을 사용하여 실리콘계 기판 상에 증착될 수 있다. 그런 경우에, 트리메틸 알루미늄(TMA) 및 NH3와 같은 전구체 가스들이 제 1 처리 챔버의 내측으로 유입될 수 있으며, 이때 AlN 버퍼 층을 형성하기 위한 TMA의 유동률은 약 0 sccm 내지 약 10 sccm이고 NH3의 유동률은 약 0 slm 내지 약 30 slm이며, 서셉터의 온도는 약 500 ℃ 내지 약 900 ℃이고 챔버 압력은 약 50 Torr 내지 약 300 Torr이다. 버퍼 층(108)은 CVD, ALD, HVPE 또는 다른 적합한 기술에 의해 형성될 수 있다고 이해된다.
GaN 버퍼 층이 여전히 요구되는 어떠한 용도에 있어서, Al, AlN, 또는 SiN 재료를 포함하는 이러한 부동태 층(passivation layer)이 실리콘계 기판의 표면 상에 증착되고 나서 GaN 버퍼 층이 후속적으로 증착될 수 있다. Al, AlN, 또는 SiN 재료를 포함하는 부동태 층은 실리콘계 기판의 표면 전반에 걸쳐서 연속적인 부동태 층을 형성하기 위해 예를 들어, 전술한 바와 같은 종래의 물리 또는 화학 기상 증착에 의해 증착될 수 있다. 부동태 층은 전술한 바와 같이 Ga-Si 멜트백 에칭 문제점들을 겪지 않고 GaN 버퍼 층과 실리콘계 기판 사이에 양호한 통합을 제공하는 것으로 여겨진다. 부동태 층은 약 10 Å 내지 약 6000 Å 범위, 예를 들어 약 3500 Å과 같은 두께를 가질 수 있다. GaN 버퍼 층이 AlxNy 부동태 층으로 사용되면, 이 AlxNy 부동태 층이 제 1 처리 챔버(PVD, MOCVD, CVD, 또는 ALD 챔버) 내에서 증착될 수 있으며 GaN 버퍼 층 및 벌크 Ⅲ족 질화물 층들이 제 2 처리 챔버(MOCVD 또는 HVPE 챔버) 내에서 증착될 수 있음으로써 어떤 잠재적인 교차 오염 및/또는 불필요한 세정 및 공정 조절을 방지할 수 있다.
604 단계에서, 버퍼 층(108)의 증착 이후에, 증착된 실리콘 기판들은 실리콘 기판들 상의 버퍼 층(108) 위에 벌크 Ⅲ족 질화물 층들을 증착시키기 위해 제 2 처리 챔버의 내측으로 이송된다. 제 2 처리 챔버는 무-Al 분위기가 내부에 제공된 MOCVD 또는 HVPE 처리 챔버일 수 있다. AlN 재료를 사용하는 버퍼 층이 제 2 처리 챔버 내에서 증착되지 않기 때문에, 후속 층들은 잠재적 Al 오염들로부터 벗어날 수 있다. 벌크 Ⅲ족 질화물 층들의 증착을 위해 별도의 처리 챔버를 사용하는 것은 후속 질화물 층들에 순수한 핵생성 또는 성장 특징들을 제공하여 양호한 필름 특성 및 표면 형상을 초래하는 것과 같은, 504 단계에서 이미 설명한 바와 유사한 장점들을 제공한다. 한편, 시스템 생산성은 버퍼 층 및 벌크 Ⅲ족 질화물 층들이 동일한 챔버 내에서 형성되는 경우에는, 그렇지 않은 경우와는 달리, 필요할 수 있는 처리 챔버에 대한 세정 및 조절을 제거함으로써 증가될 수 있다.
504 단계와 유사하게, 제 2 처리 챔버의 내측으로 기판을 이송한 이후에, 벌크 Ⅲ족 질화물 층들, 예를 들어 언도핑 GaN(u-GaN) 층(110) 및 n-도핑 (n-GaN) 층(112)이 MOCVD 또는 HVPE 공정에 의해 버퍼 층(108) 상에 순차적으로 증착된다. 그 후에, InGaN MQW 활성 층, p-AlGaN 층, 및 p-GaN 층이 도 6의 606, 608, 및 610 단계들에 도시한 바와 같이 벌크 Ⅲ족 질화물 층들 상에 순차적으로 증착될 수 있다. 604, 606, 608, 및 610 단계들에 설명된 처리 단계들은 일반적으로, 전술한 504, 506, 508, 및 510 단계와 관련하여 수행된 공정(들)과 유사하다. 그러므로, 개별 처리 단계들은 본 발명에서 다시 설명하지 않을 것이다.
이제까지 설명한 것은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 그리고 추가의 실시예들이 본 발명의 기본 범주로부터 이탈함이 없이 창안될 수 있으며, 따라서 본 발명의 범주는 이후의 특허청구범위에 의해 결정된다.

Claims (15)

  1. 질화물 화합물 구조물의 제조 방법으로서,
    제 1 처리 챔버 내에서 두 개 또는 그보다 많은 기판 위에 제 1 Ⅲ족 질화물 층을 형성하는 단계로서, 상기 제 1 Ⅲ족 질화물 층이 유기 금속 화학 기상 증착(MOCVD) 공정, 물리 기상 증착(PVD) 공정, 화학 기상 증착(CVD) 공정, 또는 원자층 증착(ALD) 공정을 사용하여 형성되는, 제 1 Ⅲ족 질화물 층을 형성하는 단계와;
    상기 두 개 또는 그보다 많은 기판을 제어 환경하에서 상기 제 1 처리 챔버로부터 제 2 처리 챔버로 이송하는 단계; 및
    상기 제 2 처리 챔버 내에서 상기 제 1 Ⅲ족 질화물 층 상에 제 2 Ⅲ족 질화물 층을 형성하는 단계를 포함하며;
    상기 제 2 Ⅲ족 질화물 층을 형성하는 단계는,
    제 2 전구체를 형성하도록 제 2 Ⅲ족 금속을 무-수소 할로겐 함유 가스에 노출시키는 단계, 및
    상기 두 개 또는 그보다 많은 기판 각각의 표면에 상기 제 2 전구체 및 질소 소오스를 분배하는 단계를 포함하는,
    질화물 화합물 구조물의 제조 방법.
  2. 제 1 항에 있어서,
    상기 두 개 또는 그보다 많은 기판 각각은 실리콘 또는 실리콘 카바이드를 포함하는,
    질화물 화합물 구조물의 제조 방법.
  3. 제 1 항에 있어서,
    상기 제 1 Ⅲ족 질화물 층은 GaN, AlN, AlGaN, InGaN, 또는 InAlGaN을 포함하는,
    질화물 화합물 구조물의 제조 방법.
  4. 제 1 항에 있어서,
    MOCVD 공정에 의해 상기 제 2 Ⅲ족 질화물 층 위에 InGaN 층을 증착하는 단계와,
    MOCVD 공정 또는 HVPE 공정에 의해 상기 InGaN 층 위에 p-도핑 AlGaN 층을 증착하는 단계, 및
    MOCVD 공정 또는 HVPE 공정에 의해 상기 p-도핑 AlGaN 층 위에 p-도핑 GaN 층을 증착하는 단계를 더 포함하는,
    질화물 화합물 구조물의 제조 방법.
  5. 제 4 항에 있어서,
    상기 InGaN 층은 제 3 처리 챔버 내에서 형성되며, 상기 p-도핑 AlGaN 층 및 p-도핑 GaN 층의 증착은 제 4 처리 챔버 내에서 수행되는,
    질화물 화합물 구조물의 제조 방법.
  6. 제 1 항에 있어서,
    상기 제 1 처리 챔버는 무-Ga 환경이며, 상기 제 1 Ⅲ족 질화물 층은 Al, AlN, 또는 SiN을 포함하는,
    질화물 화합물 구조물의 제조 방법.
  7. 질화물 화합물 구조물의 제조 방법으로서,
    제 1 처리 챔버 내에서 두 개 또는 그보다 많은 실리콘 함유 기판 상에 제 1 Ⅲ족 질화물 층을 형성하는 단계와,
    제어 환경 하에서 상기 제 1 처리 챔버로부터 제 2 처리 챔버로 상기 두 개 또는 그보다 많은 실리콘 함유 기판들을 이송하는 단계, 및
    상기 제 2 처리 챔버 내에서 상기 제 1 Ⅲ족 질화물 층 상에 제 2 Ⅲ족 질화물 층을 형성하는 단계를 포함하며,
    상기 제 1 Ⅲ족 질화물 층을 형성하는 단계는,
    하나 또는 그보다 많은 처리 가스들을 상기 두 개 또는 그보다 많은 실리콘 함유 기판들로 균일하게 분배하도록 지향된 복수의 가스 통로를 갖는 샤워헤드를 통해 하나 또는 그보다 많은 처리 가스들을 분배하는 단계, 및
    열 소오스를 사용하여, 상기 샤워헤드와 열 소오스 사이에 배열되는 상기 두 개 또는 그보다 많은 실리콘 함유 기판들 전반에 걸쳐서 소정의 온도 분포를 형성하는 단계를 포함하며,
    상기 제 2 Ⅲ족 질화물 층을 형성하는 단계는,
    제 2 전구체를 형성하도록 무-수소 할로겐 함유 가스에 제 2 Ⅲ족 금속을 노출시키는 단계, 및
    상기 두 개 또는 그보다 많은 실리콘 함유 기판 각각의 표면에 상기 제 2 전구체 및 질소 소오스를 분배함으로써 상기 두 개 또는 그보다 많은 실리콘 함유 기판들 상에 제 2 필름을 형성하는 단계를 포함하는,
    질화물 화합물 구조물의 제조 방법.
  8. 제 7 항에 있어서,
    상기 제 1 Ⅲ족 질화물 층은 GaN, AlN, AlGaN, InGaN, 또는 InAlGaN을 포함하는,
    질화물 화합물 구조물의 제조 방법.
  9. 제 7 항에 있어서,
    상기 제 1 처리 챔버는 무-Ga 환경이며, 상기 제 1 Ⅲ족 질화물 층은 Al, AlN, 또는 SiN을 포함하는,
    질화물 화합물 구조물의 제조 방법.
  10. 제 7 항에 있어서,
    제 3 처리 챔버 내에서 두 개 또는 그보다 많은 실리콘 함유 기판 상에 제 3 Ⅲ족 질화물 층을 형성하는 단계를 더 포함하며,
    상기 제 3 Ⅲ족 질화물 층을 형성하는 단계는,
    상기 하나 또는 그보다 많은 처리 가스들을 상기 두 개 또는 그보다 많은 실리콘 함유 기판들로 균일하게 분배하도록 지향된 복수의 가스 통로를 갖는 샤워헤드를 통해 제 3 전구체, 제 4 전구체 및 질소 소오스 가스를 분배하는 단계, 및
    열 소오스를 사용하여, 상기 샤워헤드와 열 소오스 사이에 배열되는 상기 두 개 또는 그보다 많은 실리콘 함유 기판들 전반에 걸쳐서 소정의 온도 분포를 형성하는 단계를 포함하는,

    질화물 화합물 구조물의 제조 방법.
  11. 질화물 화합물 구조물의 제조 방법으로서,
    제 1 처리 챔버 내에서 두 개 또는 그보다 많은 실리콘 함유 기판 상에 제 1 Ⅲ족 질화물 층을 형성하는 단계와,
    제어 환경 하에서 상기 제 1 처리 챔버로부터 제 2 처리 챔버로 두 개 또는 그보다 많은 실리콘 함유 기판들을 이송하는 단계와,
    상기 제 2 처리 챔버 내에서 상기 제 1 Ⅲ족 질화물 층 상에 제 2 Ⅲ족 질화물 층을 형성하는 단계와,
    제어 환경 하에서 상기 제 2 처리 챔버로부터 제 3 처리 챔버로 두 개 또는 그보다 많은 실리콘 함유 기판들을 이송하는 단계와,
    상기 제 3 처리 챔버 내에서 상기 제 2 Ⅲ족 질화물 층 위에 제 3 Ⅲ족 질화물 층을 형성하는 단계와,
    제어 환경 하에서 상기 제 3 처리 챔버로부터 제 4 처리 챔버로 두 개 또는 그보다 많은 실리콘 함유 기판들을 이송하는 단계와,
    상기 제 4 처리 챔버 내에서 상기 제 3 Ⅲ족 질화물 층 위에 제 1 도핑 Ⅲ족 질화물 층을 형성하는 단계, 및
    상기 제 1 도핑 Ⅲ족 질화물 층 상에 제 2 도핑 Ⅲ족 질화물 층을 형성하는 단계를 포함하며,
    상기 제 1 Ⅲ족 질화물 층을 형성하는 단계는,
    하나 또는 그보다 많은 처리 가스들을 상기 두 개 또는 그보다 많은 실리콘 함유 기판들로 균일하게 분배하도록 지향된 복수의 가스 통로를 갖는 샤워헤드를 통해 하나 또는 그보다 많은 처리 가스들을 분배하는 단계, 및
    열 소오스를 사용하여, 상기 샤워헤드와 열 소오스 사이에 배열되는 상기 두 개 또는 그보다 많은 실리콘 함유 기판들 전반에 걸쳐서 소정의 온도 분포를 형성하는 단계를 포함하며,
    상기 제 3 Ⅲ족 질화물 층을 형성하는 단계는,
    제 1 Ⅲ족 전구체, 제 2 Ⅲ족 전구체 및 질소 소오스 가스를 상기 두 개 또는 그보다 많은 실리콘 함유 기판들로 균일하게 분배하도록 지향된 복수의 가스 통로들을 갖는 샤워헤드를 통해 상기 제 1 Ⅲ족 전구체, 제 2 Ⅲ족 전구체 및 질소 소오스 가스를 분배하는 단계, 및
    열 소오스를 사용하여, 상기 샤워헤드와 열 소오스 사이에 배열되는 상기 두 개 또는 그보다 많은 실리콘 함유 기판들 전반에 걸쳐서 소정의 온도 분포를 형성하는 단계를 포함하며,
    상기 제 1 도핑 Ⅲ족 질화물 층을 형성하는 단계는,
    상기 제 1 Ⅲ족 전구체 및 질소 소오스 가스를 상기 두 개 또는 그보다 많은 실리콘 함유 기판들로 균일하게 분배하도록 지향된 복수의 가스 통로들을 갖는 샤워헤드를 통해 상기 제 1 Ⅲ족 전구체 및 질소 소오스 가스를 분배하는 단계, 및
    열 소오스를 사용하여, 상기 샤워헤드와 열 소오스 사이에 배열되는 상기 두 개 또는 그보다 많은 실리콘 함유 기판들 전반에 걸쳐서 소정의 온도 분포를 형성하는 단계를 포함하는,
    질화물 화합물 구조물의 제조 방법.
  12. 제 11 항에 있어서,
    상기 제 1 Ⅲ족 질화물 층은 GaN, AlN, AlGaN, InGaN, 또는 InAlGaN을 포함하는,
    질화물 화합물 구조물의 제조 방법.
  13. 제 11 항에 있어서,
    상기 두 개 또는 그보다 많은 실리콘 함유 기판 각각은 실리콘 또는 실리콘 카바이드를 포함하는,
    질화물 화합물 구조물의 제조 방법.
  14. 제 11 항에 있어서,
    상기 제 1 처리 챔버는 무-Ga 환경이며, 상기 제 1 Ⅲ족 질화물 층은 Al, AlN, 또는 SiN을 포함하는,
    질화물 화합물 구조물의 제조 방법.
  15. 제 11 항에 있어서,
    상기 제 2 처리 챔버는 무-알루미늄 환경인,
    질화물 화합물 구조물의 제조 방법.
KR1020117030756A 2010-04-01 2011-03-22 핵생성 층을 포함하는 질화물 화합물 구조물의 형성 KR20130046333A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US32023410P 2010-04-01 2010-04-01
US61/320,234 2010-04-01
US13/052,861 US20110244617A1 (en) 2010-04-01 2011-03-21 Forming a compound-nitride structure that includes a nucleation layer
US13/052,861 2011-03-21
PCT/US2011/029463 WO2011123291A2 (en) 2010-04-01 2011-03-22 Forming a compound-nitride structure that includes a nucleation layer

Publications (1)

Publication Number Publication Date
KR20130046333A true KR20130046333A (ko) 2013-05-07

Family

ID=44710138

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117030756A KR20130046333A (ko) 2010-04-01 2011-03-22 핵생성 층을 포함하는 질화물 화합물 구조물의 형성

Country Status (5)

Country Link
US (2) US20110244663A1 (ko)
KR (1) KR20130046333A (ko)
CN (1) CN102640259A (ko)
TW (1) TW201201401A (ko)
WO (1) WO2011123291A2 (ko)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8409895B2 (en) * 2010-12-16 2013-04-02 Applied Materials, Inc. Gallium nitride-based LED fabrication with PVD-formed aluminum nitride buffer layer
US20130032810A1 (en) * 2011-08-03 2013-02-07 Bridgelux, Inc. Led on silicon substrate using zinc-sulfide as buffer layer
TW201315834A (zh) * 2011-10-13 2013-04-16 Ind Tech Res Inst 有機金屬化學汽相沈積的方法與裝置
JP2013143475A (ja) * 2012-01-11 2013-07-22 Ulvac Japan Ltd 発光デバイスの製造方法及び真空処理装置
US8691706B2 (en) * 2012-01-12 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing substrate warpage in semiconductor processing
WO2013158210A2 (en) 2012-02-17 2013-10-24 Yale University Heterogeneous material integration through guided lateral growth
KR101843513B1 (ko) * 2012-02-24 2018-03-29 서울바이오시스 주식회사 질화갈륨계 발광 다이오드
JP5319810B2 (ja) * 2012-03-08 2013-10-16 株式会社東芝 窒化物半導体層の製造方法
US9396933B2 (en) 2012-04-26 2016-07-19 Applied Materials, Inc. PVD buffer layers for LED fabrication
WO2014008162A1 (en) * 2012-07-02 2014-01-09 Applied Materials, Inc. Aluminum-nitride buffer and active layers by physical vapor deposition
WO2014008557A1 (en) * 2012-07-13 2014-01-16 Gallium Enterprises Pty Ltd Apparatus and method for film formation
CN102851733B (zh) * 2012-09-04 2016-08-17 苏州晶湛半导体有限公司 氮化镓基材料及器件的制备系统和制备方法
US9978904B2 (en) * 2012-10-16 2018-05-22 Soraa, Inc. Indium gallium nitride light emitting devices
CN103904169A (zh) * 2012-12-26 2014-07-02 光达光电设备科技(嘉兴)有限公司 一种led外延结构的生长方法及其设备
US9929310B2 (en) 2013-03-14 2018-03-27 Applied Materials, Inc. Oxygen controlled PVD aluminum nitride buffer for gallium nitride-based optoelectronic and electronic devices
US9711352B2 (en) 2013-03-15 2017-07-18 Yale University Large-area, laterally-grown epitaxial semiconductor layers
US20140315371A1 (en) * 2013-04-17 2014-10-23 International Business Machines Corporation Methods of forming isolation regions for bulk finfet semiconductor devices
JP6199619B2 (ja) * 2013-06-13 2017-09-20 株式会社ニューフレアテクノロジー 気相成長装置
JP6153401B2 (ja) * 2013-07-02 2017-06-28 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
CN105518838B (zh) 2013-07-02 2019-11-26 雅达公司 使用快速热加工形成异质外延层以除去晶格位错
CN103361719B (zh) * 2013-07-05 2016-08-10 华灿光电股份有限公司 一种在缓冲层上生长氮化镓外延层的方法
SG11201601129VA (en) * 2013-09-23 2016-03-30 Ultratech Inc Method and apparatus for forming device quality gallium nitride layers on silicon substrates
US20150187618A1 (en) * 2013-12-30 2015-07-02 Enkris Semiconductor, Inc. System and method for forming gan-based device
US9412902B2 (en) 2014-02-22 2016-08-09 Sensor Electronic Technology, Inc. Semiconductor structure with stress-reducing buffer structure
US10199535B2 (en) 2014-02-22 2019-02-05 Sensor Electronic Technology, Inc. Semiconductor structure with stress-reducing buffer structure
CN106233471A (zh) * 2014-04-16 2016-12-14 耶鲁大学 蓝宝石衬底上的氮‑极性的半极性GaN层和器件
US9978845B2 (en) 2014-04-16 2018-05-22 Yale University Method of obtaining planar semipolar gallium nitride surfaces
KR102188493B1 (ko) 2014-04-25 2020-12-09 삼성전자주식회사 질화물 단결정 성장방법 및 질화물 반도체 소자 제조방법
CN105304780A (zh) * 2014-06-25 2016-02-03 南通同方半导体有限公司 一种具有高空穴浓度的P-GaN蓝光LED外延结构
CN104952710B (zh) * 2015-06-12 2018-01-30 湘能华磊光电股份有限公司 一种led外延层生长方法
JP6834207B2 (ja) * 2016-07-13 2021-02-24 富士電機株式会社 半導体装置の製造方法
US10312081B2 (en) 2016-07-15 2019-06-04 University Of Kentucky Research Foundation Synthesis of metal oxide surfaces and interfaces with crystallographic control using solid-liquid-vapor etching and vapor-liquid-solid growth
TW201810383A (zh) 2016-08-12 2018-03-16 耶魯大學 通過在生長期間消除氮極性面的生長在異質基板上的無堆疊錯誤的半極性及非極性GaN
CN107785243B (zh) * 2016-08-26 2023-06-20 住友电工光电子器件创新株式会社 形成氮化物半导体层的工艺
JP6753634B2 (ja) * 2016-08-26 2020-09-09 住友電工デバイス・イノベーション株式会社 半導体装置の製造方法
US10600645B2 (en) * 2016-12-15 2020-03-24 Samsung Electronics Co., Ltd. Manufacturing method of gallium nitride substrate
CN106816503A (zh) * 2017-01-23 2017-06-09 华灿光电(浙江)有限公司 一种蓝绿光发光二极管的外延片及制备方法
US10818839B2 (en) 2018-03-15 2020-10-27 Samsung Electronics Co., Ltd. Apparatus for and method of fabricating semiconductor devices
DE102019111598A1 (de) * 2019-05-06 2020-11-12 Aixtron Se Verfahren zum Abscheiden eines Halbleiter-Schichtsystems, welches Gallium und Indium enthält
FR3098019B1 (fr) * 2019-06-25 2022-05-20 Aledia Dispositif optoélectronique comprenant des éléments semi-conducteurs tridimensionnels et procédé pour sa fabrication

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7781356B2 (en) * 2003-02-12 2010-08-24 Arizona Board of Regents, a Body Corporate Epitaxial growth of group III nitrides on silicon substrates via a reflective lattice-matched zirconium diboride buffer layer
TW200723624A (en) * 2005-12-05 2007-06-16 Univ Nat Chiao Tung Process of producing group III nitride based reflectors
JP5265090B2 (ja) * 2006-04-14 2013-08-14 豊田合成株式会社 半導体発光素子およびランプ
KR100707215B1 (ko) * 2006-04-25 2007-04-13 삼성전자주식회사 고배향성 실리콘 박막 형성 방법, 3d 반도체소자 제조방법 및 3d 반도체소자
US7585769B2 (en) * 2006-05-05 2009-09-08 Applied Materials, Inc. Parasitic particle suppression in growth of III-V nitride films using MOCVD and HVPE
US20080050889A1 (en) * 2006-08-24 2008-02-28 Applied Materials, Inc. Hotwall reactor and method for reducing particle formation in GaN MOCVD
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US8183132B2 (en) * 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool

Also Published As

Publication number Publication date
CN102640259A (zh) 2012-08-15
TW201201401A (en) 2012-01-01
WO2011123291A3 (en) 2012-04-19
WO2011123291A2 (en) 2011-10-06
US20110244663A1 (en) 2011-10-06
US20110244617A1 (en) 2011-10-06

Similar Documents

Publication Publication Date Title
US8110889B2 (en) MOCVD single chamber split process for LED manufacturing
KR20130046333A (ko) 핵생성 층을 포함하는 질화물 화합물 구조물의 형성
US8642368B2 (en) Enhancement of LED light extraction with in-situ surface roughening
US8183132B2 (en) Methods for fabricating group III nitride structures with a cluster tool
US8080466B2 (en) Method for growth of nitrogen face (N-face) polarity compound nitride semiconductor device with integrated processing system
US20110081771A1 (en) Multichamber split processes for led manufacturing
US7364991B2 (en) Buffer-layer treatment of MOCVD-grown nitride structures
US20080050889A1 (en) Hotwall reactor and method for reducing particle formation in GaN MOCVD
US8138069B2 (en) Substrate pretreatment for subsequent high temperature group III depositions
US8853086B2 (en) Methods for pretreatment of group III-nitride depositions
TWI496935B (zh) Mocvd腔室在原位清潔後利用nh3淨化之去汙染
US20110207256A1 (en) In-situ acceptor activation with nitrogen and/or oxygen plasma treatment
US20120015502A1 (en) p-GaN Fabrication Process Utilizing a Dedicated Chamber and Method of Minimizing Magnesium Redistribution for Sharper Decay Profile
WO2010129289A2 (en) Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US8318522B2 (en) Surface passivation techniques for chamber-split processing

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid