JP2017526191A - 低温で結晶層特にiv族半導体層を堆積する方法及び光電子部品 - Google Patents

低温で結晶層特にiv族半導体層を堆積する方法及び光電子部品 Download PDF

Info

Publication number
JP2017526191A
JP2017526191A JP2017517187A JP2017517187A JP2017526191A JP 2017526191 A JP2017526191 A JP 2017526191A JP 2017517187 A JP2017517187 A JP 2017517187A JP 2017517187 A JP2017517187 A JP 2017517187A JP 2017526191 A JP2017526191 A JP 2017526191A
Authority
JP
Japan
Prior art keywords
layer
substrate
reaction
hydride
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017517187A
Other languages
English (en)
Other versions
JP6608438B2 (ja
Inventor
デトレフ、グリュッツマハー
ヴィルト、ステファン
ミハイ ブーカ、ダン
ミハイ ブーカ、ダン
マントル、ジークフリート
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Forschungszentrum Juelich GmbH
Original Assignee
Forschungszentrum Juelich GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Forschungszentrum Juelich GmbH filed Critical Forschungszentrum Juelich GmbH
Publication of JP2017526191A publication Critical patent/JP2017526191A/ja
Application granted granted Critical
Publication of JP6608438B2 publication Critical patent/JP6608438B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/46Sulfur-, selenium- or tellurium-containing compounds
    • C30B29/48AIIBVI compounds wherein A is Zn, Cd or Hg, and B is S, Se or Te
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K11/00Luminescent, e.g. electroluminescent, chemiluminescent materials
    • C09K11/08Luminescent, e.g. electroluminescent, chemiluminescent materials containing inorganic luminescent materials
    • C09K11/66Luminescent, e.g. electroluminescent, chemiluminescent materials containing inorganic luminescent materials containing germanium, tin or lead
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • C30B25/183Epitaxial-layer growth characterised by the substrate being provided with a buffer layer, e.g. a lattice matching layer
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/40AIIIBV compounds wherein A is B, Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/52Alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/02452Group 14 semiconducting materials including tin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02535Group 14 semiconducting materials including tin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Optics & Photonics (AREA)
  • Electromagnetism (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Recrystallisation Techniques (AREA)
  • Semiconductor Lasers (AREA)

Abstract

励起されたとき成長しかつ複数のIV主族の元素から構成され、106cm−2未満の転位密度を有する単結晶のIV−IV層特にGeSn又はSiGeSnの層を、IV基板特にシリコン又はゲルマニウムの基板上にモノリシックに堆積するための方法において、Ge2H6又はSi2Cl6等である第1のIV族元素Aの水素化物を付与するステップと、SnCl4等である第2のIV族元素Bのハロゲン化物を扶養するステップと、純粋な水素化物又はそれから形成されたラジカルの分解温度より低くかつ第1の元素A及び第2の元素Bの原子が基板に結晶順序で組み込まれる程に十分に高い基板温度に基板を加熱し、基板温度が特に300℃〜475℃の間であるステップと、特にN2、Ar、Heであり特にH2ではない搬送ガスの搬送ガス流を生成するステップと、水素化物及びハロゲン化物並びにそれらから生じた分解生成物を最大300mbarの全圧にて基板に搬送するステップと、少なくとも200nmの厚さを有し、堆積された層が特にSiyGe1−x−ySn層でありx>0.08かつy≦1であるIV−IV層又は同じタイプのIV−IV層からなる層シーケンスを堆積させるステップと、を有する。【選択図】図4

Description

本発明は、例えば電圧の印加により励起されて可視光、赤外光又は紫外光領域の光中で結合することによる直接電子バンド遷移の結果として成長する、特に2元、3元又は4元半導体結晶を堆積するための方法に関する。
本発明はさらに、この種の結晶からなる層を有する光電子部品に関し、かつ、この種の部品を有する集積回路にも関する。
本発明はさらに、低温での、特にその熱力学的平衡から外れた結晶の結晶層の堆積に関する。
ガリウムヒ素層の堆積は、非特許文献1により既に公知である。ガリウムは塩化物の形態で、そしてヒ素は水素化物の形態でプロセスチャンバに導入される。温度を低下させるとともにそして全圧を低下させるとともに成長速度が低下する。全圧に対する成長速度の依存性は、約100mbarで最小値を有し、10mbarまでのより低い全圧では10倍以上に上昇する。
工業的に使用される半導体部品及び特に集積回路、特に論理回路又はマイクロプロセッサに用いられる回路の大部分は、シリコン技術を用いて製造される。シリコン基板が用いられ、その上に、ドーピングされた又はドーピングされないシリコン層又はシリコン酸化物層が堆積される。異なる格子定数にも拘わらず、例えばゲルマニウム又はゲルマニウムスズ等の他のIV−IV族合金をシリコン結晶上に結晶法により堆積することも可能である。従来製造されているIV−IV族結晶の技術的欠点は、直接電子バンド遷移がないことである。シリコン及びゲルマニウムの双方だけでなく、熱力学的に安定なゲルマニウムスズ又はシリコンは、例えばLEDやレーザー等、光学的に励起可能な部品の製造のための直接バンド遷移安定性を有しない。
結晶として無歪みゲルマニウムスズ合金は、特にスズ濃度が少なくとも約20%において熱力学的平衡以外の直接バンド遷移を有すると考えられている。しかしながら、ゲルマニウムスズ合金における平衡スズ濃度は、1%未満である。熱力学的に準安定な結晶の形成を伴うスズ濃度の上昇は、L谷の領域における伝導帯の低下よりも大きなΓ谷の領域における伝導帯の低下につながると考えられている。Γ谷において直接バンド遷移がその後形成され、それは所望する光学特性をもつ結晶をもたらすこととなる。
シリコン基板上又はゲルマニウムバッファ層上でのゲルマニウムスズ層又はシリコンゲルマニウムスズ層の堆積は、非特許文献2に記載されている。最大11%のスズ比率を有してそこに堆積される45nm又は65/100nmの厚さの層は、いかなるルミネッセンスも示さなかった。擬似的成長が見出された。そこに記載された実験は、基板温度350℃と475℃の間で行われた。Si(60Pa)、Ge(120Pa)及びScCl(0.6Pa)がプロセスガスとして用いられた。
シリコン基板上にゲルマニウム層を堆積可能でありかつシリコン基板上にゲルマニウムスズ層を堆積可能であるCVD反応炉の実験的構成は、非特許文献3に記載されている。
非特許文献4〜7もまた従来技術に含まれ、非ルミネッセンスのゲルマニウムスズ層の堆積を記載している。
シリコン及びゲルマニウムを含む堆積層又は層シーケンスを堆積するための装置は、特許文献1に記載されている。CVDプロセスにおけるラジカルの使用は、既に特許文献2により公知である。
独国特許出願公開第10 2004 034 103号公報 米国特許第6200893号明細書
"DEPOSITION OF HIGH QUALITY GaAs FILMS AT FAST RATES IN THE LP-CVD SYSTEM", Journal of crystal grows 94 (1989) 607-612 "SiGeSn growth studies using reduced pressure chemical vapor deposition towards optoelectronic applications" in Thin Solid Films 2013 (S. Wirths, et al., Thin Solid Films (2013), http://dx.doi.Org/10.1016/j.tsf.2013.10.078) "Reduced Pressure CVD Growth of Ge and Gei-xSnxAlloys" ESC Journal of Solid State Science and Technology, 2(5) N99-N102 (2013) "Band engineering and growth of tensile strained Ge/ (Si)GeSn heterostructures for tunnel field effect transistors" Applied Physics Letters 102, 192103 (2013) "Tensely strained GeSn alloys as optical gain media" Applied Physics Letters 103, 192110 (2013) "Low temperature RPCVD epitaxial growth of Sii-xGexusing Si2H6and Ge2H6" Solid-State Electronics 83 (2013) 2-9 "Epitaxial Growth of Gei-xSnxby Reduced Pressure CVD Using SnCk and Ge2H6" ECS Transactins, 50 (9) 885-893 (2012)
本発明の目的は、シリコン基板上に光学的に活性な部品のモノリシック集積を可能とするために、低温で結晶を堆積する、特にそれらの結晶の熱力学的平衡を外れて堆積することであり、特に光学的に活性な又は活性化可能なIV族半導体層を堆積することである。
この目的は、特許請求の範囲にて特定される本発明により達成される。
本発明の第1の態様は、結晶半導体層を堆積するための方法に関する。半導体層は、少なくとも1又は複数の元素からなる。1又は複数の元素は、ガス状開始物質の成分として不活性搬送ガスとともにCVD反応炉のプロセスチャンバに供給される。第1のガス状開始物質は、例えばCH、SiH、Si、AsH、PH又はNHなどの水素化物とすることができる。第2のガス状開始物質は、例えばSiCl、GeCl、CCl、SnCl、GaCl、InCl又はAlCl などの塩化物とすることができる。搬送ガスは、N、Ar、Heとすることができるが、Hとすべきではない。この方法を実行する装置は、好適には、例えばシャワーヘッドの形態のガス入口部材を有する。これは、1又は複数のガス分配室を有し、その各々が複数のガス出口孔を具備し、それらはプロセスチャンバに開口している。プロセスガスはガス出口孔を通ってプロセスチャンバに流入することができる。ガス入口部材は、そこでの開始物質の未到着分解を避けるために冷却される。
分解プロセスは、低圧範囲にて、すなわち300mbar未満、200mbar未満、100mbar未満、又はより好適には60mbar未満の圧力下で行われる。第1の開始物質からラジカルが生成される。これは文献記載の方法により、例えばプラズマ、熱ワイヤ又は高周波活性化により生じる得る。しかしながら、好適にはラジカルは、第1のプロセスガスがガス入口部材又はプロセスチャンバに入る際に、大気圧より高い高圧から低圧へと拡散させられ、その場合、その低圧はプロセスチャンバの全圧に対応する。その全圧は300mbar未満である。
例として、Ge又はSiは、ゲルマニウム又はシランのラジカル(GeH 又はSiH )に分解可能である。プロセスチャンバ内の低い分圧のために、逆反応速度が低下することにより、プロセスチャンバに供給される搬送ガスが、基板温度に加熱された半導体基板の表面へとラジカルを搬送する。気相には、Hは全く無いか又は最小限しか存在しないので、GeH又はSiHを形成するための必要な反応パラメータはない。よって高度に希釈されたラジカルが気相中に生成される。
基板温度は、純粋なラジカルの分解温度よりも低い。この結果、塩化物の混合無しでは1nm/h又はそれ未満の極めて小さい成長のみを生じることになる。しかしながら、本発明では、塩化物がラジカルと共に基板へと搬送される。そこで、ラジカルと塩化物の間に第1の反応が生じる。この発熱反応において反応熱が放出され、層又は基板の表面における局所的な温度上昇をもたらす。よって、第1の反応が生じる場所における表面は、第1の開始物質と特にそれから分離したラジカルを分解させるに十分な温度を有する。第1の反応においてガス状の反応生成物が形成され、それは搬送ガスとともに運び去られる。第1及び第2の元素は、非ガス状の反応生成物として表面に残る。
第1の反応の反応熱により開始される第2の反応の場合、ラジカルが、搬送ガスとともに運び去られる揮発性反応生成物と、第1の元素へと分解する。同時に生じる2つの反応の結果、第1の元素と第2の元素の原子が基板表面に吸着される。基板は、例えばランプヒーターにより下方から加熱されるサセプタ上に載置されている。これは、シャワーヘッドのガス出口表面から約1〜2cmだけ離れている。基板温度が上述した上限値より低いことにより、純粋な水素化物又は純粋なラジカルは表面では分解できず、上述した連鎖反応によってのみその成分へと分解できる。
2つの化学反応から得られる不揮発性反応生成物の表面移動性を確保するために基板温度は十分に高い(好適には300℃と450℃の間の範囲にある)。ラジカルと塩化物の間の第1の反応の反応熱は、表面移動性の促進に大きく寄与する。従って、表面に吸着された1又は複数の元素の原子は、エネルギー的に好ましい結晶格子内の組込み場所を見出すべく短時間かつ短距離だけ表面上をある程度移動することができる。
局所的表面温度は、第1の元素の原子と第2の元素の原子が結晶順序にて表面に組み込まれる程度の高い温度である。層の表面は基板よりも高温であり、すなわち表面は基板温度よりも高い温度を有するので、基板温度であれば生じるであろう表面の粗さは排除される。アモルファス化は生じない。冒頭で言及した文献に記録された実験においては、薄いSiGeSn層が、Ge層の上に堆積された。そこでのプロセス条件下において比較的薄い(45nmと85nmの厚さ)層がシリコン基板とゲルマニウム疑似基板上にそれぞれ堆積された。GeSn結晶とGe結晶の結晶格子定数が比較的大きく異なることから、成長した材料の結晶の正方晶の歪みが堆積とともに生じる。
成長方向に垂直な平面格子定数は、基板の立方格子定数と一致する。成長方向に平行に延在する垂直格子定数は増大する。冒頭で述べた実験においては、層の厚さが増すにつれて粗くなっていく表面が観察された。使用されたSi基板との境界における格子不整合転位の形成が観察され、それは高密度の貫通転位であり、全体で85nmの層に亘って表面に引き起こされた。GeSn成長に用いた低い成長温度においては、ガス状開始物質又は表面に吸着されたその分解生成物の分子の表面移動性が大きく低下するため、大きな粗さを生じ、究極的にはアモルファス化が観察される。
驚くべきことに、本発明によるラジカルに支援された成長方法のおかげで、特にGeバッファ層の使用により、そうでない場合に予想される「エピタキシャルブレイクダウン」が無いことが発見された。臨界的な層厚以上の層厚では、層の緩和が開始され、それは80%又はそれ以上、そして好適には80%以上に成長可能である。貫通転位の密度は、10cm−2未満又は10cm−2未満の値に減少する。格子不整合転位は、境界に近い小さな領域(10nm〜20nm)に局在している。そうでない場合に予想される貫通転位又は螺旋転位は測定できなかった。有意な格子不整合転位は、境界から10nm〜20nmまでの領域においてのみ観察され、Geバッファ層中の転位もまた境界層に直接隣接して観察された。
緩和機構は、特にほとんど欠陥のないGeバッファ層上に堆積された200nmの層厚をもつ層において観察され、そのGeバッファ層はSi(001)基板上に堆積されたものであった。バッファ層の堆積及びIV−IV層の堆積の双方を、CVD反応炉のプロセスチャンバ内の連続的なプロセスステップの全体プロセスで、プロセスチャンバをその間に開けることなく行うことができる。少なくとも200nmの、好適には300nmの層成長が重要である。
本発明による方法は、層表面を局所的に加熱するために反応ガスソース(ラジカル)の反応熱を利用する。結晶成長は、非平衡状態で起こる。化学反応により発生する局所的な熱が大きな温度勾配の結果として短時間で結晶中に運ばれるので、非平衡状態はある程度まで凍結される。上述したV主族の水素化物の1つは、第1のガス状開始物質として用いることができる。上述したIII主族のハロゲン化物の1つは、好適には第2のガス状開始物質として用いられる。VI主族の元素の水素化物及びII主族の元素のハロゲン化物、特に塩化物も用いることができる。しかしながら、この方法は、特にIV−IV基板上にIV−IV層を堆積するために用いられることが好適である。2つのガス状開始物質は、好適には2つの異なる元素、例えばGeとSnを含む。Si、場合によってはSiH又はGe、場合によってはGeHもまた第1のガス状開始物質として用いられる。
IV主族の元素のハロゲン化物、例えばホウ化物、ヨウ化物又は塩化物が第2の開始物質として用いられる。SnClが好適に用いられる。SnCl及びGe又はSiが冷却されたガス入口部材を通してプロセスチャンバに導入される。プロセスチャンバ内の全圧は、Geが、しかしながら好適にはSiもまた、主にラジカルに分解されたときの値を有する。これらのラジカルは、特にN、好適にはHではない不活性搬送ガスを用いて塩化物と共に基板表面に運ばれる。
分子は表面に吸着する。ラジカルと塩化物の間で発熱反応が生じ、HClとエネルギーが解放される。エネルギーはさらにラジカルの分解と表面の局所的加熱を活性化し、それによりGe原子とSn原子が、単結晶様式で結晶へと統合されるのに十分な表面移動性を有するようになる。8%を超えるSn比率であっても、ラジカルを形成するための前提条件が存在しかつ臨界厚さ以上の層厚が堆積されたときに、フォトルミネッセンス層が生成されることが観察された。間接バンド遷移から直接バンド遷移への変化が、歪みに依存して、Ge又はSiGeにおける8%を超える、特に10%を超えるSn比率により生じる。歪みの無いGeSnのために必要な最大のSn比率は、14%又は20%である。
水素化物、特にGeは、プロセスチャンバ内で60Pa〜120Paの範囲の分圧を有する。ハロゲン化物、特にSnClは、分圧が水素化物の分圧の約0.1%〜5%となるような、特に0.03Pa〜1.25Paの範囲となるようなフローでプロセスチャンバに供給される。光学活性層は、好適には、緩和されたGeバッファ層上に堆積される。成長速度は、10nm/分〜50nm/分の間である。しかしながら、2つのガス状開始物質は、これらの元素、例えばC、Si、Geもまた含むことができる。従って、第1のガス状開始物質は、炭化水素、シリコン水素、又はゲルマニウム水素とすることができる。第2のガス状開始物質は、塩化炭素、塩化ケイ素、又は塩化ゲルマニウムとすることができる。CHラジカルは、本発明のこの変形例では、例えばCClと基板表面で反応することができ、ダイヤモンド層を形成することができる。従って、元素半導体、例えばシリコン半導体又はゲルマニウム半導体を、低温で堆積させることができる。
本発明はさらに、上述した方法により堆積された層、特にGeSn又はSiGeSnの層を有する層構造をもつ部品に関する。Sn比率は少なくとも8%、好適には少なくとも10%であり、又は、8%〜20%の範囲、好適には10%〜14%の範囲にある。層の厚さは、200nmより大きく、好適には300nmより大きい。層体積内の、すなわちバッファ層との境界から10nm〜20nmにある領域の外側の転位密度は、10cm−2未満又は10cm−2未満である。単にバッファ層に直接隣接する領域では、層の転位密度はより高い。境界から10nm〜20nm離れた領域では、特に階段状転位が視られるが、螺旋転位又は貫通転位は、ほとんど視られない。層は、約450meVのエネルギーの直接バンド遷移を有し、光励起又は電気励起後にフォトルミネッセンスが可能である。
本発明はさらに、モノリシックに構成された集積回路を有する集積回路、特にMOS回路に関し、その場合、特にSi基板が基板として用いられる。本発明によれば、GeSn層シーケンス又はGeSnを含む層シーケンスが、基板又は基板に適用されたバッファ層に適用され、特に層シーケンスが、2つのSiGeSn層の間に配置されたGeSn層を含むように提供される。このタイプの集積回路は、複数の公知の構成要素を有し、例えばトランジスタ、キャパシタ、並びに導電層及び電気絶縁層である。本発明によれば、集積回路が、同じ基板上にモノリシックに堆積された光学的に活性な構成要素により補われているので、このタイプの集積回路において情報を分配するために電流のみでなく光も用いることができる。
本発明はさらに、励起されたときに輝きかつIII主族及びV主族の元素からなる単結晶層を堆積させる方法に関する。III−V層、特にGaN層が、例えばサファイア基板、シリコン基板又はIII−V基板である基板上に堆積させられる。層は、<10cm−2の転位密度を有することができる。この方法は、IV−IV層の堆積に関して上述した方法ステップと同様に実施される。 V主族元素の水素化物が最初に提供され、この水素化物はNHまたはNHRとすることができ、Rは有機基、例えばC(第三ブチラート)である。II主族元素のハロゲン化物、例えばGaClが提供される基板は、V主族の元素を含む水素化物として上述されている化合物の分解温度よりも低い基板温度に加熱される。さらに、基板温度は、この水素化物から形成されたラジカルの分解温度よりも低いが、III主族元素及びV主族元素の原子が形成層の表面に結晶順序で組み込まれる程に十分高く、その場合、基板温度は、特に300℃〜475℃の範囲にある。
不活性搬送ガスの搬送ガス流が生成され、それらはHではなくN、Ar、Heとすることができる。水素化物及びハロゲン化物並びにそれから生じる分解生成物は、基材の表面に搬送される。これは、好ましくは最大300mbarの全圧で起こる。III−V層が堆積されるか、又は同一の層からなる層シーケンスが堆積される。層の厚さは少なくとも200nmでなければならない。この方法は、水素化物からラジカルが形成されるように実施される。NHの使用により、これは、例えばNH及びHへの解離により生じ得る。NHRの使用により、これは、有機基Rの分離によって起こり得る。このようにして形成されたラジカルは、第1の反応において、III主族元素のハロゲン化物と表面で発熱反応する。V主族の元素及びIII主族の元素の原子が反応生成物として生成される。原子は表面に残る。第2の反応では、第1の反応の間に放出された熱を吸収することによって、ラジカルが第1の反応と同時に吸熱反応する。
本発明の詳細は添付の図面を基に以下説明される。
図1は、層を堆積させるために用いることができるCVD反応炉の断面を示す。 図2aは、Sn比率8%のGeSn半導体の電子バンド構造を示す。 図2bは、Sn比率13%のGeSn半導体の電子バンド構造を示す。 図3aは、Sn比率8%のGeSn半導体のフォトルミネッセンススペクトルを示す。 図3bは、Sn比率9.6%のGeSn半導体のフォトルミネッセンススペクトルを示す。 図3cは、Sn比率11%のGeSn半導体のフォトルミネッセンススペクトルを示す。 図3bは、Sn比率12.6%のGeSn半導体のフォトルミネッセンススペクトルを示す。 図4は、本発明による層シーケンスの例を示す。 図5は、GaNの堆積に関する図1による図を示す。
図1は、本発明による方法を実行するために又は部品の層を堆積するために用いられるCVD反応炉の概略断面図を示している。図示されていないのは、プロセスガス、特にSi及びGe及びさらにSnClを供給し、不活性ガスとしてNを供給する外部ガス供給源である。反応炉は、外部に対して気密に閉鎖されたハウジングを有し、その内部は真空装置によって排気することができ、又は0bar〜1000mbarの範囲の全圧に調節することができる。反応炉ハウジングの内部には、シャワーヘッド形状のガス入口部材1が配置されている。ここで、その内部は、プロセスガス及び不活性ガスが供給されるガス分配室である。図1は、ガス分配空間を1つのみ有するガス入口部材を示している。しかしながら、3つのプロセスガスがガス入口部材1の下方に配置されたプロセスチャンバ3に、ガス分配室をそれぞれ通って互いに別々に導入されることも可能である。これは、ガス入口部材1のガス出口表面におけるガス出口孔2を介して生じる。
プロセスチャンバ3の底部は、グラファイトサセプタ5により形成されており、それはガス出口面から約1cm〜2cm離れている。1又は複数のSi基板4がサセプタ5上に配置されている。
ヒーター6は、例えばランプヒーターであり、サセプタ5を例えば300℃〜400℃のプロセス温度に加熱するためにサセプタ5の下方に配置される。
本発明の本質を説明するために4つの実験を例として説明する。4つの層A、B、C、Dの成長温度は、以下のテーブルから推測することができる。
Figure 2017526191
を搬送ガスとして用いた。しかしながら、SnClバブラーは、ガス状のSnClを反応炉に導入するために、搬送ガスとしてHを用いて操作した。層A及び層Cは、一見、同じ成長パラメータで生成された。しかし、ここでは、CVDシステム、特にSnClソースにおけるドリフトのために、実際のSnCl流量が異なることを考慮に入れなければならない。バブラーを通るH搬送ガス流中のSnClの希釈比は約10%であり、特にソース容器内の液体開始物質の充填レベルに依存するドリフトを受けた。
先ずGeバッファ層をSi(001)基板上に堆積した。Geバッファ層は、高品質の表面を有する。ほとんど欠陥がなくかつ0.25nmの範囲の表面粗さをもつGeバッファ層であった。Geバッファ層は、Geをプロセスチャンバに導入することにより堆積させた。
全圧60mbarにおいてGe流量400sccm及び全流量2000sccmにて、4つの異なる実験においてGeバッファ層上にGe1−xSnの層を堆積させた。Sn比率は8%、9.6%、11.1%及び12.6%であった。堆積は異なる温度で行われ、成長温度はSnの組込みに影響を与えた。成長速度は、17nm /分〜49nm/分の間で変化した。層を約200nmの厚さで堆積させた。
図2a及び図2bは、Ge1−xSn結晶のバンド構造を示しており、図2aはSn比率8%の結晶のバンド構造であり、図2bはSn比率13%の結晶のバンド構造である。図2aは、直接バンドギャップ(Γ谷)が間接バンドギャップ(L谷)よりも大きいエネルギーを有することを示している。Sn比率が増すにつれて、間接バンドギャップ(L谷)及び直接バンドギャップ(Γ谷)の双方が変位し、直接バンド遷移のバンドギャップのエネルギーがより大きく低下することによって、Sn比率8%からSn比率13%の間の領域においてバンド遷移が間接から直接へと変化する。バンド構造はSn比率にのみ依存するのではなく格子歪みにも依存するので、臨界的なSn比率は特定できない。
図3a〜図3dは、異なるSn比率をもつ層のフォトルミネッセンススペクトルを示す。いずれも20°Kにおいて、Sn比率8%の層Aは弱いフォトルミネッセンスのみであり、Sn比率9.6%の層Bは既に識別可能なフォトルミネッセンスを有し、Sn比率11.1%の層Cは大きなフォトルミネッセンスを有し、そしてSn比率12.6%の層Dは強いフォトルミネッセンスを有する。
図4は、少なくとも200nmの層厚をもつ層シーケンス13、14、15からなる発光層構造の例を示す。図4に示した層シーケンスから、例えば、シリコン基板11にモノリシックに適用された回路にモノリシックに集積することができるレーザー部品を生成することが可能である。先ず、Geバッファ層12をシリコン基板11の上に堆積させる。続いて、層シーケンスの、p−ドーピングされた第1の層SiGeSn13をGeバッファ層12の上に堆積させる。その上に活性なGeSn層14を堆積させる。最後にn−ドーピングされたSiGeSn層15を活性層14の上に堆積させる。Sn比(x値)は、0.1〜0.14の範囲内にある。Si比(y値)は0〜0.2の範囲内にある。
この方法では、Geは、貯蔵容器から1000mbar以上の圧力にてNと共にガス入口部材1内に供給される。Ge及びGeH は、以下の平衡反応に従って熱力学的平衡状態にある。
Ge −> 2GeH
貯蔵容器内では平衡反応の左側が優勢であるのに対し、この方法は、プロセスチャンバ内で平衡反応の右側が優勢であるように実行される。GeからGeH への分解は、大気圧を超える圧力から大気圧より低い圧力へのガスの気圧膨張によって実現される。実施形態の例においては、膨張は60mbarの後に生じる。
さらに、SnClが、搬送ガスとしてのNと共にプロセスチャンバに導入され、SnCl及びGeH が、加熱されたサセプタ5上に載置されかつ350℃〜390℃の間の表面温度をもつ基板4の表面に運ばれる。SnCl及びGeH は表面に吸着し、そこで互いに発熱反応を行う。
4GeH +3SnCl −> 4Ge+3Sn+12HCl+エネルギー
この反応中に生じるHClは、搬送ガスによりプロセスチャンバ3から運ばれる。放出されたエネルギーは、表面の局所的加熱を生じさせる。Ge原子及びSn原子は表面に吸着されたままである。
表面温度の局所的上昇の結果、以下の吸熱分解反応が生じる。
2GeH +エネルギー −> 2Ge + 3H
並行して、解離していないGeの以下の分解も起こり得る。
Ge+エネルギー −> 2Ge + 3H
その結果として生じる水素は、搬送ガスによって運ばれる。Ge原子およびSn原子が単結晶様式で結晶を形成するのに十分な表面移動度を有するような温度に表面が局所的にに加熱される。プロセス温度(350℃〜390℃)において、堆積された結晶は、熱力学的平衡をはるかに超えた結晶構造を有する(Sn比率が1%以下であるにも拘わらず、Sn比率が最大20%となり得る)。
Si成分が追加的にプロセスチャンバに導入された場合、これは開始材料としてSiの使用により生じるが、これは上述した機構と同様に吸着されたSi原子へと分解される。
以下の組成をもつ層が堆積される。
SiGe1−x−ySn
0.008≦x≦0.14
0≦y≦0.2
層14又は層シーケンス13、14、15は、本発明によれば、少なくとも200nmの、好適には少なくとも300nmの最小厚さdを有するべきである。 15nm/分〜50nm/分の成長速度で堆積されたこのタイプの層の厚さでは、層体積中の、すなわち10nm〜20nmの厚さを有するバッファ層12との境界領域の上方の転位密度が、最大10cm−2〜10cm−2である。境界領域では、すなわち層又は層シーケンスの最初の10nm〜20nmでは、転位密度は遙かに高い値をとることができる。しかしながら、螺旋転位又は貫通転位は、最大密度5×10cm−2を有する。
本発明の変形例では、元素半導体、例えばダイヤモンド層、シリコン層又はゲルマニウム層が、低温エピタキシーにより堆積される。ダイヤモンド層を堆積するために、CH がCClと反応することによりダイヤモンドを形成する。シリコン層を堆積するために、SiH がSiClと反応することによりシリコンを形成し、そしてゲルマニウム層を堆積するためにGeH がGeClと反応することによりゲルマニウムを形成する。
図5を参照して、更なる実施形態の例を以下に説明する。この場合、NHが1000mbarを超える圧力でNHと共に貯蔵容器からガス入口部材1に供給される。ラジカルNH が、熱的に、しかしながら例えばプラズマ発生器又は他のタイプのエネルギー供給だる他の適切な手段も併せて、以下の反応に従って生成される。
NH −> NH +H
さらに、GaClが、ガス入口部材1を通してプロセスチャンバに供給される。
この方法の変形例では、NHの替わりにNHRがプロセスチャンバに供給される。なぜならこのプロセスガスは、少ないエネルギーでラジカルNH の形態となることができるからである。ここで、Rは有機基であり、例えばCである。
NHR −> NH +R
第1の反応において、NH は、以下の反応式に従って塩化ガリウムと発熱反応を行う。
3NH +2GaCl −> 3N+2Ga+6HCl+エネルギー
この反応中に放出されるエネルギーは、以下のように特性される並行反応を促進する。
NH +エネルギー −> N+H
この場合、元素の窒素が生じる。第1の発熱反応中に形成された元素のガリウム及び第2の吸熱反応中に形成された元素の窒素は、表面に堆積される。個々の原子は、エネルギー的に最も好ましい組込み場所を層中に見出すことができるので、エピタキシャル単結晶のGaN層が生成される。その層は、サファイア基板、シリコン基板、又はIII−V基板上に堆積させることができる。
本発明によれば、気相反応で生成されたラジカルが表面に到達して、そこで互いとのみ反応する。
上述した実施形態は、本願により全体的に包含される本発明を説明するためのものであり、それぞれ独立して、少なくとも以下の特徴の組合せにより従来技術を進展させるものである。
第1の元素A及び第2の元素Bからなる単結晶半導体層を堆積するための方法において、不活性ガス特にN、Ar、Heにより形成された搬送ガスと共に、前記第1の元素Aが第2のガス状開始物質の一部として特に水素化物として、かつ前記第2の元素Bが第2のガス状開始物質の一部として特にハロゲン化物として、CVD反応炉のプロセスチャンバ3に供給され、その場合、前記第1の開始物質からラジカルが生成され、そのラジカルと前記第2の開始物質が、純粋なラジカルの分解温度よりも低い基板温度に加熱された半導体基板の表面に運ばれ、その場合、第1の反応において前記ラジカルが前記第2の開始物質特にハロゲン化物と前記表面において発熱反応を行い、その場合、前記第1の元素Aの原子及び前記第2の元素Bの原子が前記表面に反応生成物として残りかつ前記第1の反応と同時に前記第1の反応中に放出された熱を吸収することにより第2の反応において前記ラジカルが吸熱反応により分解し、その場合、前記表面における前記第1の元素Aの原子が前記表面に残り、その場合、前記基板温度は、前記第1の元素A及び前記第2の元素Bの原子が結晶順序で前記表面に組み込まれる程に十分に高いものである。
前記第1の元素Aが例えばヒ素、リン、アンチモン若しくは窒素であるV主族の元素でありかつ前記第2の元素Bが例えばアルミニウム、ガリウム若しくはインジウムであるIII主族の元素であること、又は、前記第1の元素Aが例えば炭素、シリコン若しくはゲルマニウムであるIV族の元素でありかつ前記第2の元素Bが例えば炭素、シリコン、ゲルマニウム若しくはスズであるIV主族の元素であること、又は、前記第1の元素AがVI主族の元素でありかつ前記第2の元素BがII主族の元素であることを特徴とする方法である。
前記プロセスチャンバに供給されるとき1000mbarを超える圧力から300mbar未満のプロセスチャンバ圧力となる前記第1のガス状開始物質の気圧膨張によって前記ラジカルが生成されることを特徴とする方法である。
励起されたとき成長しかつ複数のIV主族の元素から構成され、10cm−2未満の転位密度を有する単結晶のIV−IV層特にGeSn又はSiGeSnの層を、IV基板特にシリコン又はゲルマニウムの基板上にモノリシックに堆積するための方法において、
Ge又はSiCl等である第1のIV族元素(A)の水素化物を付与するステップと、
SnCl等である第2のIV族元素(B)のハロゲン化物を扶養するステップと、
純粋な水素化物又はそれから形成されたラジカルの分解温度より低くかつ前記第1の元素(A)及び前記第2の元素(B)の原子が前記基板に結晶順序で組み込まれる程に十分に高い基板温度に基板を加熱し、前記基板温度が特に300℃〜475℃の間であるステップと、
特にN、Ar、Heであり特にHではない搬送ガスの搬送ガス流を生成するステップと、
前記水素化物及び前記ハロゲン化物並びにそれらから生じた分解生成物を最大300mbarの全圧にて前記基板に搬送するステップと、
少なくとも200nmの厚さを有し、堆積された層が特にSiGe1−x−ySn層でありx>0.08かつy≦1であるIV−IV層又は同じタイプのIV−IV層からなる層シーケンスを堆積させるステップと、を有する方法である。
前記水素化物がGe及び/又はSiでありかつ60Pa〜120Paの分圧にて前記プロセスチャンバに供給されることを特徴とする方法である。
前記ハロゲン化物がSnCl4でありかつ前記水素化物の分圧の0.1%〜5%の分圧にて、特に0.03Pa〜1.25Paの分圧にて前記プロセスチャンバに供給されることを特徴とする方法である。
前記層又は前記層シーケンスが、Si基板に適用されたGeバッファ層の上に堆積させられることを特徴とする方法である。
前記基板温度が350℃〜390℃の間の範囲であることを特徴とする方法である。
前記層又は層シーケンスが、成長速度15nm/分〜50nm/分の間の範囲で堆積させられることを特徴とする方法である。
例えばレーザー、発光ダイオード、光センサ、光構成要素、光導波路等の光電子部品において、特にGe基板又はSi基板であるIV族基板上にエピタキシャルに堆積され、励起されたときに成長し、IV主族の複数の元素特にGeSn又はSiGeSnの層から構成され、転位密度が10cm−2未満である単結晶IV−IV層を有し、その場合、前記層又は、前記層から構成されかつ複数の同じIV−IV層からなる層シーケンスが、少なくとも200nmの厚さ、好適には少なくとも300nmの厚さである。
前記層がGeSn層又はSiGeSn層であり、かつ、前記Sn比率が8%〜20%の範囲であり、好適には10%より大きく、かつ特に好適には10%〜14%の範囲であることを特徴とする方法又は光電子部品である。
前記層が80%を超える緩和度を有しかつ/又は前記転位密度が10cm−2未満であり、かつ/又は、格子不整合転位が基板又はバッファ層との境界層の近傍の領域、特に前記境界層から10nm〜20nm離れた領域に限定されていることを特徴とする方法又は光電子部品である。
前記層シーケンスが、2つのSiGeSn層の間に配置されたGeSn層であることを特徴とする方法又は光電子部品である。
モノリシックに適用された集積回路、特にマイクロプロセッサであって、前記基板又はバッファ層にモノリシックに適用された請求項10〜13のいずれかによる光電子部品によることを特徴とする集積回路である。
開示された全ての特徴は(個々に、しかしながら互いの組合せにおいても)本発明に本質的なものである。関係する/添付の優先権書類(前出願の複写)の内容は、これらの書類の特徴を本願の特許請求の範囲に含む目的に加えて、ここで参照することにより本願の開示に完全に包含される。従属項の特徴は、独立した、従来技術の進歩性ある進展であり、特にこれらの請求項に基づく分割出願を行い得るようにするためである。
1 ガス入口部材
2 ガス出口部材
3 プロセスチャンバ
4 基板
5 サセプタ
6 ヒーター
11 シリコン基板
12 Geバッファ層
13 層シーケンス
14 層シーケンス
15 層シーケンス
d 層厚

Claims (16)

  1. 第1の元素(A)及び第2の元素(B)からなる単結晶半導体層を堆積するための方法において、不活性ガス特にN、Ar、Heにより形成された搬送ガスと共に、前記第1の元素(A)が第2のガス状開始物質の一部として特に水素化物として、かつ前記第2の元素(B)が第2のガス状開始物質の一部として特にハロゲン化物として、CVD反応炉のプロセスチャンバ(3)に供給され、その場合、前記第1の開始物質からラジカルが生成され、そのラジカルと前記第2の開始物質が、純粋なラジカルの分解温度よりも低い基板温度に加熱された半導体基板の表面に運ばれ、その場合、第1の反応において前記ラジカルが前記第2の開始物質特にハロゲン化物と前記表面において発熱反応を行い、その場合、前記第1の元素(A)の原子及び前記第2の元素(B)の原子が前記表面に反応生成物として残りかつ前記第1の反応と同時に前記第1の反応中に放出された熱を吸収することにより第2の反応において前記ラジカルが吸熱反応により分解し、その場合、前記表面における前記第1の元素(A)の原子が前記表面に残り、その場合、前記基板温度は、前記第1の元素(A)及び前記第2の元素(B)の原子が結晶順序で前記表面に組み込まれる程に十分に高いものである、方法。
  2. 前記第1の元素(A)が例えばヒ素、リン、アンチモン若しくは窒素であるV主族の元素でありかつ前記第2の元素(B)が例えばアルミニウム、ガリウム若しくはインジウムであるIII主族の元素であること、又は、前記第1の元素(A)が例えば炭素、シリコン若しくはゲルマニウムであるIV族の元素でありかつ前記第2の元素(B)が例えば炭素、シリコン、ゲルマニウム若しくはスズであるIV主族の元素であること、又は、前記第1の元素(A)がVI主族の元素でありかつ前記第2の元素(B)がII主族の元素であることを特徴とする請求項1に記載の方法。
  3. 前記プロセスチャンバに供給されるとき1000mbarを超える圧力から300mbar未満のプロセスチャンバ圧力となる前記第1のガス状開始物質の気圧膨張によって前記ラジカルが生成されることを特徴とする請求項1又は2に記載の方法。
  4. 励起されたとき成長しかつ複数のIV主族の元素から構成され、10cm−2未満の転位密度を有する単結晶のIV−IV層特にGeSn又はSiGeSnの層を、IV基板特にシリコン又はゲルマニウムの基板上にモノリシックに堆積するための方法において、
    Ge又はSiCl等である第1のIV族元素(A)の水素化物を付与するステップと、
    SnCl等である第2のIV族元素(B)のハロゲン化物を扶養するステップと、
    純粋な水素化物又はそれから形成されたラジカルの分解温度より低くかつ前記第1の元素(A)及び前記第2の元素(B)の原子が前記基板に結晶順序で組み込まれる程に十分に高い基板温度に基板を加熱し、前記基板温度が特に300℃〜475℃の間であるステップと、
    特にN、Ar、Heであり特にHではない搬送ガスの搬送ガス流を生成するステップと、
    前記水素化物及び前記ハロゲン化物並びにそれらから生じた分解生成物を最大300mbarの全圧にて前記基板に搬送するステップと、
    少なくとも200nmの厚さを有し、堆積された層が特にSiGe1−x−ySn層でありx>0.08かつy≦1であるIV−IV層又は同じタイプのIV−IV層からなる層シーケンスを堆積させるステップと、を有する方法。
  5. 前記水素化物がGe及び/又はSiでありかつ60Pa〜120Paの分圧にて前記プロセスチャンバに供給されることを特徴とする請求項1〜4のいずれかに記載の方法。
  6. 前記ハロゲン化物がSnCl4でありかつ前記水素化物の分圧の0.1%〜5%の分圧にて、特に0.03Pa〜1.25Paの分圧にて前記プロセスチャンバに供給されることを特徴とする請求項1〜5のいずれかに記載の方法。
  7. 前記層又は前記層シーケンスが、Si基板に適用されたGeバッファ層の上に堆積させられることを特徴とする請求項1〜6のいずれかに記載の方法。
  8. 前記基板温度が350℃〜390℃の間の範囲であることを特徴とする請求項1〜7のいずれかに記載の方法。
  9. 前記層又は層シーケンスが、成長速度15nm/分〜50nm/分の間の範囲で堆積させられることを特徴とする請求項1〜8のいずれかに記載の方法。
  10. 例えばレーザー、発光ダイオード、光センサ、光構成要素、光導波路等の光電子部品において、特にGe基板又はSi基板であるIV族基板上にエピタキシャルに堆積され、励起されたときに成長し、IV主族の複数の元素特にGeSn又はSiGeSnの層から構成され、転位密度が10cm−2未満である単結晶IV−IV層を有し、その場合、前記層又は、前記層から構成されかつ複数の同じIV−IV層からなる層シーケンスが、少なくとも200nmの厚さ、好適には少なくとも300nmの厚さである光電子部品。
  11. 前記層がGeSn層又はSiGeSn層であり、かつ、前記Sn比率が8%〜20%の範囲であり、好適には10%より大きく、かつ特に好適には10%〜14%の範囲であることを特徴とする請求項1〜9のいずれかに記載の方法又は請求項10に記載の光電子部品。
  12. 前記層が80%を超える緩和度を有しかつ/又は前記転位密度が10cm−2未満であり、かつ/又は、格子不整合転位が基板又はバッファ層との境界層の近傍の領域、特に前記境界層から10nm〜20nm離れた領域に限定されていることを特徴とする前出請求項のいずれかに記載の方法又は請求項10又は11に記載の光電子部品。
  13. 前記層シーケンスが、2つのSiGeSn層の間に配置されたGeSn層であることを特徴とする前出請求項のいずれかに記載の方法又は請求項10〜12のいずれかに記載の光電子部品。
  14. 基板に適用された、特にマイクロプロセッサである集積回路において、前記基板又は、前記基板とモノリシックに結合されたバッファ層に適用された請求項10〜13のいずれかに記載の光電子部品によることを特徴とする集積回路。
  15. 前記水素化物がNH又はNHRであり、Rが有機基であり、かつ前記ハロゲン化物がGaClであることを特徴とする請求項1〜4のいずれかに記載の方法。
  16. 前出請求項のいずれかの1又は複数を特徴付ける特徴によることを特徴とする方法又は部品。
JP2017517187A 2014-06-13 2015-05-18 低温で結晶層特にiv族半導体層を堆積する方法及び光電子部品 Active JP6608438B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
DE102014108352.0 2014-06-13
DE102014108352.0A DE102014108352A1 (de) 2014-06-13 2014-06-13 Verfahren zum Abscheiden einer Kristallschicht bei niedrigen Temperaturen, insbesondere einer photolumineszierenden IV-IV-Schicht auf einem IV-Substrat, sowie ein eine derartige Schicht aufweisendes optoelektronisches Bauelement
PCT/EP2015/060881 WO2015189004A1 (de) 2014-06-13 2015-05-18 Verfahren zum abscheiden einer kristallschicht bei niedrigen temperaturen, insbesondere einer photolumineszierenden iv-iv-schicht auf einem iv-substrat, sowie ein eine derartige schicht aufweisendes optoelektronisches bauelement

Publications (2)

Publication Number Publication Date
JP2017526191A true JP2017526191A (ja) 2017-09-07
JP6608438B2 JP6608438B2 (ja) 2019-11-20

Family

ID=53276085

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017517187A Active JP6608438B2 (ja) 2014-06-13 2015-05-18 低温で結晶層特にiv族半導体層を堆積する方法及び光電子部品

Country Status (6)

Country Link
US (1) US10988858B2 (ja)
EP (2) EP3155145B1 (ja)
JP (1) JP6608438B2 (ja)
CN (1) CN106414816A (ja)
DE (1) DE102014108352A1 (ja)
WO (1) WO2015189004A1 (ja)

Families Citing this family (245)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN107871800B (zh) * 2017-02-24 2019-06-14 西藏民族大学 n+-GeSn/i-GeSn/p+-Ge结构光电探测器及其制备方法
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) * 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN107611192A (zh) * 2017-08-11 2018-01-19 西安科锐盛创新科技有限公司 GeSn光电探测器
US11098402B2 (en) * 2017-08-22 2021-08-24 Praxair Technology, Inc. Storage and delivery of antimony-containing materials to an ion implanter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN109244829B (zh) * 2018-09-17 2020-02-14 西安电子科技大学 Ge/GeSn异质结激光器及其制备方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008532294A (ja) * 2005-03-11 2008-08-14 アリゾナ ボード オブ リージェンツ ア ボディー コーポレート アクティング オン ビハーフ オブ アリゾナ ステイト ユニバーシティ 新規なGeSiSnベースの化合物、テンプレート、及び半導体構造
US20110254052A1 (en) * 2008-10-15 2011-10-20 Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University Hybrid Group IV/III-V Semiconductor Structures
WO2012130933A1 (en) * 2011-03-31 2012-10-04 Imec Method for growing a monocrystalline tin- containing semiconductor material
JP2012525718A (ja) * 2009-04-29 2012-10-22 アプライド マテリアルズ インコーポレイテッド HVPEにおいてその場プレ−GaN堆積層を形成する方法
US20130280891A1 (en) * 2012-04-20 2013-10-24 Yihwan Kim Method and apparatus for germanium tin alloy formation by thermal cvd

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02239184A (ja) * 1989-03-14 1990-09-21 Nippon Steel Corp 光励起気相成長方法および装置
CA2049673A1 (en) * 1990-11-26 1992-05-27 James F. Fleischer Cvd diamond by alternating chemical reactions
KR100210261B1 (ko) * 1997-03-13 1999-07-15 이서봉 발열반응을 이용한 다결정 실리콘의 제조 방법
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
DE102004021578A1 (de) 2003-09-17 2005-04-21 Aixtron Ag Verfahren und Vorrichtung zur Abscheidung von ein-oder mehrkomponentigen Schichten und Schichtfolgen unter Verwendung von nicht-kontinuierlicher Injektion von flüssigen und gelösten Ausgangssubstanzen über eine Mehrkanalinjektionseinheit
KR20080089403A (ko) * 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
US8138069B2 (en) * 2009-04-24 2012-03-20 Applied Materials, Inc. Substrate pretreatment for subsequent high temperature group III depositions
DE102010016471A1 (de) 2010-04-16 2011-10-20 Aixtron Ag Vorrichtung und Verfahren zum gleichzeitigen Abscheiden mehrerer Halbleiterschichten in mehreren Prozesskammern
US20130183814A1 (en) * 2012-01-13 2013-07-18 Applied Materials, Inc. Method of depositing a silicon germanium tin layer on a substrate
US8722526B2 (en) * 2012-07-27 2014-05-13 Veeco Ald Inc. Growing of gallium-nitrade layer on silicon substrate
US20150087110A1 (en) * 2013-09-21 2015-03-26 Northwestern University Low-Temperature Fabrication of Spray-Coated Metal Oxide Thin Film Transistors

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008532294A (ja) * 2005-03-11 2008-08-14 アリゾナ ボード オブ リージェンツ ア ボディー コーポレート アクティング オン ビハーフ オブ アリゾナ ステイト ユニバーシティ 新規なGeSiSnベースの化合物、テンプレート、及び半導体構造
US20110254052A1 (en) * 2008-10-15 2011-10-20 Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University Hybrid Group IV/III-V Semiconductor Structures
JP2012525718A (ja) * 2009-04-29 2012-10-22 アプライド マテリアルズ インコーポレイテッド HVPEにおいてその場プレ−GaN堆積層を形成する方法
WO2012130933A1 (en) * 2011-03-31 2012-10-04 Imec Method for growing a monocrystalline tin- containing semiconductor material
US20130280891A1 (en) * 2012-04-20 2013-10-24 Yihwan Kim Method and apparatus for germanium tin alloy formation by thermal cvd

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
WIRTHS S: "EPITAXIAL GROWTH OF GE1-XSNX BY REDUCED PRESSURE CVD USING SNCL4 AND GE2H6", ECS TRANSACTIONS, vol. VOL:50, NR:9,, JPN5017004771, 15 March 2013 (2013-03-15), pages 885 - 893, ISSN: 0003976950 *
WIRTHS S: "SIGESN GROWTH STUDIES USING REDUCED PRESSURE CHEMICAL VAPOR DEPOSITION TOWARDS 以下備考", THIN SOLID FILMS, vol. 557, JPN5017004769, 27 October 2013 (2013-10-27), pages 183 - 187, ISSN: 0003976949 *

Also Published As

Publication number Publication date
EP4043620A1 (de) 2022-08-17
EP3155145A1 (de) 2017-04-19
JP6608438B2 (ja) 2019-11-20
US20170121845A1 (en) 2017-05-04
WO2015189004A1 (de) 2015-12-17
US10988858B2 (en) 2021-04-27
EP3155145B1 (de) 2022-04-13
DE102014108352A1 (de) 2015-12-17
CN106414816A (zh) 2017-02-15

Similar Documents

Publication Publication Date Title
JP6608438B2 (ja) 低温で結晶層特にiv族半導体層を堆積する方法及び光電子部品
US11848206B2 (en) Method of producing a two-dimensional material
Watson Metal organic vapour phase epitaxy of AlN, GaN, InN and their alloys: A key chemical technology for advanced device applications
Yam et al. InGaN: An overview of the growth kinetics, physical properties and emission mechanisms
US7727333B1 (en) HVPE apparatus and methods for growth of indium containing materials and materials and structures grown thereby
Reynolds et al. Progress in ZnO acceptor doping: what is the best strategy?
US6911084B2 (en) Low temperature epitaxial growth of quaternary wide bandgap semiconductors
US8802546B2 (en) Method for manufacturing silicon carbide semiconductor device
US20070215982A1 (en) Gallium Nitride Baseplate, Epitaxial Substrate, and Method of Forming Gallium Nitride
US20210210348A1 (en) Method For Depositing A Crystal Layer At Low Temperatures, In Particular A Photoluminescent IV-IV Layer On An IV Substrate, And An Optoelectronic Component Having Such A Layer
WO2014136416A1 (ja) 半導体装置の製造方法及びiii-v族半導体の結晶成長方法
Tassev et al. Iodine vapor phase growth of GaN: dependence of epitaxial growth rate on process parameters
JPS60169563A (ja) テルル化金属の製造方法及び装置
Nishio et al. Surface morphologies and photoluminescence properties of undoped and P-doped ZnTe layers grown by metalorganic vapor phase epitaxy
JP5071703B2 (ja) 半導体製造装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170524

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180330

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190208

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190219

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190410

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190611

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190823

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20191009

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20191023

R150 Certificate of patent or registration of utility model

Ref document number: 6608438

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250