JP2007194355A - プラズマ処理装置およびプラズマ処理方法 - Google Patents

プラズマ処理装置およびプラズマ処理方法 Download PDF

Info

Publication number
JP2007194355A
JP2007194355A JP2006010131A JP2006010131A JP2007194355A JP 2007194355 A JP2007194355 A JP 2007194355A JP 2006010131 A JP2006010131 A JP 2006010131A JP 2006010131 A JP2006010131 A JP 2006010131A JP 2007194355 A JP2007194355 A JP 2007194355A
Authority
JP
Japan
Prior art keywords
gas
plasma
processing
injection
processing apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006010131A
Other languages
English (en)
Other versions
JP2007194355A5 (ja
JP5068458B2 (ja
Inventor
Takahiro Horiguchi
貴弘 堀口
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2006010131A priority Critical patent/JP5068458B2/ja
Priority to CNB2006101375490A priority patent/CN100530530C/zh
Priority to KR1020060130066A priority patent/KR100968990B1/ko
Priority to US11/653,895 priority patent/US7655111B2/en
Priority to TW096101934A priority patent/TW200733201A/zh
Publication of JP2007194355A publication Critical patent/JP2007194355A/ja
Publication of JP2007194355A5 publication Critical patent/JP2007194355A5/ja
Priority to KR1020090018999A priority patent/KR20090033852A/ko
Application granted granted Critical
Publication of JP5068458B2 publication Critical patent/JP5068458B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32229Waveguides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature

Abstract

【課題】非処理体上へのプラズマの流れを妨げないようにガス噴射部材を設けたプラズマ処理装置を提供する。
【解決手段】マイクロ波プラズマ処理装置100の梁26には,噴射孔Aを有する横吹きガスノズル27と噴射孔Bを有する氷柱ガスノズル28とが多数固定されている。第1のガス供給部は,噴射孔Aから誘電体31近傍にアルゴンガスを噴射する。第2のガス供給部は,噴射孔Bから,ガスが過剰に解離されない位置にシランガスおよび水素ガスを噴射する。このようにして噴射された各ガスは,各誘電体パーツ31aを透過したマイクロ波によりプラズマ化される。氷柱ガスノズル28は基板G上へのプラズマの流れを妨げないように位置するため,イオン,電子は,氷柱ガスノズル28に衝突しにくくなる。この結果,氷柱ガスノズル28に堆積する反応生成物の量を抑えることができる。
【選択図】図3

Description

本発明は,プラズマを生成して被処理体をプラズマ処理するプラズマ処理装置およびプラズマ処理方法に関する。特に,本発明は,プラズマを生成するための処理ガスの供給に関する。
従来から,処理容器内の上段および下段にそれぞれ設けられた2系統のガス供給機構(たとえば,ガスシャワーヘッド)から複数種類の異なる処理ガスを供給するプラズマ処理装置が提案されている(たとえば,特許文献1を参照。)。このプラズマ処理装置では,上段のガスシャワーヘッドは,下段のガスシャワーヘッドの上方に位置し,たとえば,アルゴンガスなどの非堆積性ガスを噴射する。
一方,下段のガスシャワーヘッドは,基板に平行して基板の上方に位置し,シランガスなどの処理ガスを噴射する。このようにして異なるガスが処理容器内の所定の位置にそれぞれ噴射されることにより,所望のプラズマが生成され,生成されたプラズマを用いて基板に良好なプラズマ処理が施される。
特開平7−312348号公報
しかし,このように下段のガスシャワーヘッドを基板に平行に設けると,プラズマに含まれるイオンや電子の多くが下段のガスシャワーヘッドに衝突することとなり,下段のガスシャワーヘッドが過度に加熱されるとともに,多くの反応生成物が下段のガスシャワーヘッドに付着する。この結果,下段のガスシャワーヘッドに堆積した堆積物がある厚さを越え,下段のガスシャワーヘッドからはがれ落ちてパーティクルとして基板に付着するまでの時間が短くなる。
特に,プロセス処理中に加熱された下段のガスシャワーヘッドは,ロードロック室へ/から基板が搬送される際に冷却される。一方,下段のガスシャワーヘッドは,誘電体により形成されているので,熱を伝えにくい。よって,イオンや電子の衝突により下段のガスシャワーヘッドに加わった熱は外部に伝わりにくい。このため,多くのイオンや電子が下段のガスシャワーヘッドに衝突すると,下段のガスシャワーヘッドは,プロセス処理中に過度に加熱され,搬送中に急激に冷却される。この加熱と冷却の繰り返しに伴って下段のガスシャワーヘッドの温度は,高くなったり低くなったりと,非常に変動する。
そうすると,堆積物(反応生成物)と下段のガスシャワーヘッドとの熱膨張率の差が大きく影響することになり,堆積物が,より一層下段のガスシャワーヘッドからはがれ易くなる。これにより,堆積物がパーティクルとして基板に付着するまでの時間がさらに短くなる。このパーティクルの問題を回避するために,速いサイクルで処理容器内をクリーニングすることも考えられる。しかし,そうすると,スループットが低下して生産性が下がるという問題が生じる。
また,基板に向かって拡散していくプラズマの流れが,下段のガスシャワーヘッドにより妨げられ,多くのイオンや電子が下段のガスシャワーヘッドに衝突すると,衝突により生じるエネルギー損失が大きくなってプラズマが減衰するため,基板上の反応速度(たとえば,成膜速度)が低下するという問題が生じる。
上記問題を解消するために,本発明は,非処理体上へのプラズマの流れを妨げない位置にガス噴射部材を設けたプラズマ処理装置およびプラズマ処理方法を提供する。
すなわち,上記課題を解決するために,本発明のある観点によれば,導波管を伝播してスロットに通したマイクロ波を透過させる誘電体と,上記誘電体を支持する梁の所定位置に設けられた第1の噴射孔を有する複数の第1のガス噴射部材と,非処理体上へのプラズマの流れを妨げないように上記梁の所定位置に取り付けられ,第1の噴射孔より下方に位置する第2の噴射孔を有する複数の第2のガス噴射部材と,上記各第1のガス噴射部材の第1の噴射孔から処理室内の所望の位置に第1の処理ガスを噴射する第1のガス供給部と,上記各第2のガス噴射部材の第2の噴射孔から,第1の処理ガスが噴射された位置より下方の位置に第2の処理ガスを噴射する第2のガス供給部と,上記マイクロ波により上記第1の処理ガスおよび上記第2の処理ガスをプラズマ化させて被処理体をプラズマ処理する処理室と,を備えるプラズマ処理装置が提供される。
これによれば,梁には,複数の第1のガス噴射部材と複数の第2のガス噴射部材とが取り付けられる。そして,第1のガス供給部は,第1のガス噴射部材に設けられた第1の噴射孔から第1の処理ガスを噴射し,第2のガス供給部は,第2のガス噴射部材に設けられた第2の噴射孔(第1の噴射孔より下方に位置)から第2の処理ガスを噴射する。
このとき,各第2のガス噴射部材は,非処理体上へのプラズマの流れを妨げないように梁の所定位置に取り付けられている。よって,第1の処理ガスおよび第2の処理ガスから生成されたプラズマ中のイオンや電子は,第2のガス噴射部材に衝突しにくい。この結果,第2のガス噴射部材に堆積する反応生成物の量は少なくなる。これにより,堆積物がある厚さを越え,第2のガス噴射部材からはがれ落ちてパーティクルとして基板に付着しにくくなる。この結果,処理室内をクリーニングするサイクル(間隔)を長くすることができ,スループットを向上させることができる。
また,イオンや電子が第2のガス噴射部材に衝突しにくいので,衝突によるエネルギー損失が発生しにくい。この結果,プラズマの減衰がほとんどなくなり,基板上の反応速度を一定以上に維持することができるとともに,第2のガス噴射部材が過度に加熱されることを防ぐことができる。
上記各第1のガス噴射部材は,上記梁の外部に取り付けられるか,または,上記梁の内部に設けられていてもよい。たとえば,第1のガス噴射部材は,梁の下面に取り付けられたガスノズルであってもよく,梁を貫通したガス導入管自身であってもよい。前者の場合,ガスノズルに設けられたガス通路の端部開口が第1の噴射孔となる。後者の場合,梁を貫通するガス導入管の端部開口が第1の噴射孔となる。
第2のガス噴射部材は,金属により形成されていてもよい。これによれば,第2のガス噴射部材の熱伝導がよくなり,イオンや電子の衝突により第2のガス噴射部材に加わった熱は,処理室外の冷却水配管の近傍まで伝わって冷却水配管内を循環する冷却水により冷される。このため,プロセス処理中であっても,第2のガス噴射部材は過度に加熱されない。この結果,プロセス処理および搬送を繰り返す際に発生する第2のガス噴射部材の温度変動は小さくなる。そうすると,堆積物と第2のガス噴射部材との熱膨張率の差による影響が少なくなり,堆積物が,第2のガス噴射部材からはがれにくくなる。この結果,処理容器内をクリーニングするサイクルをさらに長くすることができる。
上記第2のガス噴射部材は棒状または板状に形成されてもよい。このとき,上記各第2のガス噴射部材は,上記梁と垂直な方向の長さが30mm以下になるように梁から氷柱状に下がっていてもよい。また,上記各第2のガス噴射部材は,互いに平行に上記梁から氷柱状に垂れ下がるように上記梁に固定されていてもよい。
これによれば,上記複数の第2のガス噴射部材は,棒状または板状に形成され,処理容器の天井部に設けられた梁から互いに平行に氷柱状に垂れ下がっている。よって,第2のガス噴射部材は,その長手方向が非処理体上へのプラズマの流れに平行であり,その形状も棒状または板状の簡単な構造であるため,非処理体上へのプラズマの流れを妨げにくい。また,第2のガス噴射部材の取り付け位置も,処理容器の天井部に設けられた梁から氷柱状に30mmほど垂れ下がるような位置であるため,第1の処理ガスおよび第2の処理ガスから生成された非処理体上へのプラズマの流れを妨げにくい。このように,各第2のガス噴射部材が,非処理体上にプラズマが拡散される際の障壁とならない位置に設けられているため,プラズマに含まれるイオンや電子の大部分は第2のガス噴射部材に衝突しない。この結果,第2のガス噴射部材に付着する反応生成物の量を減らし,パーティクルの発生を抑えることができる。
また,上記各第2の噴射孔は,第2の処理ガスが過剰に解離されない位置に噴射されるように位置付けられていてもよい。このとき,上記各第1の噴射孔は,上記誘電体近傍にて被処理体に水平な向きに開口していてもよい。また,上記各第2の噴射孔は,被処理体に垂直な向きに開口していてもよい。また,上記第1の処理ガスは,上記第2の処理ガスよりも結合エネルギーが大きいガスであることが好ましい。
これによれば,結合エネルギーが大きい第1のガスは,処理室に入射された直後の強いマイクロ波の電界エネルギーによってプラズマ化される。一方,第2の処理ガスは,第1のガスが噴射された位置より後方であって,ガスが過剰に解離されないような位置に噴射され,第1の処理ガスのプラズマ化にある程度のエネルギーを消費して弱められた電界エネルギーによって,たとえば,良質な膜を形成するためのプリカーサー(前駆体)まで解離される。
一例としては,被処理体に水平な向きに開口した第1の噴射孔から,誘電体の下面近傍に,たとえば,アルゴンガス等のプラズマ励起ガスが噴射され,均一なプラズマが発生した後,被処理体に垂直な向きに開口した第2の噴射孔から,ガスが過剰に解離されないような位置(アルゴンガスの噴射位置の後方)にシランガスおよび水素ガスが噴射された場合である。この場合,噴射されたシラン(SiH)ガスがSiHラジカルまで解離し,SiHラジカルまでは過剰解離されない。このようにして,SiHラジカルまで解離されたプリカーサーによって,被処理体上に良質な膜を形成することができる。
ただし,上記第1の処理ガスまたは上記第2の処理ガスの少なくともいずれかが,複数の処理ガスを混合した混合ガスであって,その混合ガスが過剰反応する場合などの特殊な場合には,第1の処理ガスおよび第2の処理ガスの結合エネルギーの大小関係に関わらず,過剰反応が起きないように各処理ガスの噴射位置が決定される。
また,上記誘電体は,複数の誘電体パーツから構成され,上記各誘電体パーツには,被処理体と対向する面にて凹部または凸部の少なくともいずれかが形成されていてもよい。
これによれば,各誘電体パーツに形成された凹部または凸部により,各誘電体パーツ下面にて表面波が伝播する際の電界エネルギーの損失を増加させることができる。これにより,表面波の伝播を抑え,定在波の発生を抑制し,均一なプラズマを生成することができる。
また,上記各第2のガス噴射部材の一部は,多孔質体により形成され,上記ガス供給部は,上記処理ガスを上記各第2のガス噴射部材に形成された多孔質体に通すことにより,その多孔質体に設けられた複数の開口を上記第2の噴射孔として,前記複数の開口から上記処理ガスを上記処理室内に吹き出すようにしてもよい。このとき,上記多孔質体は,上記各第2のガス噴射部材の先端にて球状に形成されていてもよい。
これによれば,処理ガスは,多孔質体の多数の開口を通過して処理室内に供給される。処理ガスが多孔質体内部にて連通された多数の気孔を通過するとき,処理ガスの流速は減速しながら多孔質体全体に均一に広がり,減速した状態で多孔質体の表面全体に設けられた開口から処理室内に均一に吹き出される。このように,処理ガスを減速させながら広範囲に均一に供給することにより,供給される処理ガスの過剰な攪拌を抑制して,所望のプラズマを均一に生成することができる。特に,多孔質体が第2のガス噴射部材の先端にて球状に形成されていれば,多孔質体の球面に設けられた無数の開口から処理ガスをより広範囲に均一に供給することができる。
さらに,上記各第2のガス噴射部材は,その先端が球状に形成され,上記各第2の噴射孔は,上記先端の球状部分に放射状に設けられ,上記ガス供給部は,上記処理ガスを上記各第2の噴射孔から上記処理室内に吹き出すようにしてもよい。これによれば,球面に設けられた各第2の噴射孔から処理ガスを放射状に均一に吹き出すことができる。
また,上記課題を解決するために,本発明の別の観点によれば,導波管を伝播してスロットに通したマイクロ波を誘電体に透過させ,上記誘電体を支持する梁の所定位置に取り付けられた複数の第1のガス噴射部材の第1の噴射孔から処理室内の所望の位置に第1の処理ガスを噴射し,非処理体上へのプラズマの流れを妨げないように上記梁の所定位置に取り付けられた複数の第2のガス噴射部材の第2の噴射孔であって,第1の噴射孔より下方に位置する第2の噴射孔から第1の処理ガスが噴射された位置より下方の位置に第2の処理ガスを噴射し,上記マイクロ波により上記噴射された第1の処理ガスと上記噴射された第2の処理ガスとをプラズマ化させて被処理体をプラズマ処理するプラズマ処理方法が提供される。
これによれば,第2のガス噴射部材は,非処理体上へのプラズマの流れを妨げないように上記梁の所定位置に取り付けられる。よって,プラズマに含まれるイオンや電子の多くは,各第2のガス噴射部材に衝突しない。これにより,反応生成物が第2のガス噴射部材に付着しにくくなり,堆積物がパーティクルとして基板に付着するまでの時間が長くなる。この結果,処理容器内をクリーニングする間隔を長くすることができ,スループットを向上させることができる。
また,金属により形成された上記第2のガス噴射部材にて発生する熱を外部に伝播させ,冷却水配管に供給された冷却水により冷却してもよい。これによれば,第2のガス噴射部材の加熱を効果的に冷却することができる。このため,プロセス処理中であっても,第2のガス噴射部材は過度に加熱されない。この結果,プロセス処理および搬送を繰り返す際に発生する第2のガス噴射部材の温度変動は小さくなる。そうすると,堆積物と第2のガス噴射部材との熱膨張率の差による影響が少なくなり,堆積物が,第2のガス噴射部材からはがれにくくなる。この結果,処理容器内をクリーニングするサイクルをさらに長くすることができる。
以上に説明したように,本発明によれば,非処理体上へのプラズマの流れを妨げない位置にガス噴射部材を設けたプラズマ処理装置およびプラズマ処理方法を提供することができる。
以下に添付図面を参照しながら,本発明の好適な実施形態について詳細に説明する。なお,以下の説明及び添付図面において,同一の構成及び機能を有する構成要素については,同一符号を付することにより,重複説明を省略する。
また,本明細書中,1mTorrは(10−3×101325/760)Pa,1sccmは(10−6/60)m/secとする。
まず,本発明の一実施形態にかかるマイクロ波プラズマ処理装置の構成について,本装置を縦方向(y軸に垂直な方向)に切断した断面図である図1,および,処理室の天井面を示した図2を参照しながら説明する。また,以下の説明では,本実施形態にかかるマイクロ波プラズマ処理装置(プラズマ処理装置に相当)を用いたアモルファスシリコンCVD(Chemical Vapor Deposition:化学蒸着薄膜成膜法)プロセスを例に挙げて説明する。
(マイクロ波プラズマ処理装置の構成)
マイクロ波プラズマ処理装置100は,処理容器10と蓋体20とを備えている。処理容器10は,その上部が開口された有底立方体形状を有している。処理容器10と蓋体20とは,蓋体20(蓋本体21)の下面外周部と処理容器10の上面外周部との間に配設されたOリング32により密閉されていて,これにより,プラズマ処理を行う処理室Uが形成される。処理容器10および蓋体20は,たとえば,アルミニウム等の金属からなり,電気的に接地されている。
処理容器10には,その内部にてガラス基板(以下「基板」という)Gを載置するためのサセプタ11(載置台)が設けられている。サセプタ11は,たとえば窒化アルミニウムからなり,その内部には,給電部11aおよびヒータ11bが設けられている。
給電部11aには,整合器12a(たとえば,コンデンサ)を介して高周波電源12bが接続されている。また,給電部11aには,コイル13aを介して高圧直流電源13bが接続されている。整合器12a,高周波電源12b,コイル13aおよび高圧直流電源13bは,処理容器10の外部に設けられている。また,高周波電源12bおよび高圧直流電源13bは,接地されている。
給電部11aは,高周波電源12bから出力された高周波電力により処理容器10の内部に所定のバイアス電圧を印加するようになっている。また,給電部11aは,高圧直流電源13bから出力された直流電圧により基板Gを静電吸着するようになっている。
ヒータ11bには,処理容器10の外部に設けられた交流電源14が接続されていて,交流電源14から出力された交流電圧により基板Gを所定の温度に保持するようになっている。
処理容器10の底面は筒状に開口され,その底面周縁にはベローズ15の一端が装着されている。また,ベローズ15の他端は昇降プレート16に固着されている。このようにして,処理容器10底面の開口部分は,ベローズ15および昇降プレート16により密閉されている。
サセプタ11は,昇降プレート16上に配置された筒体17に支持されていて,昇降プレート16および筒体17と一体となって昇降し,これにより,サセプタ11は,処理プロセスに応じた高さに調整されるようになっている。また,サセプタ11の周囲には,処理室Uのガスの流れを好ましい状態に制御するためのバッフル板18が設けられている。
処理容器10の底部には,処理容器10の外部に設けられた真空ポンプ(図示せず)が備えられている。真空ポンプは,ガス排出管19を介して処理容器10内からガスを排出することにより,処理室Uを所望の真空度まで減圧するようになっている。
蓋体20には,蓋本体21,6本の方形導波管33,スロットアンテナ30,および,複数枚の誘電体パーツ31aから構成された誘電体31が設けられている。
6本の方形導波管33(導波管に相当)は,その断面形状が矩形状であり,蓋本体21の内部にて平行に並んで設けられている。その内部は,フッ素樹脂(たとえばテフロン(登録商標)),アルミナ(Al),石英などの誘電部材34で充填されていて,その誘電部材34により,λg=λc/(ε1/2の式に従って各方形導波管33の管内波長λgが制御される。ここで,λcは自由空間の波長,εは誘電部材34の誘電率である。
各方形導波管33は,上部にて開口し,その開口には,可動部35が昇降自在に挿入されている。可動部35は,アルミニウムなどの非磁性体である導電性材料から形成されている。
蓋本体21の外部であって,各可動部35の上面には,昇降機構36がそれぞれ設けられていて,可動部35を昇降移動させるようになっている。かかる構成により,誘電部材34の上面を限度として,可動部35を昇降移動させるにより,方形導波管33は,その高さを任意に変えることができる。
スロットアンテナ30は,蓋本体21の下方にて蓋本体21と一体的に形成されている。スロットアンテナ30は,アルミニウムなどの非磁性体である金属から形成されている。スロットアンテナ30には,各方形導波管33の下面にて,図2に示した13個のスロット37(開口)が,それぞれ直列に並べて設けられている。各スロット37の内部には,フッ素樹脂,アルミナ(Al),石英などの誘電部材が充填されていて,その誘電部材により,λg=λc/(ε1/2の式に従って各スロット37の管内波長λgが制御される。ここで,λcは自由空間の波長,εはスロット37内部の誘電部材の誘電率である。
(誘電体31)
図2に示したように,誘電体31は,39枚の誘電体パーツ31aから構成されている。各誘電体パーツ31aはタイル状に形成され,13枚の誘電体パーツ31aが,1つのマイクロ波発生器40にY分岐管41を介して接続された2本の方形導波管33を跨ぐように,処理容器10の天井面にて3列に設けられている。
各誘電体パーツ31aは,互いに隣接する2本の方形導波管33(すなわち,Y分岐管41を介して同じマイクロ波発生器40に接続された2本の方形導波管33)の下面に設けられた26個(=13個×2列)のスロット37のうち,y座標が同一となる2つのスロットを跨ぐようにそれぞれ取り付けられている。
以上の構成により,スロットアンテナ30の下面には,全部で39枚(=13枚×3列)の誘電体パーツ31aが取り付けられる。なお,各方形導波管33の下面に形成されるスロット37の個数は任意であり,たとえば,各方形導波管33の下面にそれぞれ12個ずつのスロット37を設け,スロットアンテナ30の下面に全部で36枚(=12枚×3列)の誘電体パーツ31aを配設させてもよい。また,各誘電体パーツ31aの上面に設けるスロット37の個数も2つに限られず,1つ,または,3つ以上であってもよい。
各誘電体パーツ31aには,図1および図3に示したように基板Gと対向する面にて凹凸が形成されている。このように,各誘電体パーツ31aに凹部または凸部の少なくともいずれかを設けることによって,表面波が,各誘電体パーツ31aの表面を伝播する際,電界エネルギーの損失が増加し,これにより,表面波の伝播を抑止することができる。この結果,定在波の発生を抑制して,均一なプラズマを生成することができる。
スロットアンテナ30の下面には,図1および図2に示したように,39枚の誘電体パーツ31aを,13枚×3列に配列させた状態で支持するために,格子状に形成された梁26が設けられている。梁26は,アルミニウムなどの非磁性体にて形成されている。
(横吹きガスノズル27および氷柱ガスノズル28)
図3に示したように,横吹きガスノズル27および氷柱ガスノズル28は,梁26の下面にて互いに平行に梁26から垂れ下がる状態で梁26に固定されている。
図4に示したように,横吹きガスノズル27は,外形がキノコ型のねじであって,金属により形成されている。横吹きガスノズル27の内部には,横吹きガスノズル27の底部から長手方向(軸S1方向)に中空のガス通路27aが設けられ,そのガス通路27aは,軸S1に垂直な向き(横向き)に8方向に(放射状に)分岐しながら,横吹きガスノズル27を貫通している。その8つの開口を,以下,噴射孔Aという。なお,横吹きガスノズル27は第1のガス噴射部材に相当し,噴射孔Aは第1の噴射孔に相当する。
氷柱ガスノズル28は,外形が棒状(筒状)のねじであって,金属により形成されている。氷柱ガスノズル28の内部には,氷柱ガスノズル28の底部から長手方向(軸S2方向)に中空のガス通路28aが貫通している。氷柱ガスノズル28の先端の開口を,以下,噴射孔Bという。なお,氷柱ガスノズル28は第2のガス噴射部材に相当し,噴射孔Bは第2の噴射孔に相当する。
氷柱ガスノズル28の長手方向(軸S2方向)の長さは,横吹きガスノズル27より長く,その下限値は,シランガスおよび水素ガスが過剰に解離されない位置にシランガスおよび水素ガスを吹き出すことができる長さであり,上限値は,基板G上へのプラズマの拡散を妨げない位置,すなわち,基板G上にプラズマが拡散される際の障壁とならない位置であり,30mm以下であることが好ましい。なお,氷柱ガスノズル28は,板状であってもよい。
各ガスノズルの固定の方法としては,たとえば,梁26の下面に内面がねじ切り加工された挿入穴を多数設け,その挿入穴に横吹きガスノズル27または氷柱ガスノズル28を挿入し,互いのねじ切り部分を螺合することにより固定する方法が挙げられる。その他,接着剤により梁26に固着してもよいし,取り付け器具により梁26に取り付けてもよい。このように各ガスノズルを梁26に固定することにより,噴射孔Aは,誘電体パーツ31a近傍にて基板Gに水平な向きに開口し,噴射孔Bは,基板Gに垂直な向きに開口する。以上のようにして,図2に示したように,一列に14個の横吹きガスノズル27および一列に14個の氷柱ガスノズル28が,梁26の下面にて交互に2列ずつ均等に配置される。
再び図1に戻って,処理ガス供給源43は,複数のバルブ(バルブ43a1,43a3,43b1,43b3,43b5,43b7),複数のマスフローコントローラ(マスフローコントローラ43a2,43b2,43b6),アルゴンガス供給源43a4,シランガス供給源43b4および水素ガス供給源43b8から構成されている。
処理ガス供給源43は,各バルブ(バルブ43a1,43a3,43b1,43b3,43b5,43b7)の開閉および各マスフローコントローラ(マスフローコントローラ43a2,43b2,43b6)の開度をそれぞれ制御することにより,所望の濃度のアルゴンガス,シランガスおよび水素ガスを処理容器10内にそれぞれ供給する。
第1のガス導入管29aは,梁26の内部を貫通し,横吹きガスノズル27のガス通路27aと連結される。これにより,アルゴンガス供給源43a4から噴射孔Aまで一本の管で連通した状態となる。第2のガス導入管29bもまた,梁26の内部を貫通し,氷柱ガスノズル28のガス通路28aと連結される。これにより,シランガス供給源43b4および水素ガス供給源43b8から噴射孔Bまで一本の管で連通した状態となる。
図1の冷却水配管44には,マイクロ波プラズマ処理装置100の外部に配置された冷却水供給源45が接続されていて,冷却水供給源45から供給された冷却水が冷却水配管44内を循環して冷却水供給源45に戻ることにより,蓋本体21は,所望の温度に保たれるようになっている。
以上に説明した構成により,図2に示した3つのマイクロ波発生器40から出力された,たとえば,2.45GHz×3のマイクロ波により各処理ガスがプラズマ化され,これにより,基板G上にアモルファスシリコン膜が形成される。
(アモルファスシリコン膜形成)
つぎに,本実施形態にかかるマイクロ波プラズマ処理装置100を用いたアモルファスシリコン膜の形成について,図1および図3を参照しながら詳細に説明する。
まず,第1のガス供給部および第2のガス供給部の動作について説明する。図1に示し,さらに,図3に一部拡大して示したように,第1のガス供給部は,アルゴンガス供給源43a4から第1の流路42aおよび第1のガス導入管29aを介して,梁26の所定位置に固定された複数の横吹きガスノズル27(図2参照)にアルゴンガス(第1の処理ガスに相当)を供給する。これにより,アルゴンガスは,誘電体パーツ31の近傍にて基板Gに略水平な向きに開口された噴射孔Aから各誘電体パーツ31aの下面近傍に横向きに噴射される。
たとえば,図2に示したように,マイクロ波は,方形導波管33a1および方形導波管33a2を伝播し,スロット37a1およびスロット37a2から漏れ出して,誘電体パーツ31aを透過することにより,処理室Uに入射される。アルゴンガスは,誘電体パーツ31aの下面近傍にのみ噴射されているので,入射直後の強いマイクロ波の電界エネルギーにより効率的に解離し,各誘電体パーツ31aの下面近傍にてプラズマ化される。
(シランガスおよび水素ガスの供給)
一方,第2のガス供給部は,アルゴンガスがプラズマ着火した後,シランガス供給源43b4および水素ガス供給源43b8から第2の流路42bおよび第2のガス導入管29bを介して,梁26の所定位置に固定された複数の氷柱ガスノズル28(図2参照)にシランガスおよび水素ガスの混合ガス(第2の処理ガスに相当)を供給する。シランガスおよび水素ガスの混合ガスは,基板Gに略垂直な向き(下向き)に開口された噴射孔Bから下向きに噴射される。これにより,混合ガスは,アルゴンガスが吹き出される位置より下方であって,混合ガスが過剰に解離されない位置に噴射される。
このようにして噴射された混合ガスは,アルゴンガスのプラズマ化にある程度のエネルギーを消費して弱められた電界エネルギーにより,良質の膜を形成するためのプリカーサー(前駆体)であるSiHラジカルまで解離し,SiHラジカルまでは解離されない。
(プラズマの拡散)
このとき,各氷柱ガスノズル28の長手方向は,基板G上へのプラズマの流れに平行であり,その形状も棒状または板状の簡単な構造なため,基板G上へのプラズマの流れを妨にくい。また,氷柱ガスノズル28の取り付け位置も,処理容器10の天井部に設けられた梁26から氷柱状に下がった位置なので,生成された基板G上へのプラズマの流れを妨げにくい。
このため,プラズマに含まれるイオンや電子の大部分は氷柱ガスノズル28に衝突することなく基板G上まで達するため,衝突によるエネルギー損失もほとんど発生しない。この結果,プラズマの減衰が少なくなり,基板G上の反応速度を一定以上に維持することができるとともに,良質の膜を形成するためのプリカーサー(前駆体)まで解離したSiHラジカルにより,基板G上に非常に良質なアモルファスシリコン膜を形成することができる。
また,氷柱ガスノズル28に衝突するイオンや電子が減れば減るほど,氷柱ガスノズル28に付着する反応生成物の量は少なくなる。これにより,堆積物がある厚さを越えて,氷柱ガスノズル28と堆積物との熱膨張係数の違いにより氷柱ガスノズル28からはがれ落ちてパーティクルとして基板Gに付着するまでの時間を長くすることができる。この結果,処理室U内をクリーニングするまでのサイクル(間隔)を長くすることができ,スループットを向上させ,生産性を上げることができる。
また,氷柱ガスノズル28に衝突するイオンや電子が少ないので,氷柱ガスノズル28は,過度に加熱されない。さらに,氷柱ガスノズル28は,金属により形成されているので熱伝導がよい。よって,イオンなどの衝突により氷柱ガスノズル28が加熱されても,氷柱ガスノズル28に加わった熱の多くは,処理室U外の冷却水配管44の近傍まで伝わって冷却水配管44を循環する冷却水により冷される。このため,氷柱ガスノズル28に熱がたまらない。
このように,プロセス処理中に氷柱ガスノズル28に加わる熱が少なく,さらに,加わった熱は,逐次,外部に放出されるため,氷柱ガスノズル28の温度は過度に上昇しない。この結果,プロセス処理および搬送を繰り返す際に発生する氷柱ガスノズル28の温度変動は小さくなる。そうすると,堆積物と氷柱ガスノズル28との熱膨張率の差による影響が少なくなり,堆積物が,氷柱ガスノズル28からよりはがれにくくなる。この結果,処理容器10内をクリーニングするサイクルをさらに長くすることができ,スループットを向上させ,生産性をより高めることができる。
(実験結果)
発明者は,上述した理由により生産性を高めることができる本実施形態にかかるマイクロ波プラズマ処理装置100を設計し,実際に,これを用いてアモルファスシリコンCVDプロセスを実行した。
このときのプロセス条件は,処理室Uの圧力が400mTorr,マイクロ波のパワーが1.8kW〜2.6kW(3つのマイクロ波発生器40を使用)であった。
また,ガス種は,アルゴンガス,シランガスおよび水素ガスを用い,そのガス量は,アルゴンガスが1520sccm,シランガスが140sccm,水素ガスが140sccmであった。また,サセプタ11(ステージ)の温度は370℃,基板Gの温度は300℃,冷却水供給源45の温度は80℃,導波管幅は78mmであった。なお,ガラス基板のサイズは,730mm×920mm以上であればよく,たとえば,G4.5基板サイズで730mm×920mm(チャンバ内の径:1000mm×1190mm),G5基板サイズで1100mm×1300mm(チャンバ内の径:1470mm×1590mm)が適用可能である。
この実験では,発明者は,図5の右下に実施例として示したように,前述したガス供給方法と同様に,上段(横吹きガスノズル27)からアルゴンガスを噴射させ,下段(氷柱ガスノズル28)からシランガスおよび水素ガスを噴射させた。また,発明者は,図5の右上に比較例として示したように,上段(横吹きガスノズル27)のみを有するマイクロプラズマ処理装置を用いて,アルゴンガスとシランガスおよび水素ガスとを交互に噴射させる実験も行った。
その結果,図5の左の表にて示したように,比較例のマイクロプラズマ処理装置では,マイクロ波のパワーが1.8kW〜2.3kWのときシランガスがSiHラジカルまで過剰解離される割合が10%強であったのに対し,マイクロ波のパワーを2.3kWから2.6kWまで上昇させる間に,シランガスがSiHラジカルまで過剰解離される割合が10%から24%へ急激に上昇した。
これに対して,本実施形態にかかるマイクロ波プラズマ処理装置100では,マイクロ波のパワーを1.8kWから2.6kWまで上昇させても,シランガスがSiHラジカルまで過剰解離される割合が10%弱と変動しなかった。
よって,発明者は,本実施形態にかかるマイクロ波プラズマ処理装置100によれば,マイクロ波のパワーを大きくしても,プラズマは,良質の膜を形成するためのプリカーサーであるSiHラジカルまで解離しているが,SiHラジカルまでは解離しない良好な状態を保つことができることを確認した。
特に,本実施形態にかかるマイクロ波プラズマ処理装置100では,イオンや電子が氷柱ガスノズル28に衝突しにくいので,衝突によるエネルギー損失が発生しにくい。この結果,プラズマの減衰が少なくなり,基板G上の反応速度を一定以上に維持しながら,基板G上に良質なアモルファスシリコン膜が形成することができる。したがって,本実施形態にかかるマイクロ波プラズマ処理装置100によれば,マイクロ波のパワーを大きくすることにより成膜速度を高めることにより高い生産性を保持したまま,良質な膜を生産することができた。
また,本実施形態にかかるマイクロ波プラズマ処理装置100によれば,氷柱ガスノズル28に堆積する反応生成物の量が少なくなり,氷柱ガスノズル28の過度な加熱が抑えられた。よって,堆積物がある厚さを越え,氷柱ガスノズル28からはがれ落ちてパーティクルとして基板に付着するまでの時間を長くすることができた。このため,処理室内をクリーニングするまでのサイクルを長くすることができ,これによっても高い生産性を達成することができた。
なお,本実施形態にかかるマイクロ波プラズマ処理装置100に設けられた氷柱ガスノズル28の変形例としては,たとえば,図6〜図8に示した形状のガスノズルが挙げられる。
(変形例1)
図6に示した氷柱ガスノズル28は,ポーラス28P(多孔質体)とバルク28B(緻密質体)とから形成されている。具体的には,氷柱ガスノズル28のうち,第2のガス導入管29bと連結した配管部分は,バルク28Bから形成されていて,その内部には,ポーラス28Pが充填されている。また,氷柱ガスノズル28の下部では,バルク28Bからポーラス28Pが突出し,ポーラス28Pの一部が処理室Uに露出している。なお,梁26の下面であって,氷柱ガスノズル28の上部外周部には,Oリング52が装着され,これにより,処理室Uの気密性が保たれている。
第2のガス供給部は,シランガスおよび水素ガスを氷柱ガスノズル28に形成されたポーラス28Pに通すことにより,ポーラス28Pに設けられた複数の開口(ポーラス28P内部にて連通した気孔)を噴射孔Aとして,多数の開口から処理室U内に吹き出す。
ここで,ポーラス28Pの平均気孔径は10〜150μm,気孔率は20〜40%程度である。したがって,シランガスおよび水素ガスは,ポーラス28P内部にて連通された気孔を通過する際に減速しながらポーラス28Pに均一に広がる。これにより,シランガスおよび水素ガスは,0.3m/s程度まで減速された状態でポーラス28Pの表面全体から処理室U内に均一に吹き出される。この結果,供給される各処理ガスの過剰な攪拌を抑制して,良質な膜を形成するためのプリカーサー(前駆体)であるSiHラジカルまで解離され,SiHラジカルまでは解離されないようにプラズマの生成を制御することができる。
(変形例2)
また,図7に示した氷柱ガスノズル28では,その先端が球状に形成されていて,噴射孔Bが,球状の先端部28Sに放射状に設けられている。第2のガス供給部は,シランガスおよび水素ガスを各噴射孔Bから処理室U内に放射状に吹き出す。これにより,シランガスおよび水素ガスを広範囲に均一に供給することができる。
(変形例3)
また,図8に示した氷柱ガスノズル28では,その先端が球状に形成されていて,かつ,球状に形成された先端部はポーラス28Pにより形成されている。これによれば,シランガスおよび水素ガスは,ポーラス28Pを通過して処理室U内に供給される。この結果,シランガスおよび水素ガスを,減速させながら広範囲に均一に供給することができる。
さらに,図示していないが,横吹きガスノズル27を梁26の下面に取り付けることなく,梁26を貫通した第1のガス導入管29aの端部開口から,第1の処理ガスを処理室U内に下向き(基板Gに垂直な方向)に噴射するようにしてもよい。この場合には,第1のガス導入管29aの端部開口が第1の噴射孔に相当し,第1のガス導入管29aが第1のガス噴射部材に相当する。
(ガス供給位置)
なお,一般的には,上段の噴射孔Aから噴射される処理ガス(第1の処理ガス)は,下段の(すなわち,噴射孔Aより下方に位置する)噴射孔Bから噴射される処理ガスよりも結合エネルギーが大きいガス(第2の処理ガス)であることが好ましい。
これによれば,前述したように,まず,結合エネルギーの大きい第1の処理ガスが,比較的強いマイクロ波の電界エネルギーによってプラズマ化される。第1の処理ガスがプラズマ着火した後,第1の処理ガスより結合エネルギーの小さい第2の処理ガスが,噴射孔Aの位置および梁26が突出した位置より下方に設置された噴射孔Bから噴射される。これにより,第2の処理ガスは,第1の処理ガスをプラズマ化するためにパワーを消費して弱められたマイクロ波の電界エネルギーによって,良質の膜を形成するためのプリカーサー(前駆体)まで解離する。この結果,アモルファスシリコン膜を形成することができる。
この原則に基づけば,Arのイオン化エネルギーは,15.759(eV)であり,SiとHとの分子結合エネルギーは,3.2(eV)であり,HとHとの分子結合エネルギーは,4.48(eV)であることから,アモルファスシリコンCVDプロセスでは,シランや水素より分子結合エネルギーが大きいアルゴンが,第1の処理ガスとして処理容器の上方に供給され,シランガスや水素ガスは,第2の処理ガスとして下方に供給される方がよい。
しかし,たとえば,シランガスと酸素ガスとを混合する場合のように,混合ガスが過剰反応する場合には,これらのガスを混合して供給することができない。このような特殊な場合には,第1の処理ガスおよび第2の処理ガスの結合エネルギーの大小関係に関わらず,過剰反応が起きないように各処理ガスの噴射位置が決定される。
上記実施形態において,各部の動作はお互いに関連しており,互いの関連を考慮しながら,一連の動作として置き換えることができる。そして,このように置き換えることにより,プラズマ処理装置の発明の実施形態をプラズマ処理方法の実施形態とすることができる。
以上,添付図面を参照しながら本発明の好適な実施形態について説明したが,本発明は係る例に限定されないことは言うまでもない。当業者であれば,特許請求の範囲に記載された範疇内において,各種の変更例または修正例に想到し得ることは明らかであり,それらについても当然に本発明の技術的範囲に属するものと了解される。
たとえば,本発明にかかるプラズマ処理装置により実行されるプラズマ処理は,CVD処理に限られず,アッシング処理,エッチング処理などのあらゆるプラズマ処理が可能である。
本発明は,非処理体上へのプラズマの流れを妨げない位置にガス噴射部材を設けたプラズマ処理装置に適用可能である。
本発明の一実施形態にかかるマイクロ波プラズマ処理装置の断面図である。 同実施形態にかかる処理容器の天井面を示した図である。 図1のガスノズル近傍を拡大した図である。 横吹きガスノズルおよび氷柱ガスノズルの構成を示した図である。 マイクロ波のパワーとSiHラジカルの発生割合との関係を示した図である。 氷柱ガスノズルの他の構成例を示した図である。 氷柱ガスノズルの他の構成例を示した図である。 氷柱ガスノズルの他の構成例を示した図である。
符号の説明
10 処理容器
11 サセプタ
20 蓋体
21 蓋本体
26 梁
27 横吹きガスノズル
28 氷柱ガスノズル
28P ポーラス
28B バルク
29a 第1のガス導入管
29b 第2のガス導入管
30 スロットアンテナ
31 誘電体
31a 誘電体パーツ
32,52 Oリング
33 方形導波管
37 スロット
40 マイクロ波発生器
43 ガス供給源
43a4 アルゴンガス供給源
43b4 シランガス供給源
43b8 水素ガス供給源
100 マイクロ波プラズマ処理装置
U 処理室
G 基板

Claims (18)

  1. 導波管を伝播してスロットに通したマイクロ波を透過させる誘電体と,
    前記誘電体を支持する梁の所定位置に設けられた第1の噴射孔を有する複数の第1のガス噴射部材と,
    非処理体上へのプラズマの流れを妨げないように前記梁の所定位置に取り付けられ,第1の噴射孔より下方に位置する第2の噴射孔を有する複数の第2のガス噴射部材と,
    前記各第1のガス噴射部材の第1の噴射孔から処理室内の所望の位置に第1の処理ガスを噴射する第1のガス供給部と,
    前記各第2のガス噴射部材の第2の噴射孔から,第1の処理ガスが噴射された位置より下方の位置に第2の処理ガスを噴射する第2のガス供給部と,
    前記マイクロ波により前記第1の処理ガスおよび前記第2の処理ガスをプラズマ化させて被処理体をプラズマ処理する処理室と,を備えるプラズマ処理装置。
  2. 前記各第1のガス噴射部材は,前記梁の外部に取り付けられるか,または,前記梁の内部に設けられる請求項1に記載されたプラズマ処理装置。
  3. 前記各第2のガス噴射部材は,金属により形成される請求項1または請求項2のいずれかに記載されたプラズマ処理装置。
  4. 前記各第2のガス噴射部材は,棒状または板状に形成される請求項1〜3のいずれかに記載されたプラズマ処理装置。
  5. 前記各第2のガス噴射部材は,互いに平行に前記梁から氷柱状に垂れ下がるように前記梁に固定される請求項1〜4のいずれかに記載されたプラズマ処理装置。
  6. 前記各第2のガス噴射部材は,非処理体上にプラズマが拡散される際の障壁とならない位置に設けられる請求項1〜5のいずれかに記載されたプラズマ処理装置。
  7. 前記各第2のガス噴射部材は,前記梁から突出した長手方向の長さが30mm以下である請求項1〜6のいずれかに記載されたプラズマ処理装置。
  8. 前記各第2の噴射孔は,第2の処理ガスが過剰に解離されない位置に噴射されるように位置付けられる請求項1〜7のいずれかに記載されたプラズマ処理装置。
  9. 前記各第1の噴射孔は,前記誘電体近傍にて被処理体に水平な向きに開口している請求項1〜8のいずれかに記載されたプラズマ処理装置。
  10. 前記各第1の噴射孔は,前記誘電体近傍にて被処理体に垂直な向きに開口している請求項1〜8のいずれかに記載されたプラズマ処理装置。
  11. 前記各第2の噴射孔は,被処理体に垂直な向きに開口している請求項1〜10のいずれかに記載されたプラズマ処理装置。
  12. 前記各第2のガス噴射部材の一部は,多孔質体により形成され,
    前記ガス供給部は,
    前記処理ガスを前記各第2のガス噴射部材に形成された多孔質体に通すことにより,その多孔質体に設けられた複数の開口を上記第2の噴射孔として,前記複数の開口から前記処理ガスを前記処理室内に吹き出す請求項1〜11のいずれかに記載されたプラズマ処理装置。
  13. 前記多孔質体は,前記各第2のガス噴射部材の先端にて球状に形成される請求項12に記載されたプラズマ処理装置。
  14. 前記各第2のガス噴射部材は,その先端が球状に形成され,
    前記各第2の噴射孔は,前記先端の球状部分に放射状に設けられ,
    前記ガス供給部は,
    前記処理ガスを前記各第2の噴射孔から前記処理室内に放射状に吹き出す請求項1〜11のいずれかに記載されたプラズマ処理装置。
  15. 前記第1の処理ガスまたは前記第2の処理ガスの少なくともいずれかは,複数の処理ガスを混合した混合ガスであって,前記混合ガスが過剰反応する場合を除き,
    前記第1の処理ガスは,前記第2の処理ガスよりも結合エネルギーが大きいガスである請求項1〜14のいずれかに記載されたプラズマ処理装置。
  16. 前記誘電体は,複数の誘電体パーツから構成され,
    前記各誘電体パーツには,被処理体と対向する面にて凹部または凸部の少なくともいずれかが形成される請求項1〜15のいずれかに記載されたプラズマ処理装置。
  17. 導波管を伝播してスロットに通したマイクロ波を誘電体に透過させ,
    前記誘電体を支持する梁の所定位置に取り付けられた複数の第1のガス噴射部材の第1の噴射孔から処理室内の所望の位置に第1の処理ガスを噴射し,
    非処理体上へのプラズマの流れを妨げないように前記梁の所定位置に取り付けられた複数の第2のガス噴射部材の第2の噴射孔であって,第1の噴射孔より下方に位置する第2の噴射孔から第1の処理ガスが噴射された位置より下方の位置に第2の処理ガスを噴射し,
    前記マイクロ波により前記噴射された第1の処理ガスと前記噴射された第2の処理ガスとをプラズマ化させて被処理体をプラズマ処理するプラズマ処理方法。
  18. 金属により形成された前記第2のガス噴射部材に加わる熱を冷却水配管に供給された冷却水により冷却する請求項17に記載されたプラズマ処理方法。
JP2006010131A 2006-01-18 2006-01-18 プラズマ処理装置およびプラズマ処理方法 Expired - Fee Related JP5068458B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2006010131A JP5068458B2 (ja) 2006-01-18 2006-01-18 プラズマ処理装置およびプラズマ処理方法
CNB2006101375490A CN100530530C (zh) 2006-01-18 2006-10-25 等离子体处理装置和等离子体处理方法
KR1020060130066A KR100968990B1 (ko) 2006-01-18 2006-12-19 플라즈마 처리 장치 및 플라즈마 처리 방법
US11/653,895 US7655111B2 (en) 2006-01-18 2007-01-17 Plasma processing apparatus and plasma processing method
TW096101934A TW200733201A (en) 2006-01-18 2007-01-18 Plasma processing apparatus and plasma processing method
KR1020090018999A KR20090033852A (ko) 2006-01-18 2009-03-05 플라즈마 처리 장치 및 플라즈마 처리 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006010131A JP5068458B2 (ja) 2006-01-18 2006-01-18 プラズマ処理装置およびプラズマ処理方法

Publications (3)

Publication Number Publication Date
JP2007194355A true JP2007194355A (ja) 2007-08-02
JP2007194355A5 JP2007194355A5 (ja) 2009-02-12
JP5068458B2 JP5068458B2 (ja) 2012-11-07

Family

ID=38262196

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006010131A Expired - Fee Related JP5068458B2 (ja) 2006-01-18 2006-01-18 プラズマ処理装置およびプラズマ処理方法

Country Status (5)

Country Link
US (1) US7655111B2 (ja)
JP (1) JP5068458B2 (ja)
KR (2) KR100968990B1 (ja)
CN (1) CN100530530C (ja)
TW (1) TW200733201A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009054997A (ja) * 2007-07-27 2009-03-12 Semiconductor Energy Lab Co Ltd 光電変換装置の作製方法
JP2017534767A (ja) * 2014-11-07 2017-11-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 真空堆積のための材料源アレンジメント及びノズル

Families Citing this family (265)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008047869A (ja) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP5216446B2 (ja) * 2007-07-27 2013-06-19 株式会社半導体エネルギー研究所 プラズマcvd装置及び表示装置の作製方法
JP5572307B2 (ja) 2007-12-28 2014-08-13 株式会社半導体エネルギー研究所 光電変換装置の製造方法
JP5103223B2 (ja) * 2008-02-27 2012-12-19 東京エレクトロン株式会社 マイクロ波プラズマ処理装置およびマイクロ波プラズマ処理装置の使用方法
US8168268B2 (en) * 2008-12-12 2012-05-01 Ovishinsky Innovation, LLC Thin film deposition via a spatially-coordinated and time-synchronized process
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102157326A (zh) * 2009-12-31 2011-08-17 丽佳达普株式会社 基板处理装置的壁面能量损失减少装置
CN102157327B (zh) * 2009-12-31 2014-12-03 丽佳达普株式会社 基板处理装置的气体供给结构
KR101148082B1 (ko) * 2010-11-15 2012-05-24 한국표준과학연구원 플라즈마 발생 장치 및 플라즈마 발생 방법
GB201021855D0 (en) 2010-12-23 2011-02-02 Element Six Ltd Microwave power delivery system for plasma reactors
RU2555018C2 (ru) 2010-12-23 2015-07-10 Элемент Сикс Лимитед Контролируемое легирование синтетического алмазного материала
GB201021913D0 (en) 2010-12-23 2011-02-02 Element Six Ltd Microwave plasma reactors and substrates for synthetic diamond manufacture
GB201021853D0 (en) 2010-12-23 2011-02-02 Element Six Ltd A microwave plasma reactor for manufacturing synthetic diamond material
GB201021865D0 (en) 2010-12-23 2011-02-02 Element Six Ltd A microwave plasma reactor for manufacturing synthetic diamond material
GB201021860D0 (en) 2010-12-23 2011-02-02 Element Six Ltd A microwave plasma reactor for diamond synthesis
GB201021870D0 (en) 2010-12-23 2011-02-02 Element Six Ltd A microwave plasma reactor for manufacturing synthetic diamond material
CN103270578B (zh) * 2010-12-30 2016-10-26 应用材料公司 使用微波等离子体的薄膜沉积
JP5955062B2 (ja) * 2011-04-25 2016-07-20 東京エレクトロン株式会社 プラズマ処理装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5792315B2 (ja) * 2011-10-07 2015-10-07 東京エレクトロン株式会社 プラズマ処理装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6096547B2 (ja) * 2013-03-21 2017-03-15 東京エレクトロン株式会社 プラズマ処理装置及びシャワープレート
JP6338462B2 (ja) * 2013-09-11 2018-06-06 東京エレクトロン株式会社 プラズマ処理装置
CN103693438B (zh) * 2013-12-18 2016-06-01 京东方科技集团股份有限公司 用于基板的支撑装置及其运送基板的方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10249511B2 (en) 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR20160021958A (ko) 2014-08-18 2016-02-29 삼성전자주식회사 플라즈마 처리 장치 및 기판 처리 방법
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR20160046366A (ko) 2014-10-20 2016-04-29 정인환 열기 및 스팀 혼합 가열식 구이용 불판
JP2017538864A (ja) 2014-12-10 2017-12-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 処理チャンバにおいて基板をマスキングするためのマスク構成、基板上に層を堆積させるための装置、及び、処理チャンバにおいて基板をマスキングするためのマスク構成の位置を合わせる方法
JP6404111B2 (ja) * 2014-12-18 2018-10-10 東京エレクトロン株式会社 プラズマ処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR20180008907A (ko) * 2015-06-12 2018-01-24 어플라이드 머티어리얼스, 인코포레이티드 반도체 에피택시 성장을 위한 주입기
JP6523071B2 (ja) * 2015-06-19 2019-05-29 東京エレクトロン株式会社 プラズマを用いた成膜方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
KR102525361B1 (ko) * 2016-04-27 2023-04-25 삼성디스플레이 주식회사 감압 건조 장치 및 이를 이용한 막 제조 방법
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6960813B2 (ja) * 2017-09-20 2021-11-05 東京エレクトロン株式会社 グラフェン構造体の形成方法および形成装置
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US20200347499A1 (en) * 2019-05-01 2020-11-05 Applied Materials, Inc. Large-area high-density plasma processing chamber for flat panel displays
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
JP2021064508A (ja) * 2019-10-11 2021-04-22 東京エレクトロン株式会社 プラズマ処理装置
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0394422A (ja) * 1989-06-30 1991-04-19 Hitachi Ltd プラズマcvd装置及びその方法
JPH08250477A (ja) * 1995-03-14 1996-09-27 Sumitomo Metal Ind Ltd プラズマ装置
JP2000058530A (ja) * 1998-06-02 2000-02-25 Tokyo Electron Ltd 真空処理装置
WO2000074127A1 (fr) * 1999-05-26 2000-12-07 Tokyo Electron Limited Dispositif de traitement au plasma
JP2005123213A (ja) * 2003-10-14 2005-05-12 Mitsubishi Heavy Ind Ltd 基板冷却方法、基板冷却装置、及び製膜装置
JP2005268651A (ja) * 2004-03-19 2005-09-29 Advanced Lcd Technologies Development Center Co Ltd 絶縁膜の形成方法及び絶縁膜形成装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW293983B (ja) 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
JP3422583B2 (ja) 1994-03-23 2003-06-30 東京エレクトロン株式会社 処理装置
US5645644A (en) * 1995-10-20 1997-07-08 Sumitomo Metal Industries, Ltd. Plasma processing apparatus
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5996528A (en) * 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
JP2001053065A (ja) * 1999-08-13 2001-02-23 Nec Kyushu Ltd プラズマ処理装置
JP3599619B2 (ja) * 1999-11-09 2004-12-08 シャープ株式会社 プラズマプロセス装置
TW200415726A (en) * 2002-12-05 2004-08-16 Adv Lcd Tech Dev Ct Co Ltd Plasma processing apparatus and plasma processing method
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
JP4273932B2 (ja) * 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0394422A (ja) * 1989-06-30 1991-04-19 Hitachi Ltd プラズマcvd装置及びその方法
JPH08250477A (ja) * 1995-03-14 1996-09-27 Sumitomo Metal Ind Ltd プラズマ装置
JP2000058530A (ja) * 1998-06-02 2000-02-25 Tokyo Electron Ltd 真空処理装置
WO2000074127A1 (fr) * 1999-05-26 2000-12-07 Tokyo Electron Limited Dispositif de traitement au plasma
JP2005123213A (ja) * 2003-10-14 2005-05-12 Mitsubishi Heavy Ind Ltd 基板冷却方法、基板冷却装置、及び製膜装置
JP2005268651A (ja) * 2004-03-19 2005-09-29 Advanced Lcd Technologies Development Center Co Ltd 絶縁膜の形成方法及び絶縁膜形成装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009054997A (ja) * 2007-07-27 2009-03-12 Semiconductor Energy Lab Co Ltd 光電変換装置の作製方法
JP2017534767A (ja) * 2014-11-07 2017-11-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 真空堆積のための材料源アレンジメント及びノズル

Also Published As

Publication number Publication date
KR20090033852A (ko) 2009-04-06
CN101005007A (zh) 2007-07-25
CN100530530C (zh) 2009-08-19
KR100968990B1 (ko) 2010-07-09
JP5068458B2 (ja) 2012-11-07
TW200733201A (en) 2007-09-01
KR20070076415A (ko) 2007-07-24
US7655111B2 (en) 2010-02-02
US20070163996A1 (en) 2007-07-19

Similar Documents

Publication Publication Date Title
JP5068458B2 (ja) プラズマ処理装置およびプラズマ処理方法
JP4915985B2 (ja) プラズマ処理装置およびプラズマ処理方法
JP5004271B2 (ja) マイクロ波プラズマ処理装置、誘電体窓の製造方法およびマイクロ波プラズマ処理方法
JP5324026B2 (ja) プラズマ処理装置およびプラズマ処理装置の制御方法
US6396214B1 (en) Device for producing a free cold plasma jet
CN105144849B (zh) 环形等离子体处理装置
KR101454132B1 (ko) 플라스마 처리장치
JP5453271B2 (ja) 大気圧下における超高周波プラズマ補助cvdのための装置および方法、並びにその応用
JP2005150612A (ja) プラズマ成膜装置及びプラズマ成膜方法
JPH03147317A (ja) プラズマ処理における汚染を抑制するための方法及び装置
JP4781711B2 (ja) プラズマ処理装置およびプラズマ処理方法
KR101139821B1 (ko) 분배 효율이 향상된 가스분사노즐 및 이를 구비한 플라즈마 반응기
KR100457455B1 (ko) 박막 증착 속도를 조절하는 샤워헤드를 구비한 화학 기상증착 장치.
US6969953B2 (en) System and method for inductive coupling of an expanding thermal plasma
JP5005999B2 (ja) プラズマ処理装置およびプラズマ処理装置の使用方法
JP2009123906A (ja) プラズマ処理装置
KR100942094B1 (ko) 플라즈마 처리 장치와 그 운전 방법, 플라즈마 처리 방법및 전자 장치의 제조 방법
JP2005159049A (ja) プラズマ成膜方法
JP4304280B2 (ja) プラズマ生成装置およびプラズマ処理製造方法
KR20110036932A (ko) 기판 상에 처리되는 물질의 균일성 개선을 위한 증착 장치 및 그 사용 방법
KR20230136206A (ko) 기판 처리 방법 및 기판 처리 장치
JPH10324975A (ja) 成膜処理方法及び装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20081127

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081127

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090716

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110701

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110913

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111114

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120814

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120815

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150824

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees