KR20070076415A - 플라즈마 처리 장치 및 플라즈마 처리 방법 - Google Patents

플라즈마 처리 장치 및 플라즈마 처리 방법 Download PDF

Info

Publication number
KR20070076415A
KR20070076415A KR1020060130066A KR20060130066A KR20070076415A KR 20070076415 A KR20070076415 A KR 20070076415A KR 1020060130066 A KR1020060130066 A KR 1020060130066A KR 20060130066 A KR20060130066 A KR 20060130066A KR 20070076415 A KR20070076415 A KR 20070076415A
Authority
KR
South Korea
Prior art keywords
gas
plasma
processing
injection
processing apparatus
Prior art date
Application number
KR1020060130066A
Other languages
English (en)
Other versions
KR100968990B1 (ko
Inventor
다카히로 호리구치
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20070076415A publication Critical patent/KR20070076415A/ko
Application granted granted Critical
Publication of KR100968990B1 publication Critical patent/KR100968990B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32229Waveguides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

본 발명의 과제는 비 처리체상으로의 플라즈마의 흐름을 방해하지 않도록 가스 분사 부재를 마련한 플라즈마 처리 장치를 제공하는 것이다. 마이크로파 플라즈마 처리 장치(100)의 빔(26)에는, 분사 구멍(A)을 갖는 가로 분출 가스 노즐(27)과 분사 구멍(B)을 갖는 빙주 가스 노즐(28)이 다수 고정되어 있다. 제 1 가스 공급부는 분사 구멍(A)으로부터 유전체(31) 근방에 아르곤 가스를 분사한다. 제 2 가스 공급부는 분사 구멍(B)으로부터 가스가 지나치게 해리되지 않는 위치에 실란 가스 및 수소 가스를 분사한다. 이와 같이 하여 분사된 각 가스는 각 유전체 부품(31a)을 투과한 마이크로파에 의해 플라즈마화된다. 빙주 가스 노즐(28)은 기판(G)상으로의 플라즈마의 흐름을 방해하지 않도록 위치하기 때문에, 이온, 전자는 빙주 가스 노즐(28)에 충돌하기 어려워진다. 이 결과, 빙주 가스 노즐(28)에 퇴적하는 반응 생성물의 양을 억제할 수 있다.

Description

플라즈마 처리 장치 및 플라즈마 처리 방법{PLASMA PROCESSING APPARATUS AND METHOD}
도 1은 본 발명의 일 실시형태에 따른 마이크로파 플라즈마 처리 장치의 단면도,
도 2는 상기 실시형태에 따른 처리 용기의 천장면을 도시한 도면,
도 3은 도 1의 가스 노즐 근방을 확대한 도면,
도 4는 가로 분출 가스 노즐 및 빙주 가스 노즐의 구성을 도시한 도면,
도 5는 마이크로파의 전력과 SiH2 라디칼의 발생 비율의 관계를 도시한 도면,
도 6은 빙주 가스 노즐의 다른 구성 예를 나타낸 도면,
도 7은 빙주 가스 노즐의 다른 구성 예를 나타낸 도면,
도 8은 빙주 가스 노즐의 다른 구성 예를 나타낸 도면.
도면의 주요 부분에 대한 부호의 설명
10 : 처리 용기 11 : 서셉터
20 : 커버 부재 21 : 커버 본체
26 : 빔 27 : 가로 분출 가스 노즐
28 : 빙주 가스 노즐 28P : 포러스
28B : 벌크 29a : 제 1 가스 도입관
29b : 제 2 가스 도입관 30 : 슬롯 안테나
31 : 유전체 31a : 유전체 부품
32, 52 : O링 33 : 사각형 도파관
37 : 슬롯 40 : 마이크로파 발생기
43 : 가스 공급원 43a4 : 아르곤 가스 공급원
43b4 : 실란 가스 공급원 43b8 : 수소 가스 공급원
100 : 마이크로파 플라즈마 처리 장치
U : 처리실 G : 기판
본 발명은 플라즈마를 생성해서 피처리체를 플라즈마 처리하는 플라즈마 처리 장치 및 플라즈마 처리 방법에 관한 것이다. 특히, 본 발명은 플라즈마를 생성하기 위한 처리 가스의 공급에 관한 것이다.
종래부터, 처리 용기내의 상단 및 하단에 각각 마련된 2 계통의 가스 공급 기구(예를 들어, 가스 샤워헤드)로부터 복수 종류의 다른 처리 가스를 공급하는 플 라즈마 처리 장치가 제안되어 있다(예를 들어, 특허문헌 1을 참조). 이 플라즈마 처리 장치에서는, 상단의 가스 샤워헤드는 하단의 가스 샤워헤드의 상방에 위치하고, 예를 들어 아르곤 가스 등의 비 퇴적성 가스를 분사한다.
한편, 하단의 가스 샤워헤드는 기판에 평행하게 기판의 상방에 위치하고, 실란 가스 등의 처리 가스를 분사한다. 이와 같이 하여 다른 가스가 처리 용기내의 소정의 위치에 각각 분사됨으로써, 소망의 플라즈마가 생성되고, 생성된 플라즈마를 이용하여 기판에 양호한 플라즈마 처리가 실시된다.
[특허문헌 1] 일본 특허 공개 제 1995-312348 호 공보
그러나 이와 같이 하단의 가스 샤워헤드를 기판에 평행하게 설치하면, 플라즈마에 포함되는 이온이나 전자의 대부분이 하단의 가스 샤워헤드에 충돌하게 되어, 하단의 가스 샤워헤드가 과도하게 가열되는 동시에, 대부분의 반응 생성물이 하단의 가스 샤워헤드에 부착된다. 이 결과, 하단의 가스 샤워헤드에 퇴적한 퇴적물이 일정 두께를 초과하여, 하단의 가스 샤워헤드로부터 벗겨져서 파티클로서 기판에 부착될 때까지의 시간이 줄어든다.
특히, 프로세스 처리중에 가열된 하단의 가스 샤워헤드는, 로드록실로부터 기판이 반송될 때에 냉각된다. 한편, 하단의 가스 샤워헤드는 유전체에 의해 형성되어 있으므로, 열을 전달하기 어렵다. 따라서 이온이나 전자의 충돌에 의해 하단의 가스 샤워헤드에 가해진 열은 외부로 전달되기 어렵다. 이로 인해, 대부분의 이온이나 전자가 하단의 가스 샤워헤드에 충돌하면, 하단의 가스 샤워헤드는 프로세스 처리중에 과도하게 가열되어, 반송중에 급격하게 냉각된다. 이 가열과 냉각의 반복에 수반하여 하단의 가스 샤워헤드의 온도는 높아지거나 낮아지는 등 심하게 변동한다.
그렇게 하면, 퇴적물(반응 생성물)과 하단의 가스 샤워헤드의 열 팽창율의 차이가 커져 영향을 미치게 되어, 퇴적물이 보다 한층 하단의 가스 샤워헤드로부터 벗겨지기 쉬워진다. 이로써, 퇴적물이 파티클로서 기판에 부착될 때까지의 시간이 더욱 줄어든다. 이 파티클의 문제를 회피하기 위해, 빠른 사이클로 처리 용기내를 클리닝하는 것도 고려된다. 그러나 그렇게 하면, 처리량이 저하되어 생산성이 낮아진다는 문제가 생긴다.
또, 기판을 향해 확산되어 가는 플라즈마의 흐름이, 하단의 가스 샤워헤드에 의해 방해를 받아, 대부분의 이온이나 전자가 하단의 가스 샤워헤드에 충돌하면, 충돌에 의해 생기는 에너지 손실이 커져서 플라즈마가 감쇠하므로, 기판상의 반응 속도(예를 들어, 성막 속도)가 저하된다는 문제가 생긴다.
상기 문제를 해소하기 위해, 본 발명은 비 처리체상에의 플라즈마의 흐름을 방해하지 않는 위치에 가스 분사 부재를 마련한 플라즈마 처리 장치 및 플라즈마 처리 방법을 제공한다.
즉, 상기 과제를 해결하기 위해, 본 발명의 관점에 따르면, 도파관을 전파해서 슬롯을 통과한 마이크로파를 투과시키는 유전체와, 상기 유전체를 지지하는 빔의 소정 위치에 마련된 제 1 분사 구멍을 갖는 복수의 제 1 가스 분사 부재와, 비 처리체상에의 플라즈마의 흐름을 방해하지 않도록 상기 빔의 소정 위치에 장착되고, 제 1 분사 구멍보다 하방에 위치하는 제 2 분사 구멍을 갖는 복수의 제 2 가스 분사 부재와, 상기 각 제 1 가스 분사 부재의 제 1 분사 구멍으로부터 처리실내의 소망의 위치에 제 1 처리 가스를 분사하는 제 1 가스 공급부와, 상기 각 제 2 가스 분사 부재의 제 2 분사 구멍으로부터 제 1 처리 가스가 분사된 위치보다 하방의 위치에 제 2 처리 가스를 분사하는 제 2 가스 공급부와, 상기 마이크로파에 의해 상기 제 1 처리 가스 및 상기 제 2 처리 가스를 플라즈마화시켜서 피처리체를 플라즈마 처리하는 처리실을 구비하는 플라즈마 처리 장치가 제공된다.
이에 따르면, 빔에는 복수의 제 1 가스 분사 부재와 복수의 제 2 가스 분사 부재가 장착된다. 그리고 제 1 가스 공급부는, 제 1 가스 분사 부재에 마련된 제 1 분사 구멍으로부터 제 1 처리 가스를 분사하고, 제 2 가스 공급부는 제 2 가스 분사 부재에 마련된 제 2 분사 구멍(제 1 분사 구멍보다 하방에 위치)으로부터 제 2 처리 가스를 분사한다.
이때, 각 제 2 가스 분사 부재는 비 처리체상에의 플라즈마의 흐름을 방해하지 않도록 빔의 소정 위치에 장착되어 있다. 따라서 제 1 처리 가스 및 제 2 처리 가스로부터 생성된 플라즈마중의 이온이나 전자는 제 2 가스 분사 부재에 충돌하기 어렵다. 이 결과, 제 2 가스 분사 부재에 퇴적하는 반응 생성물의 양은 적어진다. 이로써, 퇴적물이 일정 두께를 초과하여, 제 2 가스 분사 부재로부터 벗겨져서 파티클로서 기판에 부착되기 어려워진다. 이 결과, 처리실내를 클리닝하는 사이클(간격)을 길게 할 수 있어, 처리량(throughput)을 향상시킬 수 있다.
또, 이온이나 전자가 제 2 가스 분사 부재에 충돌하기 어려우므로, 충돌에 의한 에너지 손실이 발생하기 어렵다. 이 결과, 플라즈마의 감쇠가 대부분 없어져, 기판상의 반응 속도를 일정 이상으로 유지할 수 있는 동시에, 제 2 가스 분사 부재가 과도하게 가열되는 것을 막을 수 있다.
상기 각 제 1 가스 분사 부재는 상기 빔의 외부에 장착되거나, 또는 상기 빔의 내부에 마련되어 있어도 좋다. 예를 들어 제 1 가스 분사 부재는 빔의 하면에 장착된 가스 노즐이라도 좋고, 빔을 관통한 가스 도입관 자신이라도 좋다. 전자의 경우, 가스 노즐에 마련된 가스 통로의 단부 개구가 제 1 분사 구멍이 된다. 후자의 경우, 빔을 관통하는 가스 도입관의 단부 개구가 제 1 분사 구멍이 된다.
제 2 가스 분사 부재는 금속에 의해 형성되어 있어도 좋다. 이에 의하면, 제 2 가스 분사 부재의 열전도가 좋아져, 이온이나 전자의 충돌에 의해 제 2 가스 분사 부재에 가해진 열은, 처리실 외부의 냉각수 배관의 근방까지 전달되어 냉각수 배관내를 순환하는 냉각수에 의해 냉각된다. 이로 인해, 프로세스 처리중이라도, 제 2 가스 분사 부재는 과도하게 가열되지 않는다. 이 결과, 프로세스 처리 및 반송을 반복할 때에 발생하는 제 2 가스 분사 부재의 온도 변동은 작아진다. 그렇다면, 퇴적물과 제 2 가스 분사 부재의 열팽창율의 차이에 의한 영향이 적어져, 퇴적물이 제 2 가스 분사 부재로부터 벗겨지기 어려워진다. 이 결과, 처리 용기내를 클리닝하는 사이클을 더욱 길게 할 수 있다.
상기 제 2 가스 분사 부재는 막대 형상 또는 판 형상으로 형성되어도 좋다. 이때, 상기 각 제 2 가스 분사 부재는 상기 빔과 수직인 방향의 길이가 30㎜ 이하 가 되도록 빔으로부터 빙주(氷柱) 형상으로 매달려 있어도 좋다. 또, 상기 각 제 2 가스 분사 부재는 서로 평행하게 상기 빔으로부터 빙주 형상으로 매달리도록 상기 빔에 고정되어 있어도 좋다.
이에 의하면, 상기 복수의 제 2 가스 분사 부재는 막대 형상 또는 판 형상으로 형성되어, 처리 용기의 천장부에 마련된 빔으로부터 서로 평행하게 빙주 형상으로 매달려 있다. 따라서 제 2 가스 분사 부재는, 그 길이 방향이 비 처리체상에의 플라즈마의 흐름에 평행하며, 그 형상도 막대 형상 또는 판 형상의 간단한 구조이므로, 비 처리체상에의 플라즈마의 흐름을 방해하기 어렵다. 또, 제 2 가스 분사 부재의 장착 위치도, 처리 용기의 천장부에 마련된 빔으로부터 빙주 형상으로 30㎜ 정도 매달리는 위치이므로, 제 1 처리 가스 및 제 2 처리 가스로부터 생성된 비 처리체상에의 플라즈마의 흐름을 방해하기 어렵다. 이와 같이, 각 제 2 가스 분사 부재가, 비 처리체상으로 플라즈마가 확산될 때의 장벽이 되지 않는 위치에 마련되어 있으므로, 플라즈마에 포함되는 이온이나 전자의 대부분은 제 2 가스 분사 부재에 충돌하지 않는다. 이 결과, 제 2 가스 분사 부재에 부착되는 반응 생성물의 양을 감소시켜, 파티클의 발생을 억제할 수 있다.
또, 상기 각 제 2 분사 구멍은 제 2 처리 가스가 과잉으로 해리되지 않는 위치에 분사되도록 위치 결정되어 있어도 좋다. 이때, 상기 각 제 1 분사 구멍은 상기 유전체 근방에서 피처리체에 수평한 방향으로 개구하고 있어도 좋다. 또, 상기 각 제 2 분사 구멍은 피처리체에 수직인 방향으로 개구하고 있어도 좋다. 또, 상기 제 1 처리 가스는 상기 제 2 처리 가스보다도 결합 에너지가 큰 가스인 것이 바 람직하다.
이에 의하면, 결합 에너지가 큰 제 1 가스는, 처리실에 입사된 직후의 강한 마이크로파의 전계 에너지에 의해 플라즈마화된다. 한편, 제 2 처리 가스는 제 1 가스가 분사된 위치보다 후방이며, 가스가 과잉으로 해리되지 않는 위치에 분사되어, 제 1 처리 가스의 플라즈마화에 어느 정도의 에너지를 소비해서 약해진 전계 에너지에 의해, 예를 들어 양질의 막을 형성하기 위한 전구체(precursor)까지 해리된다.
일례로서는, 피처리체에 수평인 방향으로 개구한 제 1 분사 구멍으로부터, 유전체의 하면 근방에, 예를 들어 아르곤 가스 등의 플라즈마 여기 가스가 분사되어, 균일한 플라즈마가 발생한 후, 피처리체에 수직인 방향으로 개구한 제 2 분사 구멍으로부터, 가스가 과잉으로 해리되지 않는 위치(아르곤 가스의 분사 위치의 후방)에 실란 가스 및 수소 가스가 분사된 경우이다. 이 경우, 분사된 실란(SiH4) 가스가 SiH3 라디칼까지 해리하고, SiH2 라디칼까지는 과잉 해리되지 않는다. 이와 같이 하여, SiH3 라디칼까지 분해된 전구체에 의해, 피처리체상에 양질의 막을 형성할 수 있다.
단, 상기 제 1 처리 가스 또는 상기 제 2 처리 가스중 적어도 어느 하나가, 복수의 처리 가스를 혼합한 혼합 가스이며, 그 혼합 가스가 과잉 반응하는 경우 등의 특수한 경우에는, 제 1 처리 가스 및 제 2 처리 가스의 결합 에너지의 대소 관계에 관계없이, 과잉 반응이 일어나지 않도록 각 처리 가스의 분사 위치가 결정된 다.
또, 상기 유전체는 복수의 유전체 부품으로 구성되고, 상기 각 유전체 부품에는 피처리체와 대향하는 면에 의해 오목부 또는 볼록부중 적어도 어느 하나가 형성되어 있어도 좋다.
이에 의하면, 각 유전체 부품에 형성된 오목부 또는 볼록부에 의해, 각 유전체 부품 하면에 의해 표면파가 전파할 때의 전계 에너지의 손실을 증가시킬 수 있다. 이로써, 표면파의 전파를 억제하고, 정재파의 발생을 억제하여, 균일한 플라즈마를 생성할 수 있다.
또한, 상기 각 제 2 가스 분사 부재의 일부는 다공질체에 의해 형성되고, 상기 가스 공급부는 상기 처리 가스를 상기 각 제 2 가스 분사 부재에 형성된 다공질체에 통과시킴으로써, 그 다공질체에 마련된 복수의 개구를 상기 제 2 분사 구멍으로서, 상기 복수의 개구로부터 상기 처리 가스를 상기 처리실내에 내뿜도록 해도 좋다. 이때, 상기 다공질체는 상기 각 제 2 가스 부재의 선단부에서 구형으로 형성되어 있어도 좋다.
이에 따르면, 처리 가스는 다공질체의 다수의 개구를 통과하여 처리실내에 공급된다. 처리 가스가 다공질체내에서 연통된 다수의 기공을 통과할 때, 처리 가스의 유속은 감속하면서 다공질체 전체에 균일하게 확산되어, 감속한 상태에서 다공질체의 표면 전체에 마련된 개구로부터 처리실내에 내뿜어진다. 이와 같이, 처리 가스를 감속시키면서 광범위하게 균일하게 공급함으로써, 공급되는 처리 가스의 지나친 교반을 억제해서, 소망의 플라즈마를 균일하게 생성할 수 있다. 특히, 다 공질체가 제 2 가스 분사 부재의 선단부에서 구형으로 형성되어 있으면, 다공질체의 구면에 마련된 무수한 개구로부터 처리 가스를 보다 광범위하고 균일하게 공급할 수 있다.
또한 상기 각 제 2 가스 분사 부재는 그 선단부가 구형으로 형성되고, 상기 각 제 2 분사 구멍은 상기 선단부의 구형 부분에 방사형으로 마련되고, 상기 가스 공급부는 상기 처리 가스를 상기 각 제 2 분사 구멍으로부터 상기 처리실내에 내뿜도록 해도 좋다. 이에 의하면, 구면에 마련된 각 제 2 분사 구멍으로부터 처리 가스를 방사형으로 균일하게 내뿜을 수 있다.
또, 상기 과제를 해결하기 위해, 본 발명의 다른 관점에 따르면, 도파관을 전파해서 슬롯을 통과한 마이크로파를 유전체에 투과시켜, 상기 유전체를 지지하는 빔의 소정 위치에 장착된 복수의 제 1 가스 분사 부재의 제 1 분사 구멍으로부터 처리실내의 소망의 위치에 제 1 처리 가스를 분사하고, 비 처리체상에의 플라즈마의 흐름을 방해하지 않도록 상기 빔의 소정 위치에 장착된 복수의 제 2 가스 분사 부재의 제 2 분사 구멍이며, 제 1 분사 구멍보다 하방에 위치하는 제 2 분사 구멍으로부터 제 1 처리 가스가 분사된 위치보다 하방의 위치에 제 2 처리 가스를 분사하고, 상기 마이크로파에 의해 상기 분사된 제 1 처리 가스와 상기 분사된 제 2 처리 가스를 플라즈마화시켜서 피처리체를 플라즈마 처리하는 플라즈마 처리 방법이 제공된다.
이에 의하면, 제 2 가스 분사 부재는 비 처리체상에의 플라즈마의 흐름을 방해하지 않도록 상기 빔의 소정 위치에 장착된다. 따라서 플라즈마에 포함되는 이 온이나 전자의 대부분은 각 제 2 가스 분사 부재에 충돌하지 않는다. 이로써, 반응 생성물이 제 2 가스 분사 부재에 부착되기 어려워져, 퇴적물이 파티클로서 기판에 부착될 때까지의 시간이 길어진다. 이 결과, 처리 용기내를 클리닝하는 간격을 길게 할 수 있어, 처리량을 향상시킬 수 있다.
또, 금속에 의해 형성된 상기 제 2 가스 분사 부재에 의해 발생하는 열을 외부로 전파시켜, 냉각수 배관에 공급된 냉각수에 의해 냉각해도 좋다. 이에 의하면, 제 2 가스 분사 부재의 가열을 효과적으로 냉각할 수 있다. 이로 인해, 프로세스 처리중이라도, 제 2 가스 분사 부재는 과도하게 가열되지 않는다. 이 결과, 프로세스 처리 및 반송을 반복할 때에 발생하는 제 2 가스 분사 부재의 온도 변동은 적어진다. 그렇게 하면, 퇴적물과 제 2 가스 분사 부재의 열 팽창율의 차이에 의한 영향이 적어져, 퇴적물이 제 2 가스 분사 부재로부터 벗겨지기 어려워진다. 이 결과, 처리 용기내를 클리닝하는 사이클을 더 길게 할 수 있다.
이하에 첨부 도면을 참조하면서, 본 발명의 바람직한 실시형태에 대해 상세하게 설명한다. 또, 이하의 설명 및 첨부 도면에 있어서, 동일한 구성 및 기능을 갖는 구성 요소에 대해서는, 동일 부호를 붙임으로써, 중복 설명을 생략한다.
또, 본 명세서중, 1mTorr은 (10-3×101325/760) Pa, 1sccm은 (10-6/60)㎥/sec라 한다.
우선, 본 발명의 일 실시형태에 따른 마이크로파 플라즈마 처리 장치의 구성에 대해, 본 장치를 세로 방향(y축에 수직인 방향)으로 절단한 단면도인 도 1, 및 처리실의 천장면을 도시한 도 2를 참조하면서 설명한다. 또, 이하의 설명에서는 본 실시형태에 따른 마이크로파 플라즈마 처리 장치(플라즈마 처리 장치에 상당)를 이용한 아몰퍼스 실리콘 CVD(Chemical Vapor Deposition : 화학 증착 박막 성막법) 프로세스를 예로 들어 설명한다.
(마이크로파 플라즈마 처리 장치의 구성)
마이크로파 플라즈마 처리 장치(100)는, 처리 용기(10)와 덮개(20)를 구비하고 있다. 처리 용기(10)는, 그 상부가 개구된 바닥이 있는 정육면체 형상을 갖는다. 처리 용기(10)와 덮개(20)는, 덮개(20)[커버 본체(21)]의 하면 외주부와 처리 용기(10)의 상면 외주부 사이에 배치된 O링(32)에 의해 밀폐되어 있어, 이로써 플라즈마 처리를 실행하는 처리실(U)이 형성된다. 처리 용기(10) 및 덮개(20)는, 예를 들어 알루미늄 등의 금속으로 이루어져, 전기적으로 접지되어 있다.
처리 용기(10)에는, 그 내부에서 유리 기판(이하「기판」이라 함)(G)을 탑재하기 위한 서셉터(11)(탑재대)가 설치되어 있다. 서셉터(11)는, 예를 들어 질화 알루미늄으로 이루어져, 그 내부에는 급전부(11a) 및 히터(11b)가 설치되어 있다.
급전부(11a)에는 정합기(12a)(예를 들어, 콘덴서)를 거쳐서 고주파 전원(12b)이 접속되어 있다. 또, 급전부(11a)에는 코일(13a)을 거쳐서 고압 직류 전원(13b)이 접속되어 있다. 정합기(12a), 고주파 전원(12b), 코일(13a) 및 고압 직류 전원(13b)은 처리 용기(10)의 외부에 설치되어 있다. 또, 고주파 전원(12b) 및 고압 직류 전원(13b)은 접지되어 있다.
급전부(11a)는 고주파 전원(12b)으로부터 출력된 고주파 전력에 의해 처리 용기(10)의 내부에 소정의 바이어스 전압을 인가하도록 되어 있다. 또, 급전부(11a)는 고압 직류 전원(13b)으로부터 출력된 직류 전압에 의해 기판(G)을 정전 흡착하도록 되어 있다.
히터(11b)에는, 처리 용기(10)의 외부에 설치된 교류 전원(14)이 접속되어 있어, 교류 전원(14)으로부터 출력된 교류 전압에 의해 기판(G)을 소정의 온도로 유지하도록 되어 있다.
처리 용기(10)의 바닥면은 통 형상으로 개구되고, 그 바닥면 주연에는 벨로스(bellows)(15)의 일단부가 장착되어 있다. 또, 벨로스(15)의 타단부는 승강 플레이트(16)에 고정 장착되어 있다. 이와 같이 하여, 처리 용기(10) 바닥면의 개구 부분은 벨로스(15) 및 승강 플레이트(16)에 의해 밀폐되어 있다.
서셉터(11)는 승강 플레이트(16)상에 배치된 통형 부재(17)로 지지되어 있어, 승강 플레이트(16) 및 통형 부재(17)와 일체로 되어 승강하고, 이로써 서셉터(11)는 처리 프로세스에 따른 높이로 조정되도록 되어 있다. 또, 서셉터(11)의 주위에는, 처리실(U)의 가스의 흐름을 바람직한 상태로 제어하기 위한 배플판(18)이 설치되어 있다.
처리 용기(10)의 바닥부에는, 처리 용기(10)의 외부에 설치된 진공 펌프(도시하지 않음)가 구비되어 있다. 진공 펌프는 가스 배출관(19)을 거쳐서 처리 용기(10)내로부터 가스를 배출함으로써, 처리실(U)을 소망의 진공도까지 감압하도록 되어 있다.
덮개(20)에는 커버 본체(21), 6개의 사각형 도파관(33), 슬롯 안테나(30), 및 복수 매의 유전체 부품(31a)으로 구성된 유전체(31)가 설치되어 있다.
6개의 사각형 도파관(33)(도파관에 상당)은, 그 단면 형상이 직사각형 형상이며, 커버 본체(21)의 내부에서 평행하게 나란히 설치되어 있다. 그 내부는, 불소 수지[예를 들어 테프론(등록상표)], 알루미나(Al2O3), 석영 등의 유전 부재(34)로 충전되어 있어서, 그 유전 부재(34)에 의해, λg1=λc(ε1)1/2의 식에 따라서 각 사각형 도파관(33)의 관내 파장 λg1이 제어된다. 여기서, λc는 자유 공간의 파장, ε1은 유전 부재(34)의 유전율이다.
각 사각형 도파관(33)은, 상부에서 개구하고, 그 개구에는 가동부(35)가 승강 가능하게 삽입되어 있다. 가동부(35)는, 알루미늄 등의 비 자성체인 도전성 재료로 형성되어 있다.
커버 본체(21)의 외부이며, 각 가동부(35)의 상면에는 승강 기구(36)가 각각 설치되어 있어, 가동부(35)를 승강 이동시키도록 되어 있다. 이러한 구성에 의해, 유전 부재(34)의 상면을 한도로서, 가동부(35)를 승강 이동시킴으로써, 사각형 도파관(33)은 그 높이를 임의로 바꿀 수 있다.
슬롯 안테나(30)는, 커버 본체(21)의 하방에서 커버 본체(21)와 일체적으로 형성되어 있다. 슬롯 안테나(30)는, 알루미늄 등의 비 자성체인 금속으로 형성되어 있다. 슬롯 안테나(30)에는, 각 사각형 도파관(33)의 하면에서, 도 2에 도시한 13개의 슬롯(37)(개구)이 각각 직렬로 나란히 마련되어 있다. 각 슬롯(37)의 내부에는, 불소 수지, 알루미나(Al2O3), 석영 등의 유전 부재가 충전되어 있어, 그 유전 부재에 의해, λg2 = λc(ε2)1/2의 식에 따라서 각 슬롯(37)의 관내 파장 λg2가 제어된다. 여기서, λc는 자유 공간의 파장, ε2는 슬롯(37) 내부의 유전 부재의 유전율이다.
[유전체(31)]
도 2에 도시한 바와 같이, 유전체(31)는 39장의 유전체 부품(31a)으로 구성되어 있다. 각 유전체 부품(31a)은 타일 형상으로 형성되고, 13장의 유전체 부품(31a)이, 1개의 마이크로파 발생기(40)에 Y 분기관(41)을 거쳐서 접속된 2개의 사각형 도파관(33)을 걸치도록, 처리 용기(10)의 천장면에서 3열로 설치되어 있다.
각 유전체 부품(31a)은, 서로 인접하는 2개의 사각형 도파관(33)[즉, Y 분기관(41)을 거쳐서 동일한 마이크로파 발생기(40)에 접속된 2개의 사각형 도파관(33)]의 하면에 마련된 26개(=13개×2열)의 슬롯(37)중, y좌표가 동일해지는 2개의 슬롯을 걸치도록 각각 장착되어 있다.
이상의 구성에 의해, 슬롯 안테나(30)의 하면에는 전부 39장(=13장×3열)의 유전체 부품(31a)이 장착된다. 또, 각 사각형 도파관(33)의 하면에 형성되는 슬롯(37)의 개수는 임의이며, 예를 들어 각 사각형 도파관(33)의 하면에 각각 12개씩의 슬롯(37)을 마련하고, 슬롯 안테나(30)의 하면에 전부 36장(=12장×3열)의 유전체 부품(31a)을 배치시켜도 좋다. 또, 각 유전체 부품(31a)의 상면에 마련하는 슬 롯(37)의 개수도 2개에 한정되지 않으며, 1개 또는 3개 이상이라도 좋다.
각 유전체 부품(31a)에는, 도 1 및 도 3에 도시한 바와 같이 기판(G)과 대향하는 면에서 요철이 형성되어 있다. 이와 같이, 각 유전체 부품(31a)에 오목부 또는 볼록부중 적어도 어느 하나를 마련함으로써, 표면파가 각 유전체 부품(31a)의 표면을 전파할 때, 전계 에너지의 손실이 증가하고, 이로써 표면파의 전파를 억지할 수 있다. 이 결과, 정재파의 발생을 억제하여, 균일한 플라즈마를 생성할 수 있다.
슬롯 안테나(30)의 하면에는, 도 1 및 도 2에 도시한 바와 같이 39장의 유전체 부품(31a)을, 13장×3열로 배열시킨 상태에서 지지하기 위해, 격자형으로 형성된 빔(26)이 설치되어 있다. 빔(26)은 알루미늄 등의 비 자성체로 형성되어 있다.
[가로 분출 가스 노즐(27) 및 빙주 가스 노즐(28)]
도 3에 도시한 바와 같이, 가로 분출 가스 노즐(27) 및 빙주 가스 노즐(28)은 빔(26)의 하면에서 서로 평행하게 빔(26)으로부터 매달리는 상태로 빔(26)에 고정되어 있다.
도 4에 도시한 바와 같이, 가로 분출 가스 노즐(27)은 외형이 버섯형의 나사이며, 금속에 의해 형성되어 있다. 가로 분출 가스 노즐(27)의 내부에는, 가로 분출 가스 노즐(27)의 바닥부로부터 길이 방향[축(S1) 방향]으로 중공의 가스 통로(27a)가 마련되고, 그 가스 통로(27a)는 축(S1)에 수직인 방향(가로 방향)으로 8 방향으로(방사형으로) 분기하면서, 가로 분출 가스 노즐(27)을 관통하고 있다. 그 8개의 개구를 이하 분사 구멍(A)이라 한다. 또, 가로 분출 가스 노즐(27)은 제 1 가스 분사 부재에 상당하고, 분사 구멍(A)는 제 1 분사 구멍에 상당한다.
빙주 가스 노즐(28)은, 외형이 막대 형상(통 형상)인 나사이며, 금속에 의해 형성되어 있다. 빙주 가스 노즐(28)의 내부에는, 빙주 가스 노즐(28)의 바닥부로부터 길이 방향[축(S2) 방향]으로 중공의 가스 통로(28a)가 관통하고 있다. 빙주 가스 노즐(28)의 선단부의 개구를 이하 분사 구멍(B)이라 한다. 또, 빙주 가스 노즐(28)은 제 2 가스 분사 부재에 상당하고, 분사 구멍(B)는 제 2 분사 구멍에 상당한다.
빙주 가스 노즐(28)의 길이 방향[축(S2) 방향]의 길이는, 가로 분출 가스 노즐(27)보다 길고, 그 하한치는 실란 가스 및 수소 가스가 과잉으로 해리되지 않는 위치에 실란 가스 및 수소 가스를 내뿜을 수 있는 길이이며, 상한치는 기판(G)상에의 플라즈마의 확산을 방해하지 않는 위치, 즉 기판(G)상으로 플라즈마가 확산될 때의 장벽이 되지 않는 위치이며, 30㎜ 이하인 것이 바람직하다. 또, 빙주 가스 노즐(28)은 판 형상이라도 좋다.
각 가스 노즐의 고정의 방법으로서는, 예를 들어 빔(26)의 하면에 내면이 나사 절삭 가공된 삽입 구멍을 다수 마련하고, 그 삽입 구멍에 가로 분출 가스 노즐(27) 또는 빙주 가스 노즐(28)을 삽입하고, 서로의 나사 절삭 부분을 나사 결합함으로써 고정하는 방법을 들 수 있다. 기타, 접착제에 의해 빔(26)에 고정 장착해도 좋고, 부착 기구에 의해 빔(26)에 장착해도 좋다. 이와 같이 각 가스 노즐을 빔(26)에 고정함으로써, 분사 구멍(A)는 유전체 부품(31a) 근방에서 기판(G)에 수평한 방향으로 개구하고, 분사 구멍(B)는 기판(G)에 수직인 방향으로 개구한다. 이상과 같이 하여, 도 2에 도시한 바와 같이 일렬로 14개의 가로 분출 가스 노즐(27) 및 일렬로 14개의 빙주 가스 노즐(28)이 빔(26)의 하면에서 교대로 2열씩 균등하게 배치된다.
다시 도 1로 복귀하여, 처리 가스 공급원(43)은 복수의 밸브[밸브(43a1, 43a3, 43b1, 43b3, 43b5, 43b7)], 복수의 매스플로우 컨트롤러[매스플로우 콘트롤러(43a2, 43b2, 43b6)], 아르곤 가스 공급원(43a4), 실란 가스 공급원(43b4) 및 수소 가스 공급원(43b8)으로 구성되어 있다.
처리 가스 공급원(43)은, 각 밸브[밸브(43a1, 43a3, 43b1, 43b3, 43b5, 43b7)]의 개폐 및 각 매스플로우 컨트롤러[매스플로우 컨트롤러(43a2, 43b2, 43b6)]의 개방도를 각각 제어함으로써, 소망의 농도의 아르곤 가스, 실란 가스 및 수소 가스를 처리 용기(10)내에 각각 공급한다.
제 1 가스 도입관(29a)은, 빔(26)의 내부를 관통하고, 가로 분출 가스 노즐(27)의 가스 통로(27a)와 연결된다. 이로써, 아르곤 가스 공급원(43a4)으로부터 분사 구멍(A)까지 1개의 관으로 연통한 상태가 된다. 또한, 제 2 가스 도입관(29b)도 빔(26)의 내부를 관통하고, 빙주 가스 노즐(28)의 가스 통로(28a)와 연결된다. 이로써, 실란 가스 공급원(43b4) 및 수소 가스 공급원(43b8)으로부터 분사 구멍(B)까지 1개의 관으로 연통한 상태가 된다.
도 1의 냉각수 배관(44)에는, 마이크로파 플라즈마 처리 장치(100)의 외부에 배치된 냉각수 공급원(45)이 접속되어 있어, 냉각수 공급원(45)으로부터 공급된 냉각수가 냉각수 배관(44)내를 순환해서 냉각수 공급원(45)으로 복귀함으로써, 커버 본체(21)는 소망의 온도로 유지되도록 되어 있다.
이상에 설명한 구성에 의해, 도 2에 도시한 3개의 마이크로파 발생기(40)로부터 출력된, 예를 들어 2.45GHz×3의 마이크로파에 의해 각 처리 가스가 플라즈마화되고, 이로써 기판(G)상에 아몰퍼스 실리콘막이 형성된다.
(아몰퍼스 실리콘막 형성)
다음에, 본 실시형태에 따른 마이크로파 플라즈마 처리 장치(100)를 이용한 아몰퍼스 실리콘막의 형성에 대해, 도 1 및 도 3을 참조하면서 상세하게 설명한다.
우선, 제 1 가스 공급부 및 제 2 가스 공급부의 동작에 대해서 설명한다. 도 1에 도시하고, 또한 도 3에 일부 확대해서 도시한 바와 같이, 제 1 가스 공급부는 아르곤 가스 공급원(43a4)으로부터 제 1 유로(42a) 및 제 1 가스 도입관(29a)을 거쳐서, 빔(26)의 소정 위치에 고정된 복수의 가로 분출 가스 노즐(27)(도 2 참조)에 아르곤 가스(제 1 처리 가스에 상당)를 공급한다. 이로써, 아르곤 가스는 유전체 부품(31)의 근방에서 기판(G)에 대략 수평인 방향으로 개구된 분사 구멍(A)로부터 각 유전체 부품(31a)의 하면 근방에 가로 방향으로 분사된다.
예를 들어, 도 2에 도시한 바와 같이 마이크로파는, 사각형 도파관(33a1) 및 사각형 도파관(33a2)을 전파하고, 슬롯(37a1) 및 슬롯(37a2)으로부터 누설되어, 유전체 부품(31a)을 투과함으로써, 처리실(U)에 입사된다. 아르곤 가스는, 유전체 부품(31a)의 하면 근방에만 분사되어 있으므로, 입사 직후의 강한 마이크로파의 전계 에너지에 의해 효율적으로 해리되어, 각 유전체 부품(31a)의 하면 근방에서 플라즈마화된다.
(실란 가스 및 수소 가스의 공급)
한편, 제 2 가스 공급부는 아르곤 가스가 플라즈마 착화한 후, 실란 가스 공급원(43b4) 및 수소 가스 공급원(43b8)으로부터 제 2 유로(42b) 및 제 2 가스 도입관(29b)을 거쳐서, 빔(26)의 소정 위치에 고정된 복수의 빙주 가스 노즐(28)(도 2 참조)에 실란 가스 및 수소 가스의 혼합 가스(제 2 처리 가스에 상당)를 공급한다. 실란 가스 및 수소 가스의 혼합 가스는 기판(G)에 대략 수직인 방향(하향)으로 개구된 분사 구멍(B)로부터 하부 방향으로 분사된다. 이로써, 혼합 가스는 아르곤 가스가 내뿜어지는 위치보다 하방이며, 혼합 가스가 지나치게 해리되지 않는 위치로 분사된다.
이와 같이 하여 분사된 혼합 가스는, 아르곤 가스의 플라즈마화에 어느 정도의 에너지를 소비해서 약해진 전계 에너지에 의해, 양질의 막을 형성하기 위한 전구체인 SiH3 라디칼까지 해리되고, SiH2 라디칼까지는 해리되지 않는다.
(플라즈마의 확산)
이때, 각 빙주 가스 노즐(28)의 길이 방향은, 기판(G)상에의 플라즈마의 흐름에 평행하며, 그 형상도 막대 형상 또는 판 형상의 간단한 구조이므로, 기판(G)상에의 플라즈마의 흐름을 방해하기 어렵다. 또, 빙주 가스 노즐(28)의 장착 위치도, 처리 용기(10)의 천장부에 설치된 빔(26)으로부터 빙주 형상으로 매달린 위치이므로, 생성된 기판(G)상에의 플라즈마의 흐름을 방해하기 어렵다.
이로 인해, 플라즈마에 포함되는 이온이나 전자의 대부분은 빙주 가스 노 즐(28)에 충돌하는 일 없이 기판(G) 위까지 달하기 때문에, 충돌에 의한 에너지 손실도 대부분 발생하지 않는다. 이 결과, 플라즈마의 감쇠가 적어져, 기판(G)상의 반응 속도를 일정 이상으로 유지할 수 있는 동시에, 양질의 막을 형성하기 위한 전구체까지 분해한 SiH3 라디칼에 의해, 기판(G) 위에 매우 양질의 아몰퍼스 실리콘막을 형성할 수 있다.
또한, 빙주 가스 노즐(28)에 충돌하는 이온이나 전자가 감소하면 감소할수록, 빙주 가스 노즐(28)에 부착되는 반응 생성물의 양은 적어진다. 이로써, 퇴적물이 일정 두께를 초과하여, 빙주 가스 노즐(28)과 퇴적물의 열팽창 계수의 차이에 의해 빙주 가스 노즐(28)로부터 벗겨져서 파티클로서 기판(G)에 부착될 때까지의 시간을 길게 할 수 있다. 이 결과, 처리실(U)내를 클리닝할 때까지의 사이클(간격)을 길게 할 수 있어, 처리량을 향상시켜서 생산성을 높일 수 있다.
또, 빙주 가스 노즐(28)에 충돌하는 이온이나 전자가 적으므로, 빙주 가스 노즐(28)은 과도하게 가열되지 않는다. 또한, 빙주 가스 노즐(28)은 금속에 의해 형성되어 있으므로 열전도가 좋다. 따라서 이온 등의 충돌에 의해 빙주 가스 노즐(28)이 가열되어도, 빙주 가스 노즐(28)에 가해진 열의 대부분은 처리실(U) 외부의 냉각수 배관(44)의 근방까지 전달되어 냉각수 배관(44)을 순환하는 냉각수에 의해 냉각된다. 이로 인해, 빙주 가스 노즐(28)에 열이 집중되지 않는다.
이와 같이, 프로세스 처리중에 빙주 가스 노즐(28)에 가해지는 열이 적고, 또한 가해진 열은 차례로 외부로 방출되므로, 빙주 가스 노즐(28)의 온도는 과도하 게 상승하지 않는다. 이 결과, 프로세스 처리 및 반송을 반복할 때에 발생하는 빙주 가스 노즐(28)의 온도 변동은 작아진다. 그렇게 하면, 퇴적물과 빙주 가스 노즐(28)의 열 팽창율의 차이에 의한 영향이 적어져, 퇴적물이 빙주 가스 노즐(28)로부터 벗겨지기 어려워진다. 이 결과, 처리 용기(10)내를 클리닝하는 사이클을 더욱 길게 할 수 있어, 처리량을 향상시켜서 생산성을 훨씬 높일 수 있다.
(실험 결과)
발명자는, 상술한 이유에 의해 생산성을 높일 수 있는 본 실시형태에 따른 마이크로파 플라즈마 처리 장치(100)를 설계하고, 실제로 이것을 이용하여 아몰퍼스 실리콘 CVD 프로세스를 실행했다.
이때의 프로세스 조건은 처리실(U)의 압력이 400mTorr, 마이크로파의 파워가 1.8kW 내지 2.6kW[3개의 마이크로파 발생기(40)를 사용]이었다.
또, 가스 종류는 아르곤 가스, 실란 가스 및 수소 가스를 이용하고, 그 가스량은 아르곤 가스가 1520sccm, 실란 가스가 140sccm, 수소 가스가 140sccm이었다. 또, 서셉터(11)(스테이지)의 온도는 370℃, 기판(G)의 온도는 300℃, 냉각수 공급원(45)의 온도는 80℃, 도파관 폭은 78㎜였다. 또, 유리 기판의 사이즈는 730㎜×920㎜ 이상이면 좋으며, 예를 들어 G4.5 기판 사이즈가 730㎜×920㎜(챔버내의 직경 : 1000㎜×1190㎜), G5 기판 사이즈 1100㎜×1300㎜(챔버내의 직경 : 1470㎜×1590㎜)가 적용 가능하다.
이 실험에서는, 발명자는 도 5의 우측 하부에 실시예로서 도시한 바와 같이, 전술한 가스 공급 방법과 마찬가지로, 상단[가로 분출 가스 노즐(27)]으로부터 분 출시키고, 하단[빙주 가스 노즐(28)]으로부터 실란 가스 및 수소 가스를 분사시켰다. 또, 발명자는 도 5의 우측 상부에 비교예로서 도시한 바와 같이, 상단[가로 분출 가스 노즐(27)]만을 갖는 마이크로 플라즈마 처리 장치를 이용하여, 아르곤 가스와 실란 가스 및 수소 가스를 교대로 분사시키는 실험도 행하였다.
그 결과, 도 5의 좌측의 표에서 도시한 바와 같이, 비교예의 마이크로 플라즈마 처리 장치에서는 마이크로파의 파워가 1.8kW 내지 2.3kW일 때 실란 가스가 SiH2 라디칼까지 과잉 해리되는 비율이 10% 다소 위인 것에 대해, 마이크로파의 파워를 2.3kW에서 2.6kW까지 상승시키는 사이에, 실란 가스가 SiH2 라디칼까지 과잉 해리되는 비율이 10%에서 24%로 급격하게 상승했다.
이에 반해, 본 실시형태에 따른 마이크로파 플라즈마 처리 장치(100)에서는 마이크로파의 파워를 1.8kW에서 2.6kW까지 상승시켜도, 실란 가스가 SiH2 라디칼까지 과잉 해리되는 비율이 10% 다소 밑으로 변동하지 않았다.
따라서, 발명자는 본 실시형태에 따른 마이크로파 플라즈마 처리 장치(100)에 의하면, 마이크로파의 파워를 크게 해도, 플라즈마는 양질의 막을 형성하기 위한 전구체인 SiH3 라디칼까지 해리하고 있지만, SiH2 라디칼까지는 해리하지 않는 양호한 상태를 유지할 수 있는 것을 확인했다.
특히, 본 실시형태에 따른 마이크로파 플라즈마 처리 장치(100)에서는, 이온이나 전자가 빙주 가스 노즐(28)에 충돌하기 어려우므로, 충돌에 의한 에너지 손실이 발생하기 어렵다. 이 결과, 플라즈마의 감쇄가 적어져, 기판(G)상의 반응 속도 를 일정 이상으로 유지하면서, 기판(7)상의 양질의 아몰퍼스 실리콘막을 형성할 수 있다. 따라서, 본 실시형태에 따른 마이크로파 플라즈마 처리 장치(100)에 의하면, 마이크로파의 파워를 크게 함으로써 성막 속도를 높임으로써 높은 생산성을 유지한 상태로, 양질의 막을 생산할 수 있었다.
또, 본 실시형태에 따른 마이크로파 플라즈마 처리 장치(100)에 의하면, 빙주 가스 노즐(28)에 퇴적하는 반응 생성물의 양이 적어져, 빙주 가스 노즐(28)의 과도한 가열을 억제할 수 있었다. 따라서 퇴적물이 일정 두께를 초과하여, 빙주 가스 노즐(28)로부터 벗겨져서 파티클로서 기판에 부착될 때까지의 시간을 길게 할 수 있었다. 이로 인해, 처리실내를 클리닝할 때까지의 사이클을 길게 할 수 있어, 이에 의해서도 높은 생산성을 달성할 수 있었다.
또, 본 실시형태에 따른 마이크로파 플라즈마 처리 장치(100)에 설치된 빙주 가스 노즐(28)의 변형예로서는, 예를 들어 도 6 내지 도 8에 도시한 형상의 가스 노즐을 들 수 있다.
(제 1 변형예)
도 6에 도시한 빙주 가스 노즐(28)은 포러스(28P)(다공질체)와 벌크(28B)(치밀질 부재)로 형성되어 있다. 구체적으로는, 빙주 가스 노즐(28)중, 제 2 가스 도입관(29b)과 연결한 배관 부분은, 벌크(28B)로 형성되어 있어서, 그 내부에는 포러스(28P)가 충전되어 있다. 또, 빙주 가스 노즐(28)의 하부에서는, 벌크(28B)로부터 포러스(28P)가 충돌하고, 포러스(28P)의 일부가 처리실(U)에 노출되어 있다. 또, 빔(26)의 하면으로, 빙주 가스 노즐(28)의 상부 외주부에는 O링(52)이 장착되 어, 이로써 처리실(U)의 기밀성이 유지되어 있다.
제 2 가스 공급부는 실란 가스 및 수소 가스를 빙주 가스 노즐(28)에 형성된 포러스(28P)에 통과시킴으로써, 포러스(28P)에 마련된 복수의 개구[포러스(28P) 내부에서 연통시킨 기공]를 분사 구멍(A)으로서, 다수의 개구로부터 처리실(U)내에 내뿜는다.
여기서, 포러스(28P)의 평균 기공 직경은 10㎛ 내지 150㎛, 기공율은 20% 내지 40% 정도이다. 따라서 실란 가스 및 수소 가스는 포러스(28P) 내부에서 연통된 기공을 통과할 때에 감속하면서 포러스(28P)에 균일하게 확산된다. 이로써, 실란 가스 및 수소 가스는, 0.3m/s 정도까지 감속된 상태에서 포러스(28P)의 표면 전체로부터 처리실(U)내에 균일하게 내뿜어진다. 이 결과, 공급되는 각 처리 가스의 지나친 교반을 억제하여, 양질의 막을 형성하기 위한 전구체인 SiH3 라디칼까지 해리되고, SiH2 라디칼까지는 해리되지 않도록 플라즈마의 생성을 제어할 수 있다.
(제 2 변형예)
또, 도 7에 도시한 빙주 가스 노즐(28)에서는, 그 선단부가 구형으로 형성되어 있어서, 분사 구멍(B)가 구형인 선단부(28S)에 방사형으로 마련되어 있다. 제 2 가스 공급부는 실란 가스 및 수소 가스를 각 분사 구멍(B)로부터 처리실(U)내에 방사형으로 내뿜는다. 이로써, 실란 가스 및 수소 가스를 광범위하게 균일하게 공급할 수 있다.
(제 3 변형예)
또, 도 8에 도시한 빙주 가스 노즐(28)에서는, 그 선단부가 구형으로 형성되어 있어서, 또한 구형으로 형성된 선단부는 포러스(28P)에 의해 형성되어 있다. 이에 의하면, 실란 가스 및 수소 가스는 포러스(28P)를 통과해서 처리실(U)내에 공급된다. 이 결과, 실란 가스 및 수소 가스를 감속시키면서 광범위하게 균일하게 공급할 수 있다.
또한, 도시하지 않았지만, 가로 분출 가스 노즐(27)을 빔(26)의 하면에 부착하는 일 없이, 빔(26)을 관통한 제 1 가스 도입관(29a)의 단부 개구로부터, 제 1 처리 가스를 처리실(U)내에 하부 방향[기판(G)에 수직인 방향]으로 분사하도록 해도 좋다. 이 경우에는, 제 1 가스 도입관(29a)의 단부 개구가 제 1 분사 구멍에 상당하고, 제 1 가스 도입관(29a)이 제 1 가스 분사 부재에 상당한다.
(가스 공급 위치)
또, 일반적으로는 상단의 분사 구멍(A)로부터 분사되는 처리 가스(제 1 처리 가스)는, 하단의[즉, 분사 구멍(A)보다 하방에 위치하는] 분사 구멍(B)으로부터 분사되는 처리 가스보다도 결합 에너지가 큰 가스(제 2 처리 가스)인 것이 바람직하다.
이에 의하면, 전술한 바와 같이, 우선 결합 에너지가 큰 제 1 처리 가스가, 비교적 강한 마이크로파의 전계 에너지에 의해 플라즈마화된다. 제 1 처리 가스가 플라즈마 착화한 후, 제 1 처리 가스보다 결합 에너지가 작은 제 2 처리 가스가, 분사 구멍(A)의 위치 및 빔(26)이 돌출한 위치보다 하방에 마련된 분사 구멍(B)으로부터 분사된다. 이로써, 제 2 처리 가스는 제 1 처리 가스를 플라즈마화하기 위 해 파워를 소비해서 약해진 마이크로파의 전계 에너지에 의해, 양질의 막을 형성하기 위한 전구체까지 해리한다. 이 결과, 아몰퍼스 실리콘막을 형성할 수 있다.
이 원칙에 근거하면, Ar의 이온화 에너지는 15.759(eV)이며, Si와 H와의 분자 결합 에너지는 3.2(eV)이며, H와 H와의 분자 결합 에너지는 4.48(eV)이므로, 아몰퍼스 실리콘 CVD 프로세스에서는, 실란이나 수소보다 분자 결합 에너지가 큰 아르곤이, 제 1 처리 가스로서 처리 용기의 상방에 공급되고, 실란 가스나 수소 가스는 제 2 처리 가스로서 하방에 공급되는 쪽이 좋다.
그러나 예를 들어, 실란 가스와 산소 가스를 혼합하는 경우와 같이, 혼합 가스가 과잉 반응할 경우에는, 이들의 가스를 혼합해서 공급할 수 없다. 이러한 특수한 경우에는, 제 1 처리 가스 및 제 2 처리 가스의 결합 에너지의 대소 관계에 상관없이, 과잉 반응이 일어나지 않도록 각 처리 가스의 분사 위치가 결정된다.
상기 실시형태에 있어서, 각부의 동작은 서로 관련되어 있으며, 상호 관련을 고려하면서, 일련의 동작으로서 치환할 수 있다. 그리고 이와 같이 치환함으로써, 플라즈마 처리 장치의 발명의 실시형태를 플라즈마 처리 방법의 실시형태로 할 수 있다.
이상, 첨부 도면을 참조하면서 본 발명의 적합한 실시형태에 대해 설명했지만, 본 발명은 이러한 예에 한정되지 않는 것은 물론이다. 당업자이면, 특허청구의 범위에 기재된 범위내에 있어서, 각종 변경예 또는 수정예에 도달할 수 있는 것은 명백하며, 그들에 대해서도 당연히 본 발명의 기술적 범위에 속하는 것이라 이해된다.
예를 들어, 본 발명에 따른 플라즈마 처리 장치에 의해 실행되는 플라즈마 처리는, CVD 처리에 한정되지 않으며, 애싱 처리, 에칭 처리 등의 모든 플라즈마 처리가 가능하다.
본 발명은, 비 처리체상에의 플라즈마의 흐름을 방해하지 않는 위치에 가스 분사 부재를 설치한 플라즈마 처리 장치에 적용 가능하다.
이상에 설명한 바와 같이, 본 발명에 의하면, 비 처리체상에의 플라즈마의 흐름을 방해하지 않는 위치에 가스 분사 부재를 마련한 플라즈마 처리 장치 및 플라즈마 처리 방법을 제공할 수 있다.

Claims (18)

  1. 플라즈마 처리 장치에 있어서,
    도파관을 전파해서 슬롯을 통과한 마이크로파를 투과시키는 유전체와,
    상기 유전체를 지지하는 빔의 소정 위치에 마련된 제 1 분사 구멍을 갖는 복수의 제 1 가스 분사 부재와,
    비 처리체상에의 플라즈마의 흐름을 방해하지 않도록 상기 빔의 소정 위치에 장착되고, 제 1 분사 구멍보다 하방에 위치하는 제 2 분사 구멍을 갖는 복수의 제 2 가스 분사 부재와,
    상기 각 제 1 가스 분사 부재의 제 1 분사 구멍으로부터 처리실내의 소망의 위치에 제 1 처리 가스를 분사하는 제 1 가스 공급부와,
    상기 각 제 2 가스 분사 부재의 제 2 분사 구멍으로부터 제 1 처리 가스가 분사된 위치보다 하방의 위치에 제 2 처리 가스를 분사하는 제 2 가스 공급부와,
    상기 마이크로파에 의해 상기 제 1 처리 가스 및 상기 제 2 처리 가스를 플라즈마화시켜 피처리체를 플라즈마 처리하는 처리실을 구비하는
    플라즈마 처리 장치.
  2. 제 1 항에 있어서,
    상기 각 제 1 가스 분사 부재는 상기 빔의 외부에 장착되거나, 또는 상기 빔의 내부에 마련되는
    플라즈마 처리 장치.
  3. 제 1 항에 있어서,
    상기 각 제 2 가스 분사 부재는 금속에 의해 형성되는
    플라즈마 처리 장치.
  4. 제 1 항에 있어서,
    상기 각 제 2 가스 분사 부재는 막대 형상 또는 판 형상으로 형성되는
    플라즈마 처리 장치.
  5. 제 1 항에 있어서,
    상기 각 제 2 가스 분사 부재는 서로 평행하게 상기 빔으로부터 빙주 형상으로 매달리도록 상기 빔에 고정되는
    플라즈마 처리 장치.
  6. 제 1 항에 있어서,
    상기 각 제 2 가스 분사 부재는 비 처리체상으로 플라즈마가 확산될 때의 장벽이 되지 않는 위치에 마련되는
    플라즈마 처리 장치.
  7. 제 1 항에 있어서,
    상기 각 제 2 가스 분사 부재는 상기 빔으로부터 돌출한 길이 방향의 길이가 30㎜ 이하인
    플라즈마 처리 장치.
  8. 제 1 항에 있어서,
    상기 각 제 2 분사 구멍은 제 2 처리 가스가 과잉으로 해리되지 않는 위치에 분사되도록 위치 결정되는
    플라즈마 처리 장치.
  9. 제 1 항에 있어서,
    상기 각 제 1 분사 구멍은 상기 유전체 근방에서 피처리체에 수평한 방향으로 개구하고 있는
    플라즈마 처리 장치.
  10. 제 1 항에 있어서,
    상기 각 제 1 분사 구멍은 상기 유전체 근방에서 피처리체에 수직인 방향으로 개구하고 있는
    플라즈마 처리 장치.
  11. 제 1 항에 있어서,
    상기 각 제 2 분사 구멍은 피처리체에 수직인 방향으로 개구하고 있는
    플라즈마 처리 장치.
  12. 제 1 항에 있어서,
    상기 각 제 2 가스 분사 부재의 일부는 다공질체에 의해 형성되고,
    상기 가스 공급부는, 상기 처리 가스를 상기 각 제 2 가스 분사 부재에 형성된 다공질체에 통과시킴으로써, 그 다공질체에 마련된 복수의 개구를 상기 제 2 분사 구멍으로 하여, 상기 복수의 개구로부터 상기 처리 가스를 상기 처리실내에 내뿜는
    플라즈마 처리 장치.
  13. 제 12 항에 있어서,
    상기 다공질체는 상기 각 제 2 가스 분사 부재의 선단부에서 구형으로 형성되는
    플라즈마 처리 장치.
  14. 제 1 항에 있어서,
    상기 각 제 2 가스 분사 부재는 그 선단부가 구형으로 형성되고,
    상기 각 제 2 분사 구멍은 상기 선단부의 구형 부분에 방사형으로 마련되고,
    상기 가스 공급부는, 상기 처리 가스를 상기 각 제 2 분사 구멍으로부터 상기 처리실내에 방사형으로 내뿜는
    플라즈마 처리 장치.
  15. 제 1 항에 있어서,
    상기 제 1 처리 가스 또는 상기 제 2 처리 가스중 적어도 어느 한쪽은, 복수의 처리 가스를 혼합한 혼합 가스이며, 상기 혼합 가스가 과잉 반응하는 경우를 제외하고,
    상기 제 1 처리 가스는 상기 제 2 처리 가스보다도 결합 에너지가 큰 가스인
    플라즈마 처리 장치.
  16. 제 1 항에 있어서,
    상기 유전체는 복수의 유전체 부품으로 구성되고,
    상기 각 유전체 부품에는 피처리체와 대향하는 면에서 오목부 또는 볼록부중 적어도 하나가 형성되는
    플라즈마 처리 장치.
  17. 플라즈마 처리 방법에 있어서,
    도파관을 전파해서 슬롯을 통과한 마이크로파를 유전체에 투과시키고,
    상기 유전체를 지지하는 빔의 소정 위치에 장착된 복수의 제 1 가스 분사 부 재의 제 1 분사 구멍으로부터 처리실내의 소망의 위치에 제 1 처리 가스를 분사하고,
    비 처리체상에의 플라즈마의 흐름을 방해하지 않도록 상기 빔의 소정 위치에 장착된 복수의 제 2 가스 분사 부재의 제 2 분사 구멍으로, 제 1 분사 구멍보다 하방에 위치하는 제 2 분사 구멍으로부터 제 1 처리 가스가 분사된 위치보다 하방의 위치에 제 2 처리 가스를 분사하고,
    상기 마이크로파에 의해 상기 분사된 제 1 처리 가스와 상기 분사된 제 2 처리 가스를 플라즈마화시켜서 피처리체를 플라즈마 처리하는
    플라즈마 처리 방법.
  18. 제 17 항에 있어서,
    금속에 의해 형성된 상기 제 2 가스 분사 부재에 가해지는 열을 냉각수 배관에 공급된 냉각수에 의해 냉각하는
    플라즈마 처리 방법.
KR1020060130066A 2006-01-18 2006-12-19 플라즈마 처리 장치 및 플라즈마 처리 방법 KR100968990B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2006-00010131 2006-01-18
JP2006010131A JP5068458B2 (ja) 2006-01-18 2006-01-18 プラズマ処理装置およびプラズマ処理方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020090018999A Division KR20090033852A (ko) 2006-01-18 2009-03-05 플라즈마 처리 장치 및 플라즈마 처리 방법

Publications (2)

Publication Number Publication Date
KR20070076415A true KR20070076415A (ko) 2007-07-24
KR100968990B1 KR100968990B1 (ko) 2010-07-09

Family

ID=38262196

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020060130066A KR100968990B1 (ko) 2006-01-18 2006-12-19 플라즈마 처리 장치 및 플라즈마 처리 방법
KR1020090018999A KR20090033852A (ko) 2006-01-18 2009-03-05 플라즈마 처리 장치 및 플라즈마 처리 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020090018999A KR20090033852A (ko) 2006-01-18 2009-03-05 플라즈마 처리 장치 및 플라즈마 처리 방법

Country Status (5)

Country Link
US (1) US7655111B2 (ko)
JP (1) JP5068458B2 (ko)
KR (2) KR100968990B1 (ko)
CN (1) CN100530530C (ko)
TW (1) TW200733201A (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101048942B1 (ko) * 2008-02-27 2011-07-12 고쿠리츠다이가쿠호진 도호쿠다이가쿠 마이크로파 플라즈마 처리 장치 및 마이크로파 플라즈마 처리 장치의 사용 방법
KR20160046366A (ko) 2014-10-20 2016-04-29 정인환 열기 및 스팀 혼합 가열식 구이용 불판

Families Citing this family (277)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008047869A (ja) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
JP5058084B2 (ja) * 2007-07-27 2012-10-24 株式会社半導体エネルギー研究所 光電変換装置の作製方法及びマイクロ波プラズマcvd装置
JP5216446B2 (ja) * 2007-07-27 2013-06-19 株式会社半導体エネルギー研究所 プラズマcvd装置及び表示装置の作製方法
JP5572307B2 (ja) 2007-12-28 2014-08-13 株式会社半導体エネルギー研究所 光電変換装置の製造方法
US8168268B2 (en) * 2008-12-12 2012-05-01 Ovishinsky Innovation, LLC Thin film deposition via a spatially-coordinated and time-synchronized process
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102157326A (zh) * 2009-12-31 2011-08-17 丽佳达普株式会社 基板处理装置的壁面能量损失减少装置
CN102157327B (zh) * 2009-12-31 2014-12-03 丽佳达普株式会社 基板处理装置的气体供给结构
KR101148082B1 (ko) * 2010-11-15 2012-05-24 한국표준과학연구원 플라즈마 발생 장치 및 플라즈마 발생 방법
GB2486778B (en) 2010-12-23 2013-10-23 Element Six Ltd Controlling doping of synthetic diamond material
GB201021855D0 (en) 2010-12-23 2011-02-02 Element Six Ltd Microwave power delivery system for plasma reactors
GB201021853D0 (en) 2010-12-23 2011-02-02 Element Six Ltd A microwave plasma reactor for manufacturing synthetic diamond material
GB201021913D0 (en) 2010-12-23 2011-02-02 Element Six Ltd Microwave plasma reactors and substrates for synthetic diamond manufacture
GB201021865D0 (en) 2010-12-23 2011-02-02 Element Six Ltd A microwave plasma reactor for manufacturing synthetic diamond material
GB201021860D0 (en) * 2010-12-23 2011-02-02 Element Six Ltd A microwave plasma reactor for diamond synthesis
GB201021870D0 (en) 2010-12-23 2011-02-02 Element Six Ltd A microwave plasma reactor for manufacturing synthetic diamond material
WO2012092020A2 (en) * 2010-12-30 2012-07-05 Applied Materials, Inc. Thin film deposition using microwave plasma
JP5955062B2 (ja) * 2011-04-25 2016-07-20 東京エレクトロン株式会社 プラズマ処理装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013051248A1 (ja) * 2011-10-07 2013-04-11 東京エレクトロン株式会社 プラズマ処理装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6096547B2 (ja) * 2013-03-21 2017-03-15 東京エレクトロン株式会社 プラズマ処理装置及びシャワープレート
JP6338462B2 (ja) * 2013-09-11 2018-06-06 東京エレクトロン株式会社 プラズマ処理装置
CN103693438B (zh) * 2013-12-18 2016-06-01 京东方科技集团股份有限公司 用于基板的支撑装置及其运送基板的方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10249511B2 (en) 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR20160021958A (ko) 2014-08-18 2016-02-29 삼성전자주식회사 플라즈마 처리 장치 및 기판 처리 방법
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
WO2016070941A1 (en) * 2014-11-07 2016-05-12 Applied Materials, Inc. Material source arrangment and nozzle for vacuum deposition
CN107002219B (zh) 2014-12-10 2021-09-03 应用材料公司 掩模布置、在基板上沉积层的设备和对准掩模布置的方法
JP6404111B2 (ja) * 2014-12-18 2018-10-10 東京エレクトロン株式会社 プラズマ処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160362813A1 (en) * 2015-06-12 2016-12-15 Applied Materials, Inc. Injector for semiconductor epitaxy growth
JP6523071B2 (ja) * 2015-06-19 2019-05-29 東京エレクトロン株式会社 プラズマを用いた成膜方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
KR102525361B1 (ko) * 2016-04-27 2023-04-25 삼성디스플레이 주식회사 감압 건조 장치 및 이를 이용한 막 제조 방법
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6960813B2 (ja) * 2017-09-20 2021-11-05 東京エレクトロン株式会社 グラフェン構造体の形成方法および形成装置
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US20200347499A1 (en) * 2019-05-01 2020-11-05 Applied Materials, Inc. Large-area high-density plasma processing chamber for flat panel displays
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
JP2021064508A (ja) * 2019-10-11 2021-04-22 東京エレクトロン株式会社 プラズマ処理装置
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116288269A (zh) * 2023-02-20 2023-06-23 拓荆科技(上海)有限公司 一种薄膜沉积设备和一种薄膜沉积方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3053105B2 (ja) * 1989-06-30 2000-06-19 株式会社日立製作所 プラズマcvd装置及びその方法
TW296534B (ko) * 1993-12-17 1997-01-21 Tokyo Electron Co Ltd
JP3422583B2 (ja) 1994-03-23 2003-06-30 東京エレクトロン株式会社 処理装置
JPH08250477A (ja) * 1995-03-14 1996-09-27 Sumitomo Metal Ind Ltd プラズマ装置
US5645644A (en) * 1995-10-20 1997-07-08 Sumitomo Metal Industries, Ltd. Plasma processing apparatus
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5996528A (en) * 1996-07-02 1999-12-07 Novellus Systems, Inc. Method and apparatus for flowing gases into a manifold at high potential
JP3423254B2 (ja) * 1998-06-02 2003-07-07 東京エレクトロン株式会社 真空処理装置
WO2000074127A1 (fr) * 1999-05-26 2000-12-07 Tokyo Electron Limited Dispositif de traitement au plasma
JP2001053065A (ja) * 1999-08-13 2001-02-23 Nec Kyushu Ltd プラズマ処理装置
JP3599619B2 (ja) * 1999-11-09 2004-12-08 シャープ株式会社 プラズマプロセス装置
TW200415726A (en) * 2002-12-05 2004-08-16 Adv Lcd Tech Dev Ct Co Ltd Plasma processing apparatus and plasma processing method
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
JP4220877B2 (ja) * 2003-10-14 2009-02-04 三菱重工業株式会社 基板冷却方法、基板冷却装置、及び製膜装置
JP4273932B2 (ja) * 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
JP4659377B2 (ja) * 2004-03-19 2011-03-30 株式会社 液晶先端技術開発センター 絶縁膜の形成方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101048942B1 (ko) * 2008-02-27 2011-07-12 고쿠리츠다이가쿠호진 도호쿠다이가쿠 마이크로파 플라즈마 처리 장치 및 마이크로파 플라즈마 처리 장치의 사용 방법
KR20160046366A (ko) 2014-10-20 2016-04-29 정인환 열기 및 스팀 혼합 가열식 구이용 불판

Also Published As

Publication number Publication date
US7655111B2 (en) 2010-02-02
US20070163996A1 (en) 2007-07-19
JP2007194355A (ja) 2007-08-02
JP5068458B2 (ja) 2012-11-07
CN100530530C (zh) 2009-08-19
CN101005007A (zh) 2007-07-25
KR100968990B1 (ko) 2010-07-09
KR20090033852A (ko) 2009-04-06
TW200733201A (en) 2007-09-01

Similar Documents

Publication Publication Date Title
KR100968990B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
KR100854808B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 장치의 제어 방법
US6396214B1 (en) Device for producing a free cold plasma jet
EP0874386B1 (en) Apparatus and process for remote microwave plasma generation
KR100847963B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
US20080230008A1 (en) Plasma species and uniformity control through pulsed vhf operation
KR101523893B1 (ko) 플라스마 처리장치
JP2002511905A (ja) プラズマ処理装置のガス噴射システム
JP5453271B2 (ja) 大気圧下における超高周波プラズマ補助cvdのための装置および方法、並びにその応用
KR20080030511A (ko) 마이크로파 플라즈마 처리 장치, 유전체 창의 제조 방법 및마이크로파 플라즈마 처리 방법
JP2007273636A (ja) プラズマ処理装置およびプラズマ処理方法
US20090152243A1 (en) Plasma processing apparatus and method thereof
KR101675106B1 (ko) 화학 기상 증착 장치 및 방법
KR20060117237A (ko) 플라즈마 처리장치 및 플라즈마 처리방법
US20170087602A1 (en) Method and apparatus for treating substrate
WO2018217914A1 (en) Atmospheric cold plasma jet coating and surface treatment
KR101139821B1 (ko) 분배 효율이 향상된 가스분사노즐 및 이를 구비한 플라즈마 반응기
US6969953B2 (en) System and method for inductive coupling of an expanding thermal plasma
KR100942094B1 (ko) 플라즈마 처리 장치와 그 운전 방법, 플라즈마 처리 방법및 전자 장치의 제조 방법
JP5005999B2 (ja) プラズマ処理装置およびプラズマ処理装置の使用方法
JP2005159049A (ja) プラズマ成膜方法
JP2021166250A (ja) クリーニング方法およびプラズマ処理装置
JP4304280B2 (ja) プラズマ生成装置およびプラズマ処理製造方法
KR100387900B1 (ko) 박막 공정 장치의 크리닝 방법 및 이를 적용한 박막 공정장치
KR20230136206A (ko) 기판 처리 방법 및 기판 처리 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E601 Decision to refuse application
J201 Request for trial against refusal decision
A107 Divisional application of patent
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20090204

Effective date: 20100310

S901 Examination by remand of revocation
GRNO Decision to grant (after opposition)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130621

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee