KR100854808B1 - 플라즈마 처리 장치 및 플라즈마 처리 장치의 제어 방법 - Google Patents

플라즈마 처리 장치 및 플라즈마 처리 장치의 제어 방법 Download PDF

Info

Publication number
KR100854808B1
KR100854808B1 KR1020070005795A KR20070005795A KR100854808B1 KR 100854808 B1 KR100854808 B1 KR 100854808B1 KR 1020070005795 A KR1020070005795 A KR 1020070005795A KR 20070005795 A KR20070005795 A KR 20070005795A KR 100854808 B1 KR100854808 B1 KR 100854808B1
Authority
KR
South Korea
Prior art keywords
chamber
plasma processing
baffle plate
plasma
gas
Prior art date
Application number
KR1020070005795A
Other languages
English (en)
Other versions
KR20070076545A (ko
Inventor
신스케 오카
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20070076545A publication Critical patent/KR20070076545A/ko
Application granted granted Critical
Publication of KR100854808B1 publication Critical patent/KR100854808B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 챔버의 내벽 표면을 보다 균일한 두께로 피막하는 플라즈마 처리 장치를 제공한다.
본 발명에서는, 마이크로파 플라즈마 처리 장치(100)의 챔버(10)는 서셉터(11) 및 배플판(18)에 의해 처리실(10u)과 배기실(10d)로 구획되어 있다. 마이크로파 플라즈마 처리 장치(100)는 기판 G로의 성막 처리 전에 챔버 내벽에 프리코트막을 형성한다. 이 때, 마이크로파 플라즈마 처리 장치(100)는 서셉터(11)를 하강시켜 서셉터(11)와 배플판(18)의 사이에 극간 S를 마련한다. 이렇게 하여, 처리실(10u) 및 배기실(10d)의 압력차를 작게 하고, 저장 라디칼의 상태를 처리실(10u)과 배기실(10d)에서 거의 같은 상태로 하는 것에 의해, 처리실(10u)과 배기실(10d)의 성막 속도차를 작게 하고, 이에 따라, 처리실(10u) 및 배기실(10d)의 프리코트막의 막질이 균일하고 막 두께가 보다 균일한 막을 형성할 수 있다.

Description

플라즈마 처리 장치 및 플라즈마 처리 장치의 제어 방법{PLASMA PROCESSING APPARATUS AND CONTROLLING METHOD FOR PLASMA PROCESSING APPARATUS}
도 1은 일실시예에 따른 마이크로파 플라즈마 처리 장치의 종단면도,
도 2는 일실시예에 따른 챔버 천정부를 나타낸 도면,
도 3은 실시예 1에 있어서의 각 공정과 서셉터의 위치의 관계를 설명하기 위한 도면,
도 4는 처리실의 압력 P1과 배기실의 압력 P2의 관계를 서셉터 및 배플판간의 극간에 따라 나타낸 그래프,
도 5는 급전용 도파관과 마이크로파의 위상을 반주기 어긋나게 하기 위해 필요한 유전체의 두께의 관계를 실시예 1의 변형예 1에 있어서의 각 공정과 서셉터의 위치의 관계를 설명하기 위한 도면,
도 6은 실시예 1의 변형예 2에 있어서의 각 공정과 서셉터의 위치의 관계를 설명하기 위한 도면,
도 7은 실시예 1의 변형예 2에 있어서의 배플판 근방의 확대도,
도 8은 실시예 2에 있어서의 각 공정과 서셉터의 위치의 관계를 설명하기 위한 도면이다.
도면의 주요 부분에 대한 부호의 설명
10 : 챔버 11 : 서셉터
18 : 배플판 18a, 18b : 받침 기구
31 : 처리 가스 공급원 35, 60 : 리모트 플라즈마
40 : 컨트롤러 100 : 마이크로파 플라즈마 처리 장치
10u : 처리실 10d : 배기실
본 발명은 피처리체를 플라즈마 처리하는 플라즈마 처리 장치 및 플라즈마 처리 장치의 제어 방법에 관한 것이다. 특히, 본 발명은 챔버 내벽의 피막의 형성에 관한 것이다.
종래로부터, 챔버 내에 공급된 처리 가스를 플라즈마화시켜, 기판을 플라즈마 처리하는 여러 가지의 플라즈마 처리 장치가 개발되어 있다. 이 중, 마이크로파 플라즈마 CVD 장치는 마이크로파의 파워에 의해 처리 가스를 전리 및 해리시킴으로써 처리 가스를 플라즈마화시켜, 기판상에 막을 형성한다.
이 플라즈마화의 과정에서는, 예컨대, SiO2 등의 SiOX막을 형성하는 경우, 일반적으로, SiH4 가스가 처리 가스로서 이용된다. 성막에 SiH4 가스를 이용한 경 우, 챔버의 내벽 등에는 SiOX막이 부착된다. 이 SiOX막은 기판의 성막시에 가열되고, 로드록실로/로부터 반송될 때에 냉각된다. 이렇게 하여 가열과 냉각이 반복되면, 챔버 내벽의 퇴적물과 챔버를 구성하는 부재의 열팽창율의 차 때문에 퇴적물과 챔버벽부의 사이에 왜곡이 발생한다. 이 결과, 퇴적물은 어느 정도의 두께가 되면 챔버벽부에서 박리되어, 파티클로서 기판상에 낙하하여, 성막중인 박막에 혼입하여 막질을 열화시킨다.
이러한 파티클의 발생을 억제하기 위해, 그 퇴적물이 소정의 두께가 되면 챔버를 세정하여, 챔버 내벽 등에 부착된 SiOX막을 제거할 필요가 있다. 이 때문에, 마이크로파 플라즈마 CVD 장치는 세정시, 성막시의 처리 가스 대신에 세정 가스인 불소(F)계 가스(예컨대 CF4)를 공급하여 플라즈마를 생성한다. 생성된 플라즈마 중의 F 라디칼은 챔버의 내벽에 부착된 SiOX막을 공격한다. 이 결과, SiOX막 중 Si는 SiFX(SiF1, SiF2, SiF3, SiF4) 가스로 되어 챔버 밖으로 배출된다. SiOX막 중 남겨진 OX는, C와 반응하여 CO나 CO2의 가스로서 챔버 밖으로 배출된다.
그런데, 이와 같이, 플라즈마 CVD 장치의 세정에는 F계 가스의 플라즈마가 이용되고 있고, 더구나 챔버 본체는 Al, 천정부는 Al2O3로 형성되어 있다. 이러한 상황에서, 챔버 내의 F이온이 Al2O3를 공격하면, Al-O간의 결합이 끊어져, 부분적으로 Al-F 등의 막이 생긴다. 여기서, Al-F의 결합 에너지는 159kcal/mol이며, Al-O 의 결합 에너지가 120kcal/mol인 Al2O3와 마찬가지로 결합 상태가 안정되어 있다. 이 결과, 세정시, 챔버 본체의 Al 및 천정부의 Al2O3가 불화되어, 챔버 내벽이나 천정부가 부분적으로 AlF로 되는 경우가 있다. 또한, 세정시에 생성된 SiF4나 F2는 결합 상태가 안정되어 있기 때문에, 그 일부가 챔버 밖으로 배출되지 않고, 챔버 내벽에 물리적으로 흡착되는 경우도 있다.
부분적으로 불화된 AlF는 성막시의 이온의 작용에 의해 Al-F 결합이 끊어지는 것에 의해 F로 되어, 챔버 내로 방출되는 경우가 있다. 또한, 챔버 내벽에 흡착된 SiF4나 F2는 흡착 에너지가 작기 때문에 탈리하기 쉽다. 이에 따라, 챔버 내에 존재하게 된 F계 잔류물이 탈리하여, 성막 중인 박막에 혼입한다고 하는 문제가 발생한다.
이에 부가하여, 통상, 성막시의 제품의 양품률을 높이고, 안정적으로 제품을 제조하기 위해서는, 처리실 내로의 라디칼의 공급, 처리실 내에서의 박막의 생성 및 처리실 밖으로의 가스의 배기라고 하는 일련의 순환을 피처리체를 성막하기 전에 정상 상태로 해놓을 필요가 있다. 즉, 성막 전부터 프로세스 조건을 성막시와 같은 조건으로 설정함으로써, 프로세스시에 발생하는 라디칼이 챔버 내벽 등에서 소모되는 일 없이, 안정한 성막을 행할 필요가 있다.
이상에 설명한 바와 같이, 챔버 내벽에 존재하는 Al-F 등으로부터의 F의 탈리나 챔버 내벽으로부터의 SiF4나 F2의 탈리가 막질 저하의 발생 원인으로 된다고 하는 문제를 해소함과 아울러, 성막 전부터 프로세스 조건을 성막시와 같은 조건으로 설정한다고 하는 관점에서, 세정 후이고 성막 전(즉, 이른바, 프리코트막 형성시), 성막시에 공급되는 가스와 동일 가스를 플라즈마화시켜, 그 플라즈마에 의해 챔버 내벽 표면을 피막하는(즉, 이른바, 프리코트막을 형성하는) 기술이 종래로부터 널리 알려져 있다(예컨대, 특허문헌 1을 참조).
(특허문헌 1) 일본 공개 특허 공보 평11-340149호
그런데, 플라즈마 처리 장치에는, 일반적으로, 챔버 내의 성막에 기여하는 라디칼(이하, 저장 라디칼이라고 함)의 흐름을 바람직한 상태로 준비하기 위해 배플판이 마련되어 있다. 이 배플판의 컨덕턴스는 성막시에 기판에 양호한 플라즈마 처리를 실시하기 위해, 작게(즉, 가스가 흐르기 어렵게) 설정되어 있다. 따라서, 성막시, 처리실과 배기실은 배플판에 의해 구획되어 있고, 각 실의 압력차는 크다(도 4의 (A)(극간 없음)를 참조). 이에 따라, 상술한 프리코트막 형성시에 있어서도, 처리실과 배기실의 압력차는 큰 채로 있게 된다.
한편, 챔버의 내벽에 형성되는 막의 성막 속도 DR(Deposition Rate)은 다음 수학식 1로 나타낼 수 있다.
Figure 112007005414410-pat00001
여기서, k는 비례 정수, P는 압력이다.
도 4의 (A)에 따르면, 처리실의 압력 P1은 배기실의 압력 P2보다 높기 때문에, 처리실의 성막 속도 DR1은 배기실의 성막 속도 DR2보다 빠르게 된다. 이 결과, 처리실의 내벽 표면에 형성되는 프리코트막은 배기실의 내벽 표면에 형성되는 프리코트막보다 두껍게 된다.
또한, 실제로는, 가스는 처리실에 공급되고, 프리코트막을 형성하기 위해 처리실에서 우선적으로 사용되기 때문에, 배기실쪽으로 흐르는 가스(라디칼)의 잔류량은 적어진다. 이것을 고려하면, 처리실과 배기실의 프리코트막의 차는 수학식 1로부터 유도되는 이론값보다 더욱 커진다고 생각된다.
이 결과, 프리코트막이, 처리실의 내벽 표면에서 막질 저하의 원인으로 되는 F계 잔류물의 탈리가 발생하지 않는 정도의 두께까지 형성된 시점에서는, 배기실의 내벽 표면에 형성된 프리코트막은 아직 얇은 상태이기 때문에, 배기실의 내벽 표면에 존재하는 F계 잔류물의 탈리를 억제할 수 없다. 이 결과, 프로세스 처리 중에 배기실에서 탈리한 F계 잔류물이 처리실까지 상승하여, 막질을 저하시킨다고 하는 문제가 발생하고 있었다.
한편, 프리코트막이, 배기실의 내벽 표면에서 F계 잔류물이 탈리하지 않는 정도의 두께까지 형성된 시점에서는, 처리실의 내벽 표면의 프리코트막은 필요 이상으로 두꺼워져 버린다. 이 결과, 프로세스시에 챔버 내벽에 퇴적되는 퇴적물의 두께가, 막이 벗겨지는 두께에 일찍 도달하기 때문에, 챔버 내를 세정하는 사이클(간격)이 짧아져, 스루풋이 저하하여 생산성이 낮아진다고 하는 문제가 발생하고 있었다.
상기 과제를 해소하기 위해, 본 발명에서는, 챔버의 내벽을 보다 균일한 두께로 피막하는 플라즈마 처리 장치 및 플라즈마 처리 장치의 제어 방법이 제공된다.
상기 과제를 해결하기 위해, 본 발명의 한가지 관점에 따르면, 탑재대와 배플판에 의해, 피처리체에 대하여 플라즈마 처리를 실시하는 처리실과 가스를 배기하는 배기실로 구획된 챔버를 갖는 플라즈마 처리 장치로서, 상기 탑재대 또는 상기 배플판의 적어도 어느 하나를 제어함으로써, 상기 챔버의 내벽 표면에 프리코트막을 형성할 때에 상기 처리실의 압력과 상기 배기실의 압력이 가까워지도록 상기 탑재대 및 상기 챔버 측벽간의 개구율을 변화시키는 플라즈마 처리 장치가 제공된다.
이에 따르면, 챔버의 내벽 표면에 프리코트막을 형성할 때에 상기 처리실의 압력과 상기 배기실의 압력이 근사하도록 상기 탑재대 또는 상기 배플판의 적어도 어느 하나가 제어된다. 처리실과 배기실의 압력차가 작아지면, 수학식 1로부터 구해지는 처리실의 성막 속도 DR1과 배기실의 성막 속도 DR2의 차는 작아진다. 이에 따라, 처리실 내의 저장 라디칼을 배기실 내의 저장 라디칼의 상태와 거의 같은 상태로 할 수 있다. 이 결과, 처리실에서 형성되는 프리코트막의 막 두께와 배기실에서 형성되는 프리코트막의 막 두께를 보다 균일하게, 또한, 그 막질을 균일하게 성막할 수 있다. 이에 따라, 프리코트막 형성 시간을 대폭 단축할 수 있을 뿐 아니라, 챔버 내를 세정하기까지의 사이클을 길게 할 수 있다. 이 결과, 스루풋을 향상시켜 생산성을 높일 수 있다.
이와 같이 처리실과 배기실의 압력차가 작아지도록 탑재대를 제어하는 일례로는, 배플판을 상기 챔버의 내벽에 고정하고, 프리코트막 형성시의 탑재대 및 챔버 측벽간의 개구율이, 프로세스시의 상기 개구율보다 커지도록 상기 탑재대를 승강하는 방법을 들 수 있다.
이에 따르면, 상기 탑재대와 상기 배플판의 간격은, 프리코트막 형성시와 프로세스시에서 서로 다르도록 조절된다. 즉, 프로세스시에는, 탑재대와 배플판의 간격이 작아지도록 탑재대를 승강시킨다. 이에 따라, 처리실은 프로세스 조건에 합치한 압력으로 유지된다. 이 결과, 저장 라디칼이 처리실 내에 갇히기 때문에, 성막 속도가 빠르고 균일성이 높은 성막을 피처리체에 실시할 수 있다. 한편, 프리코트막 형성시에는, 탑재대와 배플판의 사이에 간격을 두도록 탑재대를 승강시킨다. 이에 따라, 가스가 처리실에서 배기실로 흐르기 쉽게 되어, 처리실과 배기실의 압력차는 작아진다. 이 결과, 처리실 내의 저장 라디칼을 배기실 내의 저장 라디칼의 상태와 거의 같은 상태로 할 수 있다. 이 결과, 처리실의 프리코트막 및 배기실의 프리코트막의 막 두께를 보다 균일하게, 또한, 그 막질을 균일하게 성막할 수 있다.
또한, 처리실과 배기실의 압력차가 작아지도록 탑재대를 제어하는 다른 일례로는, 배플판을 상기 챔버 또는 상기 탑재대 중 어느 하나에 착탈 가능하게 고정하고, 피처리체를 플라즈마 처리할 때에는 상기 탑재대를 승강하는 동안에 상기 배플판을 상기 탑재대에 고정하고, 상기 챔버의 내벽 표면에 프리코트막을 형성할 때에는 상기 탑재대를 승강하는 동안에 상기 배플판을 상기 챔버에 고정함으로써, 상기 챔버의 내벽 표면에 프리코트막을 형성할 때의 상기 개구율이 피처리체를 플라즈마 처리할 때의 상기 개구율보다 커지도록 상기 탑재대와 상기 배플판의 간격을 조절하는 방법을 들 수 있다.
이에 따르면, 탑재대와 배플판의 위치 관계가 플라즈마 처리의 정밀도에 영향을 미치는 것을 고려하여, 프로세스시에는, 배플판을 탑재대측에 고정시켜 탑재대와 함께 상승시키는 것에 의해, 배플판을 프로세스 처리에 최적인 위치까지 이동시킬 수 있다. 즉, 배플판에 의해 라디칼을 처리실 내에 보다 유효하게 가두는 것에 의해, 피처리체로의 성막 속도를 빠르게 하고, 또한, 피처리체에 균일한 막을 형성할 수 있다. 한편, 프리코트막 형성시에는, 배플판을 챔버측에 고정시켜 탑재대와 배플판의 사이에 간격을 마련하는 것에 의해, 처리실 내의 저장 라디칼을 배기실 내의 저장 라디칼의 상태와 거의 같은 상태로 함으로써, 처리실과 배기실의 성막 속도차를 작게 하고, 이에 따라, 처리실 및 배기실의 프리코트막의 막 두께를 보다 균일하게, 또한, 그 막질을 균일하게 성막할 수 있다.
또한, 처리실과 배기실의 압력차가 작아지도록 배플판을 제어하는 일례로는, 하나 또는 둘 이상의 관통 구멍과 그 관통 구멍을 개폐하는 개폐 기구를 갖는 배플판으로서, 상기 프리코트막을 형성할 때의 상기 개구율이 피처리체를 플라즈마 처리할 때의 상기 개구율보다 커지도록, 배플판의 개폐 기구를 제어함으로써, 그 관통 구멍의 개방도를 조절하는 방법을 들 수 있다.
이에 따르면, 프로세스시에는, 배플판의 관통 구멍의 개방도가 작아지도록 개폐 기구가 제어된다. 이에 따라, 처리실을 프로세스 조건에 합치한 압력으로 유 지하고, 처리실 내에 저장 라디칼을 가두며, 이에 따라, 성막 속도가 빠르게, 또한, 균일한 막을 형성할 수 있다. 한편, 프리코트막 형성시에는, 배플판에 마련된 관통 구멍의 개방도가 커지도록 개폐 기구가 제어된다. 이에 따라, 처리실과 배기실의 압력차가 작아져, 처리실 내의 저장 라디칼을 배기실 내의 저장 라디칼의 상태와 거의 같은 상태로 할 수 있다. 이 결과, 처리실과 배기실의 성막 속도차가 작아지고, 처리실 및 배기실의 프리코트막의 막 두께를 보다 균일하게, 또한, 그 막질을 균일하게 성막할 수 있다.
또한, 본 발명의 다른 관점에 따르면, 탑재대와 배플판에 의해, 피처리체에 대하여 플라즈마 처리를 실시하는 처리실과 가스를 배기하는 배기실로 구획된 챔버를 갖는 플라즈마 처리 장치로서, 상기 챔버를 세정한 후, 상기 챔버의 내벽 표면으로의 프리코트막의 형성을 촉진하는 라디칼(저장 라디칼)을 상기 배기실에 공급하는 플라즈마 처리 장치가 제공된다.
일반적으로, 프리코트막을 형성하기 위한 가스는 처리실에 공급되고, 생성된 플라즈마 중의 저장 라디칼은 처리실에서 프리코트막을 형성하기 위해 우선적으로 사용된다. 이 결과, 배기실에 흐르는 가스(저장 라디칼) 잔류량은 적어진다. 그러나, 본 발명에서는, 세정 후, 별도로, 배기실에 저장 라디칼이 공급된다. 이에 따라, 배기실에서의 프리코트막의 형성이 촉진된다. 이 결과, 처리실 및 배기실의 프리코트막을 거의 동일한 막 두께로, 또한, 보다 균일한 막질로 성막할 수 있다.
이 때, 배기실에 공급되는 라디칼은 리모트 플라즈마에 의해 생성되어도 좋다. 또한, 상기 라디칼은 피처리체에 플라즈마 처리를 실시할 때에 공급되는 가스 와 동일한 가스를 리모트 플라즈마에 공급함으로써 생성되어도 좋다.
이에 따르면, 예컨대, 프로세스가 CVD(Chemical Vapor Deposition : 화학 증착 박막 성막법) 처리인 경우, 프리코트막 형성시에 공급되는 가스는 프로세스시에 공급되는 가스와 동일해진다. 이에 따라, 프리코트막은 기판상에 형성되는 막과 동일막으로 된다. 이에 따르면, 성막 전부터 프로세스 조건을 성막시와 같은 조건으로 설정할 수 있다. 이 결과, 프로세스시에 발생하는 라디칼이 챔버 내벽 등에서 소모되는 일이 없기 때문에, 보다 안정한 양질의 성막이 가능해진다.
상기 플라즈마 처리 장치는, 슬롯을 통하여 유전체를 투과한 마이크로파에 의해 챔버 내에 공급된 처리 가스를 플라즈마화시켜, 피처리체에 플라즈마 처리를 실시하는 마이크로파 플라즈마 처리 장치이어도 좋다.
또한, 그 마이크로파 플라즈마 처리 장치의 유전체는 복수의 유전체 파츠(parts)로 구성되고, 각 유전체 파츠에는 하나 또는 둘 이상의 슬롯이 마련되며, 상기 하나 또는 둘 이상의 슬롯을 통하여 각 유전체 파츠를 각각 투과한 마이크로파에 의해 챔버 내에 공급된 처리 가스를 플라즈마화시켜, 피처리체에 플라즈마 처리를 실시하도록 하더라도 좋다.
이에 따르면, 각 유전체 파츠에 슬롯이 각각 마련되어 있고, 더구나 종래에 비해, 각 유전체 파츠의 면적은 현저히 작아지기 때문에, 마이크로파를 각 유전체 파츠에 투과시킴으로써 각 유전체 파츠의 표면에서 표면파를 균일하게 전파시킬 수 있다. 이 결과, 프로세스 윈도우를 넓힐 수 있는 동시에 플라즈마 처리를 정밀도 좋고 안정되게 행할 수 있다. 또한, 유전체 창을 소형화, 경량화된 각 유전체 파 츠에 의해 구성할 수 있으므로, 마이크로파 플라즈마 처리 장치를 용이하게, 또한 저비용으로 제조할 수 있는 동시에 피처리체의 대면적화에 대하여 유연하게 대응할 수 있다.
또한, 본 발명의 다른 관점에 따르면, 탑재대와 배플판에 의해, 피처리체에 대하여 플라즈마 처리를 실시하는 처리실과 가스를 배기하는 배기실로 구획된 챔버를 갖는 플라즈마 처리 장치의 제어 방법으로서, 피처리체를 플라즈마 처리할 때, 정해진 위치까지 상기 탑재대를 승강하고, 챔버의 세정시 또는 세정 후, 상기 탑재대와 상기 배플판 사이에 간격을 마련하기 위해 정해진 위치까지 상기 탑재대를 승강하는 플라즈마 처리 장치의 제어 방법이 제공된다.
이에 따르면, 프로세스시에는, 탑재대를 소정의 위치까지 승강시킴으로써, 처리실을 프로세스 조건에 합치한 압력으로 유지하고, 처리실 내에 저장 라디칼을 가두며, 이에 따라, 성막 속도가 빠르고, 또한, 균일한 막을 형성할 수 있다. 한편, 챔버의 세정시 또는 세정 후에는, 탑재대를 승강함으로써 탑재대와 배플판 사이에 간격을 마련함으로써, 처리실과 배기실의 압력차를 작게 할 수 있다. 이에 따라, 처리실 내의 저장 라디칼을 배기실 내의 저장 라디칼의 상태와 거의 동일한 상태로 하여, 처리실과 배기실의 성막 속도차를 작게함으로써, 처리실 및 배기실의 프리코트막의 막 두께를 보다 균일하게, 또한, 그 막질을 균일하게 성막할 수 있다. 이 결과, 프리코트막 형성 시간을 대폭 단축할 수 있을 뿐 아니라, 챔버 내를 세정하기까지의 사이클을 길게 할 수 있다. 이 결과, 스루풋을 향상시켜 생산성을 높일 수 있다.
또한, 본 발명의 다른 관점에 따르면, 하나 또는 둘 이상의 관통 구멍 및 그 관통 구멍을 개폐하는 개폐 기구를 갖는 배플판과 탑재대에 의해 피처리체에 대하여 플라즈마 처리를 실시하는 처리실과 가스를 배기하는 배기실로 구획된 챔버를 갖는 플라즈마 처리 장치의 제어 방법으로서, 피처리체를 플라즈마 처리할 때, 정해진 위치까지 상기 개폐 기구를 슬라이드하고, 챔버의 세정시 또는 세정 후, 상기 챔버의 내벽 표면에 프리코트막을 형성할 때의 상기 관통 구멍의 개방도를 피처리체를 플라즈마 처리할 때의 상기 관통 구멍의 개방도보다 크게 하기 위해 정해진 위치까지 상기 개폐 기구를 슬라이드하는 플라즈마 처리 장치의 제어 방법이 제공된다.
이에 따르면, 프로세스시에는, 배플판의 관통 구멍의 개방도가 작아지도록 개폐 기구를 제어함으로써, 처리실을 프로세스 조건에 합치한 압력으로 유지하고, 처리실 내에 저장 라디칼을 가두며, 이에 따라, 성막 속도가 빠르고, 또한, 균일한 막을 형성할 수 있다. 한편, 프리코트막 형성시에는, 배플판에 마련된 관통 구멍의 개방도가 커지도록 개폐 기구를 제어함으로써, 처리실과 배기실의 압력차를 작게 하여 처리실 내의 저장 라디칼을 배기실 내의 저장 라디칼의 상태와 거의 같은 상태로 하고, 각 실의 성막 속도차를 작게함으로써, 처리실 및 배기실의 프리코트막의 막 두께를 보다 균일하게, 또한, 그 막질을 균일하게 성막할 수 있다.
또한, 본 발명의 다른 관점에 따르면, 탑재대와 배플판에 의해, 피처리체에 대하여 플라즈마 처리를 실시하는 처리실과 가스를 배기하는 배기실로 구획된 챔버를 갖는 플라즈마 처리 장치의 제어 방법으로서, 상기 챔버를 세정한 후, 상기 챔 버의 내벽 표면으로의 프리코트막의 형성을 촉진하는 라디칼을 공급하는 플라즈마 처리 장치의 제어 방법이 제공된다.
이에 따르면, 세정 후에 배기실에 공급된 라디칼에 의해, 배기실에서의 프리코트막의 형성이 촉진된다. 이에 따라, 처리실 및 배기실의 프리코트막을 보다 균일한 막질로 거의 동일한 두께로 형성할 수 있다.
이하에 첨부 도면을 참조하면서, 본 발명의 바람직한 실시예에 대하여 상세히 설명한다. 또, 본 명세서 및 도면에 있어서, 실질적으로 동일한 기능 구성을 갖는 구성 요소에 대해서는, 동일한 부호를 부여함으로써 중복 설명을 생략한다.
또한, 본 명세서 중 1mTorr은 (10-3×101325/760)Pa, 1sccm은 (10-6/60)㎥/sec로 한다.
(실시예 1)
(마이크로파 플라즈마 처리 장치의 구성)
우선, 본 발명의 실시예 1에 따른 마이크로파 플라즈마 처리 장치의 구성에 대하여, 본 장치를 세로 방향(y축에 수직인 방향)으로 절단한 단면도인 도 1, 및, 이 장치의 처리실의 천정면을 나타낸 도 2를 참조하면서 설명한다. 또한, 이하의 설명에서는, 본 실시예에 따른 마이크로파 플라즈마 처리 장치를 이용한 게이트 산 화막 형성 프로세스를 예로 들어 설명한다.
마이크로파 플라즈마 처리 장치(100)는 챔버(10)와 덮개(20)로 이루어지는 하우징(housing)을 갖고 있다. 챔버(10)는 그 상부가 개구된 바닥이 있는 입방체 직방체 형상을 갖고 있으며, 접지되어 있다. 챔버(10)는, 예컨대, 알루미늄(Al) 등의 금속으로 형성되어 있다.
챔버(10)의 내부에는, 대략 중앙에, 기판 G 등의 피처리체를 탑재하는 서셉터(11)(탑재대)가 마련되어 있다. 서셉터(11)는, 예컨대, 질화알루미늄으로 형성되어 있다.
서셉터(11)의 내부에는, 급전부(11a) 및 히터(11b)가 마련되어 있다. 급전부(11a)에는, 정합기(12a)(예컨대, 콘덴서)를 거쳐 고주파 전원(12b)이 접속되어 있다. 또한, 급전부(11a)에는, 코일(13a)을 거쳐 고압 직류 전원(13b)이 접속되어 있다. 정합기(12a), 고주파 전원(12b), 코일(13a) 및 고압 직류 전원(13b)은 챔버(10)의 외부에 마련되어 있고, 고주파 전원(12b) 및 고압 직류 전원(13b)은 접지되어 있다.
급전부(11a)는 고주파 전원(12b)으로부터 출력된 고주파 전력에 의해 챔버(10)의 내부에 소정의 바이어스 전압을 인가하도록 되어 있다. 또한, 급전부(11a)는 고압 직류 전원(13b)으로부터 출력된 직류 전압에 의해 기판 G를 정전 흡착하도록 되어 있다.
히터(11b)에는, 챔버(10)의 외부에 마련된 교류 전원(14)이 접속되어 있고, 교류 전원(14)으로부터 출력된 교류 전압에 의해 기판 G를 소정의 온도로 유지하도 록 되어 있다.
챔버(10)의 바닥면은 통 형상으로 개구되고, 개구된 바깥쪽 둘레 근방에서 벨로즈(bellows)(15)의 한쪽 끝이 챔버(10)의 외부 벽면에 장착되어 있다. 벨로즈(15)의 다른 쪽 끝에는, 승강 플레이트(16)가 고착되어 있다. 이렇게 하여, 챔버(10) 바닥면의 개구 부분은 벨로즈(15) 및 승강 플레이트(16)에 의해 밀폐되어 있다.
또한, 서셉터(11)는 승강 플레이트(16)상에 배치된 하우징(17)에 지지되어 있고, 전동 모터(16a)로부터 출력되는 구동력에 의해 승강 플레이트(16) 및 하우징(17)과 함께 일체적으로 승강한다. 이렇게 하여, 전동 모터(16a)는 서셉터(11)를 소망하는 높이로 조정하도록 되어 있다.
서셉터(11)의 주위에는, 챔버(10) 내의 가스의 흐름을 바람직한 상태로 제어하기 위한 배플판(18)이 마련되어 있다. 챔버(10)의 내부는, 서셉터(11) 및 배플판(18)에 의해, 기판 G를 플라즈마 처리하는 처리실(10u)과 가스를 배기하는 배기실(10d)로 구획되어 있다. 또한, 챔버(10)의 내벽 측부에는, 대략 중앙에 서셉터(11)쪽으로 돌출한 받침 기구(18a)가 마련되어 있다. 배플판(18)은 그 하면 가장자리에서 받침 기구(18a)에 지지되는 것에 의해, 챔버(10)의 내벽 측부에 고정되어 있다.
챔버(10)에는, 배기 기구(19)로서, 드라이 펌프(19a), APC(자동 압력 조정기 : Automatic Pressure Control)(19b) 및 TMP(터보 몰레큘러 펌프 : Turbo Molecular Pump)(19c)가 마련되어 있다.
드라이 펌프(19a)는 소정의 밸브를 개폐시켜, 챔버(10) 안이 소정의 감압 상태가 될 때까지 가스를 뺀 후, 밸브의 개폐를 전환하여, TMP(19c)의 배압을 감소시키고 있다. APC(19b)에는, 배기실(10d)과 TMP(19c)의 연통 상태를 제어하는 밸브가 마련되어 있고, 처리실(10u) 내의 압력 P1의 변화에 따라 APC(19b)의 밸브를 슬라이드시킴으로써, 배기실(10d)과 TMP(19c)의 연통 부분을 소망하는 개방도로 하도록 되어 있다. 이에 따라, APC(19b)의 밸브의 개방도에 따라, 챔버(10) 내의 분위기가 소정의 진공도까지 감압된다.
덮개(20)는 챔버(10)의 위쪽을 밀폐하도록 배치되어 있다. 덮개(20)는 챔버(10)와 마찬가지로, 예컨대, 알루미늄(Al) 등의 비자성체인 금속으로 형성되어 있다. 덮개(20)에는, 덮개 본체(21), 도파관(22a)∼도파관(22f), 슬롯 안테나(23a)∼슬롯 안테나(23f), 유전체 파츠(24a)∼유전체 파츠(24f)로 구성되는 유전체, 및 빔(25)이 마련되어 있다.
챔버(10)와 덮개(20)는 덮개 본체(21)의 하면 가장자리와 챔버(10)의 상면 가장자리의 사이에 배치된 O링(26)에 의해 고정되고, 이에 따라, 챔버 내의 기밀성이 유지되어 있다.
덮개 본체(21)의 하면에 형성되어 있는 도파관(22a)∼도파관(22f)는 도 2에 나타낸 바와 같이, y축 방향으로 서로 평행하게 병렬하여 배치되어 있다. 도파관(22a) 및 도파관(22b), 도파관(22c) 및 도파관(22d), 도파관(22e) 및 도파관(22f)에는, 그 단부에 평면에서 보아 V자 형상인 분기 도파관(27a), 분기 도파관(27b), 분기 도파관(27c)이 각각 접속되어 있다. 각 분기 도파관(27)에는 마이 크로파 발생기(28)가 접속되어 있다.
각 도파관(22)은 각각의 축 방향에 수직인 단면이 직사각형 형상인 직사각형 도파관에 의해 형성되어 있다. 예컨대, TE10 모드(TE파 : transverse electric wave : 자계가 마이크로파의 진행 방향 성분을 갖는 파)인 경우, 각 도파관(22)의 축 방향에 수직인 단면의 장변 방향의 관벽은 자계에 평행한 H면으로 되고, 단변 방향의 관벽은 전계에 평행한 E면으로 된다. 각 도파관의 장변 방향과 단변 방향을 어떻게 배치할지는 모드(도파관 내의 전자계 분포)에 의해 변화된다. 각 도파관(22) 및 각 분기 도파관(27)의 내부는, 예컨대, 알루미나(산화알루미늄 : Al2O3), 석영, 불소 수지 등의 유전 부재에 의해 충전되어 있다. 그 유전 부재에 의해, λg1=λc/(εε1)1/2의 식에 따라 각 도파관(22)의 관내 파장 λg1이 제어된다. 여기서, λc는 자유 공간의 파장, ε1은 유전 부재의 유전률이다.
도 1에 나타낸 바와 같이, 슬롯 안테나(23a)∼슬롯 안테나(23f)는 도파관(22a)∼도파관(22f)의 바닥면에 각각 마련되어 있다. 각 슬롯 안테나(23)에는, 도 2에 나타낸 바와 같이, 13개의 슬롯(23a)이 투과 구멍으로서 각각 마련되어 있다.
각 슬롯 안테나(23)의 슬롯(23a)은, 예컨대, λg/2의 등간격으로 배치되어 있다. 이렇게 하여, 78개(=13×6)의 슬롯(23a)이 챔버(10)의 천정부에 배치된다.
슬롯 안테나(23)의 하면에는, 장방형의 평판 형상을 이루는 39장의 유전체 파츠(24)가 배치되어 있다. 각 유전체 파츠(24)는 마이크로파를 투과하도록, 예컨 대, 석영 유리, 질화알루미늄(AlN), 알루미나(산화알루미늄 : Al2O3), 사파이어, SiN, 세라믹 등으로 형성되어 있다.
도 2에 나타낸 바와 같이, 빔(25)은 격자 형상으로 형성되고, 슬롯 안테나(23)의 하면에서 39장의 유전체 파츠(24)를 지지한다. 대들보(25)는 알루미늄 등의 비자성체인 금속으로 이루어지는 도체이며, 도 1에 나타낸 슬롯 안테나(23), 덮개 본체(21) 및 챔버(10)를 거쳐 접지되어 있다. 각 대들보(25)의 내부에는, 복수의 가스 도입관(29)이 관통하고 있고, 가스 도입관(29) 선단의 분사 구멍(30)(도 2 참조)으로부터 처리 가스가 분사된다.
도 1의 처리 가스 공급원(31)은 밸브(밸브(31a1), 밸브(31a3), 밸브(31b1), 밸브(31b3), 밸브(31b5), 밸브(31b7), 밸브(31c1), 밸브(31c3)), 매스 플로우 컨트롤러(매스 플로우 컨트롤러(31a2), 매스 플로우 컨트롤러(31b2), 매스 플로우 컨트롤러(31b6), 매스 플로우 컨트롤러(31c2)) 및 가스 공급원(O2 가스 공급원(31a4), SiH4 및 가스 공급원(31b4), Ar 가스 공급원(31b8), CF4 가스 공급원(31c4))으로 구성되어 있다.
처리 가스 공급원(31)은 각 밸브의 개폐를 제어함으로써, 각 처리 가스를 선택적으로 챔버(10) 내에 공급하도록 되어 있다. 또한, 각 매스 플로우 컨트롤러는 각각이 공급하는 처리 가스의 유량을 제어함으로써 처리 가스를 소망하는 농도로 조정하도록 되어 있다.
예컨대, 프로세스시에는, O2 가스가 O2 가스 공급원(31a4)으로부터 공급되 고, 가스 유로(32a)를 통해 처리실(10u)에 분사된다. 또한, SiH4 가스 및 Ar 가스가 SiH4 가스 공급원(31b4) 및 Ar 가스 공급원(31b8)으로부터 각각 공급되고, 가스 유로(32b)를 통해 처리실(10u)에 분사된다.
또한, 예컨대, 세정시에는, O2 가스 및 CF4 가스가 O2 가스 공급원(31a4) 및 CF4 가스 공급원(31c4)으로부터 각각 공급되고, 가스 유로(32a)를 통해 처리실(10u)에 분사된다.
마이크로파 플라즈마 처리 장치(100)의 외부에는, 리모트 플라즈마(35)가 설치되어 있다. 리모트 플라즈마(35)는 처리 용기(35a), 코일(35b), 고주파 전원(35c), 용량 C 및 반송관(35d)을 갖고 있고, 챔버(10) 내를 세정할 때에 이용된다.
처리 용기(35a)는, 안이 비어있는 관 형상 부재로 구성되고, 유전체에 의해 형성되어 있다. 처리 용기(35a)의 바깥둘레에는, 코일(35b)이 나선 형상으로 감겨져 있다. 코일(35b)에는, 그 한쪽 끝에 고주파 전원(35c)이 접속되어 있고, 다른 쪽 끝은 접지되어 있다. 고주파 전원(35c)에는, 직류 성분을 절연하기 위한 용량 C가 접속되어 있다.
처리 용기(35a)에는, 세정 가스로서, 예컨대, CF4 가스, O2 가스 및 Ar 가스가 처리 가스 공급원(31)으로부터 공급된다. 세정 가스의 다른 예로서, NF3 가스 및 Ar 가스가 공급되어도 좋다. 고주파 전원(35c)으로부터 출력된 고주파 전력이 코일(35b)에 인가되면, 코일(35b)의 주위에 고주파 자계가 발생한다. 이 자계의 시간적 변화에 의해 유도된 유도 전계에 의해 처리 용기(35a) 내에서 세정 가스가 플라즈마화된다. 이렇게 하여 생성된 유도 결합 플라즈마(ICP : Inductively coupled plasma) 중, 라디칼의 수명은 길다. 이 결과, 활성인 F 라디칼만이 반송관(35d)을 거쳐 처리실(10u)에 공급된다.
또한, 마이크로파 플라즈마 처리 장치(100)의 외부에는, 냉각수 공급원(33)이 배치되어 있다. 냉각수 공급원(33)은 덮개 본체(21)의 내부에 마련된 수로(34)에 냉각수를 순환 공급함으로써, 덮개 본체(21)의 내부를 냉각하도록 되어 있다.
또한, 마이크로파 플라즈마 처리 장치(100)의 외부에는, 컨트롤러(40)가 마련되어 있다. 컨트롤러(40)는 소정의 타이밍에 전동 모터(16a) 및 APC(19b)에 각각 구동 신호를 출력하도록 되어 있다. 컨트롤러(40)에 접속된 제 1 압력 센서(41)는 처리실(10u)에 마련되고, 처리실(10u)의 압력 P1을 검출한다. 마찬가지로, 컨트롤러(40)에 접속된 제 2 압력 센서(42)는 배기실(10d)에 마련되고, 배기실(10d)의 압력 P2를 검출하도록 되어 있다.
이러한 구성에 의해, 도 2에 나타낸 마이크로파 발생기(28)로부터 출력된 마이크로파는 각 도파관(22)을 전파하고, 각 슬롯을 통해 각 유전체 파츠(24)를 투과하여 처리실(10u) 내로 입사된다. 이렇게 하여 처리실(10u) 내로 입사된 마이크로파의 전계 에너지에 의해, 처리 가스 공급원(31)으로부터 공급된 성막 가스가 플라즈마화되고, 기판 G에 게이트 산화막이 형성된다. 또한, 복수의 기판 G에 성막 처리가 실시되는 것에 의해, 챔버 내벽면에 퇴적된 반응 생성물이 미리 정해진 두께 가 되면, 처리 가스 공급원(31) 및 리모트 플라즈마(35)는 F계 가스를 세정 가스로서 공급하고, 세정 가스로부터 생성된 플라즈마 중의 F 라디칼의 작용에 의해 챔버 내벽이 세정된다. 세정 후, 다시 처리 가스 공급원(31)으로부터 성막 가스가 공급되고, 게이트 산화막과 동일한 프리코트막이 성막시와 같은 프로세스 조건에서 챔버 내벽에 형성된다. 프리코트막이 어느 정도의 두께가 되면, 다시 기판 G를 반입하여, 성막 처리가 재개된다.
(서셉터(11)의 승강 동작)
다음에, 이상에 설명한 (1) 성막(게이트 산화막 형성), (2) 세정, (3) F계 가스 저감막 형성(프리코트막 형성)시의 각 공정에 있어서의, 도 3에 나타낸 서셉터(11)의 승강 동작에 대하여, 발명자 등이 실제로 실험한 결과를 나타내면서 설명한다.
발명자 등이 실험시에 각 공정에서 설정한 프로세스 조건은 다음과 같다.
(1) 성막(게이트 산화막 형성)시의 프로세스 조건
이 때의 프로세스 조건은 처리실(10u)의 압력이 200mTorr, 마이크로파의 파워가 2.55㎾×3(3개의 마이크로파 발생기(28)를 사용)이었다. 또한, 가스 종류는 Ar 가스, SiH4 가스 및 O2 가스를 이용하고, 그 가스량은 Ar 가스 1500sccm, SiH4 가스 150sccm, O2 가스 950sccm이었다. 또한, 기판 G의 온도를 300℃로 했다. 또한, 기판 G와 유전체 파츠(24)의 거리는 166㎜이었다.
(2) 세정시의 프로세스 조건
상기 설명에서는, 세정 가스로서 CF4 가스, O2 가스 및 Ar 가스를 예로 들었지만, 실험시에는, F계 가스로서 NF3 가스 및 Ar 가스를 이용했다. 그 가스량은 Ar 가스 1000sccm, NF3 가스 1000sccm이었다. 또한, 처리실(10u)의 압력이 2Torr, 고주파 전원(35c)으로부터의 출력이 10.8㎾이었다. 또한, 기판 G와 유전체 파츠(24)간의 거리는 194㎜이었다.
(3) 프리코트막 형성시의 프로세스 조건은 성막시와 동일한 조건으로 했다.
(1) 성막
게이트 산화막을 형성하는 프로세스가 개시되기 전에, 컨트롤러(40)는 서셉터(11)를 프로세스 조건으로 정해진 소정의 높이로 승강시키기 위한 구동 신호를 전동 모터(16a)에 송신한다. 그 구동 신호에 대응하여 전동 모터(16a)로부터 출력된 동력에 의해, 서셉터(11)는 소정의 높이까지 상승한다(도 3의 위 부분).
이 상태에서, 컨트롤러(40)가 성막 가스를 공급시키기 위해 구동 신호를 처리 가스 공급원(31)에 송신함으로써, 처리 가스 공급원(31)은 Ar 가스, SiH4 가스 및 O2 가스를 처리실(10u) 내에 공급한다. 이들 성막 가스는 마이크로파에 의해 플라즈마화된다.
서셉터(11)가 소정의 높이까지 상승한 상태에서는, 서셉터(11)와 배플판(18)의 간격은 거의 없다. 또한, APC(19b)는 그 밸브를 열도록 제어되고 있다. 이에 따라, 처리실(10u)을 프로세스 조건에 합치한 압력(50mTorr∼500mTorr 정도)으로 유지할 수 있다. 이 결과, 성막 가스로부터 생성된 플라즈마 중의 저장 라디칼이 처리실(10u) 내에 가둬지는 것에 의해, 성막 속도가 빠르고, 균일성이 높은 성막을 기판 G에 실시할 수 있다.
(2) 세정
다수의 기판 G상에 게이트 산화막을 각각 형성하는 처리를 반복하는 것에 의해 챔버 내벽에 퇴적된 반응 생성물이 소정의 두께가 되면, 챔버 내는 세정된다. 그 때, 컨트롤러(40)는 서셉터(11)와 배플판(18) 사이에 간격을 마련하기 위한 구동 신호를 전동 모터(16a)에 송신한다. 그 구동 신호에 대응하여 전동 모터(16a)로부터 출력되는 동력에 의해, 서셉터(11)는 소정의 높이까지 하강한다(도 3의 아래 부분). 이 상태에서는, 서셉터(11)와 배플판(18)의 사이에 소정의 간격(극간 S)이 생긴다. 이 때문에, 가스는 처리실(10u)로부터 배기실(10d)로 흐르기 쉽게 되어, 처리실(10u)의 압력 P1과 배기실(10d)의 압력 P2의 차는 작아진다.
예컨대, 성막시, 도 4의 (A)선으로 나타낸 바와 같이, 처리실(10u)의 압력 P1이 500mTorr일 때, 배기실(10d)의 압력 P2는 250mTorr이며, 처리실(10u)의 압력 P1이 배기실(10d)의 압력 P2보다 높은 것을 알 수 있다.
한편, 세정시, 극간 S가 1㎝가 되도록 서셉터(11)를 강하시켰을 때, 도 4의 (B)선으로 나타낸 바와 같이, 처리실(10u)의 압력 P1이 500mTorr일 때, 배기실(10d)의 압력 P2는 480mTorr이며, 처리실(10u)의 압력 P1과 배기실(10d)의 압력 P2는 매우 작아진 것을 알 수 있다.
이 상태에서, 컨트롤러(40)가 세정 가스를 공급시키기 위해 구동 신호를 처리 가스 공급원(31)에 송신함으로써, 처리 가스 공급원(31)은 NF3 가스 및 Ar 가스를 처리실(10u) 내에 공급한다. 이들 세정 가스는 마이크로파에 의해 플라즈마화된다.
또한, 상기 구동 신호에 의해, 처리 가스 공급원(31)은 NF3 가스 및 Ar 가스를 리모트 플라즈마(35)에 공급한다. 리모트 플라즈마(35)는 이들 세정 가스를 플라즈마화하고, 배기실(10d) 내에 F 라디칼을 공급시킨다. 구체적으로는, 세정 가스(NF3, Ar)가 처리 용기(35a)에 공급되고, 고주파 전원(35c)의 고주파 전력이 코일(35b)에 인가된다. 이에 따라, 가스는 코일(35b)의 주위에 발생한 고주파의 자계로부터 유도되는 고주파의 전계에 의해 플라즈마화되고, 플라즈마 중의 F 라디칼만이 그 수명이 길기 때문에 최후까지 반송관(35d)을 통해 챔버 내에 공급된다. 공급된 F 라디칼은 챔버의 내벽에 부착된 SiOX막을 공격하고, SiFX(SiF1, SiF2, SiF3, SiF4) 가스로 되어 챔버 밖으로 배출된다. 또한, 남겨진 OX는 처리실(10u)에 공급된 NF3 가스 중의 N과 반응하여, NO나 NO2 등의 가스로 되어 챔버 밖으로 배출된다.
상술한 바와 같이, 세정시에는, 서셉터(11)가 아래쪽에 위치함으로써, 가스가 처리실(10u)로부터 배기실(10d)로 흐르기 쉽게 되어, 처리실(10u)의 압력 P1과 배기실(10d)의 압력 P2의 차는 작아지고 있다. 상기 수학식 1에 따르면, 각 실의 압력차를 작게 하고, 처리실(10u)의 F 라디칼을 배기실(10d)의 F 라디칼과 거의 동일 상태로 하는 것에 의해, 각 실의 세정 속도의 차를 없앨 수 있다. 이에 따라, SiFX 가스나 NO, NO2 등의 가스의 생성 속도는 처리실(10u)과 배기실(10d)에서 거의 같게 된다. 이 결과, 처리실(10u) 및 배기실(10d)의 내벽은 보다 균등하게 세정되는 동시에, 그 세정 시간을 대폭 단축할 수 있다.
그런데, 이와 같이, 마이크로파 플라즈마 처리 장치(100)의 세정에는 F계 가스의 플라즈마가 이용되고 있고, 더구나 챔버 본체는 Al, 천정부는 Al2O3로 형성되어 있다. 이러한 상황에서, F 이온이 Al2O3를 공격하면, Al-O간의 결합이 끊어져, 부분적으로 Al-F 등의 막이 생긴다. 또한, Al-F의 결합 에너지는 159kcal/mol이며, Al-O의 결합 에너지가 120kcal/mol인 Al2O3와 마찬가지로 결합 상태가 안정되어 있다. 이 결과, 세정시, 챔버 본체의 Al 및 천정부의 Al2O3가 불화되어, 챔버 내벽이나 천정부가 부분적으로 AlF로 되는 경우가 있다.
또한, 세정시에 생성된 SiF4나 F2는 결합 상태가 안정되어 있기 때문에, 그 일부가 챔버의 밖으로 배출되지 않고, 챔버 내벽에 물리적으로 흡착되는 경우도 있다. 이렇게 하여 흡착된 SiF4나 F2는 흡착 에너지가 작기 때문에 탈리하기 쉽다. 또한, 상술한 바와 같이 챔버 내벽에서 부분적으로 불화된 AlF는 성막시의 이온에 의해 Al-F 결합이 끊어지는 것에 의해 F로 되어, 챔버 내로 방출된다. 이렇게 하여 챔버 내에 존재하는 것으로 된 F계 잔류물이 탈리하여, 성막 중인 박막에 혼입 한다고 하는 문제가 발생한다.
이것에 부가하여, 통상, 성막시의 제품의 양품률을 높여, 안정적으로 제품을 제조하기 위해, 피처리체를 성막하기 전에, 챔버(10) 내로의 라디칼의 공급, 챔버(10) 내에서의 박막의 생성 및 챔버(10) 밖으로의 가스의 배기라고 하는 일련의 순환을 정상 상태로 할 필요가 있다. 즉, 성막 전부터 챔버 내의 프로세스 조건을 성막시와 같은 조건으로 설정함으로써, 프로세스시에 발생하는 라디칼이 챔버 내벽 등에서 소모되는 일 없이, 안정한 성막을 행할 필요가 있다.
이상에 설명한 바와 같이, 챔버 내벽에 존재하는 Al-F 등으로부터의 F의 탈리나 챔버 내벽으로부터의 SiF4나 F2의 탈리가 막질 저하의 발생 원인으로 된다고 하는 문제를 해소하는 동시에, 성막 전부터 프로세스 조건을 성막시와 같은 조건으로 설정한다고 하는 관점에서, 세정 후이고 성막 전(프리코트막 형성시)에, 성막시에 공급되는 가스와 같은 가스를 플라즈마화시켜, 그 플라즈마에 의해 챔버 내벽 표면을 피막한다(즉, 이른바, 프리코트막을 형성함). 이 프리코트막 형성시에 있어서의 서셉터(11)의 승강 동작에 대하여 다음에 설명한다.
(3) 프리코트막 형성
프리코트막 형성시, 챔버의 내벽 표면을 프로세스 처리시와 같은 SiO2막(게이트 산화막)으로 피막한다. 이 때, 서셉터(11)와 배플판(18)의 사이에는 소정의 간격(극간 S)이 발생한 상태이다. 이 때문에, 챔버 내에서는, 가스가 처리실(10u)로부터 배기실(10d)로 흐르기 쉽게 되어 있는 상태가 유지되고 있고, 처리실(10u) 의 압력 P1과 배기실(10d)의 압력 P2의 차는 작은 상태이다.
이 상태에서, 컨트롤러(40)가 프리코트막 형성을 위한 가스를 공급시키기 위해 구동 신호를 처리 가스 공급원(31)에 송신함으로써, 처리 가스 공급원(31)은 다시, 성막 가스와 같은 가스인 Ar 가스, SiH4 가스 및 O2 가스를 처리실(10u) 내에 공급한다. 이들 성막 가스는 마이크로파에 의해 플라즈마화된다.
상술한 바와 같이, 상기 수학식 1에 따르면, 각 실의 압력차를 작게 하고, 처리실(10u)의 저장 라디칼을 배기실(10d)의 저장 라디칼과 거의 같은 상태로 하는 것에 의해, 각 실의 성막 속도의 차를 없앨 수 있다. 이 결과, 처리실(10u) 및 배기실(10d)의 프리코트막의 막 두께를 보다 균일하게, 또한, 그 막질을 균일하게 성막할 수 있는 동시에, 그 프리코트막을 소정의 두께까지 형성하는 시간을 대폭 단축할 수 있다.
이와 같이, 본 실시예에서는, 세정시 및 프리코트막 형성시에는, 서셉터(11)와 배플판(18)의 사이에 극간 S가 마련된다. 이에 따라, 챔버 내벽을 보다 균등하게 세정할 수 있는 동시에, 프리코트막의 막 두께를 보다 균일하게, 또한, 그 막질을 균일하게 형성할 수 있다. 이 결과, 세정 시간 및 프리코트막 형성 시간을 대폭 단축하여, 스루풋을 향상시켜 생산성을 높일 수 있다.
또한, 성막시에는, 배플판(18)과 서셉터(11)의 사이에 극간이 거의 생기지 않도록 배플판(18)을 상승시킨다. 이 결과, 처리실(10u)의 저장 라디칼의 분포를 균일하게 할 수 있기 때문에, 기판 G에 양질의 게이트 산화막을 형성할 수 있다.
(실시예 1의 변형예 1)
다음에, 실시예 1의 변형예 1에 따른 마이크로파 플라즈마 처리 장치(100)의 구성 및 동작에 대하여, 도 5를 참조하면서 설명한다. 이 장치에서는, 배플판(18)을 지지하는 받침 기구가 서셉터(11)의 측벽부에도 배치되어 있고, 배플판(18)이 챔버(10)의 내벽 측부 또는 서셉터의 측벽부 중 어느 하나에 착탈 가능하게 고정되는 점에서, 배플판(18)이 챔버(10)의 내벽 측부에 고정되는 실시예 1의 마이크로파 플라즈마 처리 장치와 상이하다. 따라서, 이 상위점을 중심으로 설명한다.
챔버(10)의 내벽 측부에는, 대략 중앙에서 서셉터(11)쪽으로 돌출한 받침 기구(18a)가 부착되어 있다. 또한, 서셉터(11)의 측면 대략 중앙에도 챔버(10)의 측벽측으로 돌출한 받침 기구(18b)가 부착되어 있다. 배플판(18)은 서셉터(11)의 높이에 따라 챔버(10) 또는 서셉터(11) 중 어느 하나에 착탈 가능하게 고정되도록 되어 있다.
다음에, 본 변형예의 경우에 있어서의 서셉터(11)의 승강 동작을 설명한다.
(1) 성막
성막시, 컨트롤러(40)는 전동 모터(16a)로 구동 신호를 송신하고, 이 구동 신호에 따라 전동 모터(16a)가 동작함으로써 서셉터(11)는 소정의 높이까지 상승한다. 상승하고 있는 동안에, 배플판(18)은 그 하면 안쪽 가장자리에서 받침 기구(18b)에 지지되는 것에 의해 서셉터(11)의 측벽에 고정되어, 서셉터(11)와 함께 소정의 높이까지 상승한다(도 5의 위 부분).
이 상태에서는, 서셉터(11)와 배플판(18)의 간격은 거의 없다. 따라서, 처 리실(10u)의 압력 P1은 프로세스 조건에 합치한 상태로 유지된다. 이 결과, 저장 라디칼이 처리실 내에 가둬지기 때문에, 성막 속도가 빠르고, 또한, 균일성이 높은 SiO2막이 기판 G에 형성된다.
(2) 세정
챔버 내벽에 퇴적된 반응 생성물이 소정의 두께가 되면, 컨트롤러(40)는 전동 모터(16a)에 구동 신호를 송신하고, 전동 모터(16a)가 이 구동 신호에 따라 동작함으로써 서셉터(11)는 소정의 높이까지 하강한다(도 5의 아래 부분). 하강하고 있는 동안에, 서셉터(11)에 고정되어 있던 배플판(18)이 챔버(10)측의 받침 기구(18a)가 마련된 높이까지 하강하면, 배플판(18)은 그 하면 바깥쪽 가장자리에서 받침 기구(18a)에 계합(係合)한다. 그 후, 서셉터(11)가 더 하강하면, 배플판(18)은 서셉터(11)측의 받침 기구(18b)를 벗어나, 챔버(10)의 내벽 측부의 받침 기구(18a)에 고정되고, 서셉터(11)만이 소정의 높이까지 하강한다.
이 상태에서, 세정 가스가 공급되면, 서셉터(11)와 배플판(18)의 사이에 소정의 간격(극간 S)이 생겨 있기 때문에, 처리실(10u)의 압력 P1과 배기실(10d)의 압력 P2의 차는 작아지고, 처리실(10u)의 저장 라디칼을 배기실(10d)의 저장 라디칼과 거의 동일 상태로 하는 것에 의해, 각 실의 세정 속도의 차를 없앨 수 있다. 이에 따라, SiFX 가스나 NO, NO2 등의 가스의 생성 속도는 처리실(10u)과 배기실(10d)에서 거의 같게 된다. 이 결과, 실시예 1의 경우와 마찬가지로 처리실(10u) 및 배기실(10d)의 내벽은 보다 균등하게 세정되는 동시에, 그 세정 시간을 대폭 단축할 수 있다.
(3) 프리코트막 형성
프리코트막 형성시, 서셉터(11)의 높이는 그대로인 상태이기 때문에, 처리실(10u)의 압력 P1과 배기실(10d)의 압력 P2의 차는 작은 상태이다. 이 상태에서, 실시예 1의 경우와 마찬가지로 프리코트막 형성을 위한 가스가 공급되면, 생성된 저장 라디칼은 처리실(10u)과 배기실(10d)에서 거의 같은 상태로 되고, 각 실의 성막 속도의 차는 거의 없게 된다. 이 결과, 처리실(10u) 및 배기실(10d)의 프리코트막의 막 두께를 보다 균일하게, 또한, 그 막질을 균일하게 성막할 수 있는 동시에, 그 프리코트막을 소정의 두께까지 형성하는 시간을 대폭 단축할 수 있다.
이와 같이, 본 변형예에서는, 세정시 및 프리코트막 형성시에는, 배플판(18)을 챔버 벽면측에 고정한다. 이렇게 하여, 서셉터(11)와 배플판(18)의 사이에 극간 S를 마련하고, 저장 라디칼의 상태를 거의 같게 함으로써, 챔버 내벽을 보다 균등하게 세정할 수 있는 동시에, 프리코트막의 막 두께를 보다 균일하게, 또한, 그 막질을 균일하게 형성할 수 있다. 이 결과, 세정 시간 및 프리코트막 형성 시간을 대폭 단축할 수 있다. 이 결과, 스루풋을 향상시켜 생산성을 높일 수 있다.
한편, 본 변형예에서는, 성막시에는, 배플판(18)을 서셉터(11)측에 고정한다. 이에 따라, 배플판(18)을 서셉터(11)와 함께 상승시킬 수 있다. 기판 G가 탑재된 스테이지와 배플판(18)의 위치 관계는 SiO2막의 막질에 크게 영향을 미친다. 따라서, 본 변형예와 같이, 배플판(18)을 서셉터(11)와 함께 최적의 위치까지 이동 시키는 것에 의해, 기판 G에 의해 양질의 게이트 산화막을 형성할 수 있다.
(실시예 1의 변형예 2)
다음에, 실시예 1의 변형예 2에 따른 마이크로파 플라즈마 처리 장치(100)의 구성 및 동작에 대하여 도 6을 참조하면서 설명한다. 본 변형예에 따른 배플판(18)에는, 하나 또는 둘 이상의 관통 구멍과 그 관통 구멍을 개폐하는 개폐 기구가 마련되어 있고, 이 개폐 기구에 의해 관통 구멍의 개방도를 조절하는 점에서, 서셉터(11)를 승강시킴으로써 서셉터(11)와 배플판(18)의 극간 S를 조절하는 실시예 1의 마이크로파 플라즈마 처리 장치(100)와 상이하다. 따라서, 이 상위점을 중심으로 설명한다.
도 6에 XP로 나타낸 부분의 확대도인 도 7에 나타낸 바와 같이, 배플판(18)은 하나 또는 둘 이상의 관통 구멍(도면에서는 관통 구멍(18c1)만 표시)을 갖는 배플판 본체(18c)와 그 관통 구멍(18c1)을 개폐하는 개폐 기구(18d)를 갖고 있다.
배플판 본체(18c)는 서셉터(11)의 측면 대략 중앙에 부착된 받침 기구(18b)에 지지되는 것에 의해, 그 하면 안쪽 가장자리에서 서셉터(11)의 측벽 대략 중앙에 고정되어 있다. 개폐 기구(18d)는 배플판 본체(18c)와 동일 형상을 가지며, 배플판 본체(18c)의 관통 구멍과 동일 위치에 동일 형상의 관통 구멍을 갖고 있고, 배플판 본체(18c)의 상면에 밀착하여 마련되어 있다. 개폐 기구(18d)는 그 바깥쪽 둘레 부분 측벽에서 동력 전달 부재(50)에 나사골이 가공되어 있다. 동력 전달 부재(50)는 챔버(10)의 측벽을 관통하여 전동 모터(51)와 연결되어 있다. 챔버(10) 의 외벽으로서 동력 전달 부재(50)와의 경계는 O링(52)으로 밀봉되고, 이에 따라 챔버(10) 내의 기밀성이 유지되어 있다.
전동 모터(51)의 동력은 동력 전달 부재(50)를 거쳐 개폐 기구(18d)에 전달되고, 이에 따라 개폐 기구(18d)는 좌우 방향으로 슬라이드한다. 개폐 기구(18d)가 이와 같이 슬라이드하면, 배플판 본체(18c)의 관통 구멍(18c1)과 개폐 기구(18d)의 관통 구멍(18d1)의 위치가 어긋난다. 이렇게 하여, 극간(관통 구멍(18c1)과 관통 구멍(18d1)의 개구 면적 S)을 조절함으로써, 서셉터(11) 및 챔버(10)의 측벽간의 개구율이 제어된다.
다음에, 본 변형예에 따른 서셉터(11)의 승강 동작을 도 6을 참조하면서 설명한다.
(1) 성막
성막시, 컨트롤러(40)는 전동 모터(16a)에 구동 신호를 송신한다. 이 구동 신호에 대응하여 전동 모터(16a)로부터 출력되는 동력에 의해, 개폐 기구(18d)는 소정량만큼 슬라이드한다(도 6의 위 부분). 이에 따라, 배플판(18)을 관통하는 관통 구멍(18c1)과 관통 구멍(18d1)의 개구 면적 S는 작아진다. 이에 따라, 처리실(10u)의 압력 P1은 프로세스 조건에 합치한 값으로 유지된다. 이 결과, 저장 라디칼이 처리실 내에 가둬지기 때문에, 기판 G에, 성막 속도가 빠르고 균일성이 높은 성막을 실시할 수 있다.
(2) 세정
챔버 내벽에 퇴적된 반응 생성물이 소정의 두께가 되면, 컨트롤러(40)는 전 동 모터(16a)에 구동 신호를 송신한다. 이 구동 신호에 대응하여 전동 모터(16a)로부터 출력되는 동력에 의해, 개폐 기구(18d)는 소정량만큼 성막시와 반대의 방향으로 슬라이드한다(도 6의 아래 부분). 이에 따라, 배플판(18)을 관통하는 관통 구멍(18c1)과 관통 구멍(18d1)의 개구 면적 S는 커진다. 이렇게 하여, 세정시에는 서셉터(11) 및 배플판(18)간의 개구율을 크게 하는 것에 의해, 처리실(10u)의 압력 P1과 배기실(10d)의 압력 P2의 차를 작게 할 수 있다. 이 상태에서, 세정 가스가 챔버 내에 공급되어, 챔버 내벽이 세정된다. 이 결과, 처리실(10u) 및 배기실(10d)의 내벽은 보다 균등하게 세정되는 동시에, 그 세정 시간을 대폭 단축할 수 있다.
(3) 프리코트막 형성
프리코트막 형성시, 개폐 기구(18d)의 위치는 그대로인 상태에서 성막 가스가 공급된다. 이에 따라, 처리실(10u) 및 배기실(10d)에서 저장 라디칼의 상태를 거의 같게 할 수 있다. 이 결과, 처리실(10u) 및 배기실(10d)의 프리코트막의 막 두께를 보다 균일하게, 또한, 그 막질을 균일하게 성막할 수 있다. 이 결과, 그 프리코트막을 소정의 두께까지 형성하는 시간을 대폭 단축할 수 있다.
이상에 설명한 바와 같이, 본 변형예에서는, 배플판(18)의 개폐 기구(18d)를 제어함으로써, 세정시 및 프리코트막 형성시의 개구율이 성막시의 개구율보다 커지도록 하나 또는 둘 이상의 관통 구멍의 개방도를 조절한다. 이에 따라, 처리실(10u)의 압력 P1과 배기실(10d)의 압력 P2의 차를 작게 할 수 있다. 이 결과, 처리실(10u)과 배기실(10d)에서 저장 라디칼의 상태를 거의 같게 함으로써, 각 실 의 성막 속도의 차를 없앨 수 있다. 이에 따라, 처리실(10u) 및 배기실(10d)의 프리코트막의 막 두께를 보다 균일하게, 또한, 그 막질을 균일하게 성막할 수 있다. 한편, 성막시에는, 배플판(18)을 관통하는 관통 구멍(18c1)과 관통 구멍(18d1)의 개구 면적 S를 작게 함으로써, 저장 라디칼을 처리실 내에 가두는 것에 의해, 성막 속도가 빠르고, 또한, 균일한 성막을 기판 G에 실시할 수 있다.
(실시예 2)
다음에, 실시예 2에 따른 마이크로파 플라즈마 처리 장치(100)의 구성 및 동작에 대하여, 도 8을 참조하면서 설명한다. 이 장치에서는, 성막용 처리 가스를 공급하는 처리 가스 공급원(31) 및 세정 가스를 공급하는 리모트 플라즈마(35)(모두 도 8에서는 생략, 도 1 참조)에 부가하여, 배기실(10d)쪽에 프리코트막 형성용 가스를 공급하는 리모트 플라즈마(60)를 갖고 있는 점에서, 배기실(10d)쪽에 리모트 플라즈마(60)를 갖지 않는 실시예 1의 마이크로파 플라즈마 처리 장치와 상이하다. 또한, 본 실시예에서는 서셉터(11)가 승강하지 않는 점도, 서셉터(11)가 승강하는 실시예 1과 상이하다. 따라서, 이 상위점을 중심으로 설명한다.
마이크로파 플라즈마 처리 장치(100)의 외부에 마련된 리모트 플라즈마(60)는 처리 용기(60a), 코일(60b), 고주파 전원(60c), 용량 C 및 반송관(60d)을 갖고 있어, 챔버(10) 내에 프리코트막을 형성할 때에 이용된다.
처리 용기(60a)에는, 가스 공급원으로부터 프리코트막 형성용 가스로서, 기 판 G를 플라즈마 처리할 때의 처리 가스와 같은 가스(여기서는, SiH4 가스, O2 가스, Ar 가스)가 처리 가스 공급원(31)으로부터 공급된다. 고주파 전원(60c)으로부터 출력된 고주파 전력이 코일(60b)에 인가되면, 코일(60b)의 주위에 고주파 자계가 발생한다. 이 자계의 시간적 변화에 의해 유도된 유도 전계에 의해 처리 용기(60a) 내에서 가스가 플라즈마화된다. 이렇게 하여 생성된 유도 결합 플라즈마 중, 라디칼의 수명은 길다. 이 결과, 활성인 저장 라디칼만이 반송관(60d)을 거쳐 처리실(10u)에 공급된다.
다음에, 본 실시예에 따른 리모트 플라즈마(60)의 동작을 도 8을 참조하면서 설명한다.
(1) 성막시 및 세정시
성막시 및 세정시에는, 컨트롤러(40)는 리모트 플라즈마(60)에 구동 신호를 송신하지 않는다. 따라서, 리모트 플라즈마(60)는 성막시 및 세정시에는 동작하지 않는다(도 8의 위 부분). 따라서, 성막시에는, 도 1에 나타낸 처리 가스 공급원(31)으로부터 성막 가스가 처리실(10u)에 공급되어, 기판 G에 성막 처리가 실시된다. 또한, 세정시에는, 세정 가스가 처리 가스 공급원(31) 및 리모트 플라즈마(35)로부터 처리실(10u)로 공급되어, 챔버(10) 내가 세정된다.
(2) 프리코트막 형성시
프리코트막 형성시, 처리실(10u)에는, 처리 가스 공급원(31)으로부터 SiH4 가스, O2 가스, Ar 가스가 공급된다. 공급된 가스는 유전체 파츠(24)를 투과한 마 이크로파의 전계 에너지에 의해 플라즈마화하고, 이에 따라, 챔버(10) 내부에 프리코트막으로서의 게이트 산화막이 형성된다.
통상은, 처리실(10u)에 공급된 가스는 처리실(10u)의 성막에 우선적으로 사용되기 때문에, 배기실(10d)에 흐르는 가스(저장 라디칼) 잔류량은 적어진다. 또한, 배플판(18)과 서셉터(11)간의 극간 S는 거의 없다. 그렇게 하면, 배플판(18)에 의해 구획된 처리실(10u)과 배기실(10d)의 압력차는 커져, 저장 라디칼이 처리실(10u) 내에 가둬지기 때문에, 처리실(10u)로부터 배기실(10d)로 흘러 들어오는 저장 라디칼이 매우 적어진다. 이 결과, 배기실(10d)의 프리코트막은 처리실(10u)의 프리코트막에 비해 매우 얇아져 버린다.
그러나, 본 실시예에서는, 리모트 플라즈마(60)가 저장 라디칼을 배기실(10d)에 공급한다. 구체적으로는, 우선, 컨트롤러(40)가 고주파 전원(60c)에 구동 신호를 송신한다. 고주파 전원(60c)은 이 구동 신호에 따라 고주파 전력을 코일(60b)에 공급한다(도 8의 아래 부분).
고주파 전력이 코일(60b)에 인가되면, 코일(60b)의 주위에 고주파 자계가 발생하고, 이 자계에 의해 유도된 고주파 전계의 에너지에 의해, 처리 용기(60a) 내의 가스가 플라즈마화된다. 이렇게 하여 생성된 유도 결합 플라즈마 중, 라디칼의 수명은 길다. 이 결과, 활성인 저장 라디칼만이 반송관(60d)을 거쳐 처리실(10u)에 공급된다.
이에 따르면, 처리실(10u)로부터 배기실(10d)로 흐르는 저장 라디칼의 잔류량이 적더라도, 리모트 플라즈마(60)로부터 공급된 저장 라디칼에 의해, 배기 실(10d) 내벽 표면에서의 프리코트막의 형성이 촉진된다. 이 결과, 서셉터(11)를 승강시키지 않더라도, 처리실(10u)의 프리코트막과 배기실(10d)의 프리코트막의 막 두께를 보다 균일하게, 또한, 그 막질을 균일하게 성막할 수 있다.
이상에 설명한 바와 같이, 각 실시예에 따르면, 처리실(10u) 내벽 및 배기실(10d) 내벽에 의해 균일한 막질로, 또한, 거의 같은 막 두께의 프리코트막을 보다 단시간에 형성할 수 있다. 이에 따라, 프로세스시에 챔버 내벽에 퇴적되는 퇴적물의 두께가 막이 벗겨지는 두께에 도달하기까지의 시간이 길어지기 때문에, 챔버 내를 세정하는 사이클을 길게 할 수 있다. 이 결과, 스루풋을 향상시켜 생산성을 높일 수 있다.
또, 각 실시예에 있어서, 서셉터(11) 및 챔버(10) 내부 측벽간의 개구율은 1.4%가 바람직하다.
또한, 실시예 1 및 실시예 1의 변형예 1에서는, 서셉터(11)를 소정 위치까지 강하시키기 위한 구동 신호가 세정시에 출력되었다. 그러나, 세정시 대신에 프리코트막 형성시에 컨트롤러(40)가 구동 신호를 출력하도록 하더라도 좋다. 이에 따르면, 프리코트막 형성시에 서셉터(11)가 소정 위치까지 하강한다.
마찬가지로, 실시예 1의 변형예 2에서는, 개폐 기구(18d)를 소정 위치까지 슬라이드시키기 위한 구동 신호가 세정시에 출력되었다. 그러나, 프리코트막 형성시에 컨트롤러(40)가 구동 신호를 출력하도록 하더라도 좋다. 이에 따르면, 프리코트막 형성시에, 개구율이 커지도록 제어된다.
또한, 상기 각 실시예에 있어서, 세정 가스로는, NF3, SF6, CF4 등의 F계 세정 가스뿐만 아니라, 예컨대, Cl이나 Cl2 등의 염소계 세정 가스를 이용하여도 좋다.
또한, 상기 각 실시예에 있어서, 세정시의 F 라디칼의 생성 및 프리코트막 형성시의 저장 라디칼의 생성에는, 리모트 플라즈마에 의해 플라즈마를 생성하는 방법이 이용되었다. 그러나, 각 라디칼의 생성 방법은 이에 한정되지 않고, 예컨대, 열이나 빛, 방사선 등의 에너지를 공급함으로써 생성할 수도 있다.
또한, 세정시, 처리 가스 공급원(31) 및 리모트 플라즈마(35)는 병용하여 이용되어도 좋고, 리모트 플라즈마(35)만이 이용되어도 좋으며, 처리 가스 공급원(31)만이 이용되어도 좋다.
상기 실시예에 있어서, 각부의 동작은 서로 연관되어 있어, 서로의 연관을 고려하여, 일련의 동작으로서 치환할 수 있다. 그리고, 이와 같이 치환하는 것에 의해, 마이크로파 플라즈마 처리 장치(100)의 실시예를, 마이크로파 플라즈마 처리 장치(100)를 제어하는 방법의 실시예로 할 수 있다.
이상, 첨부 도면을 참조하면서 본 발명의 바람직한 실시예에 대하여 설명했지만, 본 발명은 이러한 예에 한정되지 않는 것은 말할 필요도 없다. 당업자라면, 특허 청구의 범위에 기재된 범주 내에 있어서, 각종 변경예 또는 수정예에 생각이 이를 수 있는 것은 분명하고, 그들에 대해서도 당연히 본 발명의 기술적 범위에 속하는 것으로 이해된다.
예컨대, 본 발명에 따른 플라즈마 처리 장치는 마이크로파 플라즈마 처리 장치에 한정되지 않고, 유도 결합형 플라즈마 처리 장치이어도 좋고, 용량 결합형 플라즈마 처리 장치이어도 좋다.
또한, 본 발명에 따른 플라즈마 처리 장치는 타일 형상의 복수의 유전체를 갖는 마이크로파 플라즈마 처리 장치이어도 좋고, 타일 형상으로 분단되어 있지 않은 대면적의 유전체를 갖는 마이크로파 플라즈마 처리 장치라도 좋다.
또한, 본 발명에 따른 플라즈마 처리 장치에서는, CVD 처리에 한정되지 않고, 애싱 처리, 에칭 처리 등, 생성된 플라즈마에 의해 실행 가능한 모든 처리를 행할 수 있다.
이상에 설명한 바와 같이, 본 발명에 의하면, 챔버의 내벽 표면을 보다 균일한 두께로 피막하는 플라즈마 처리 장치 및 그 플라즈마 처리 장치의 제어 방법을 제공할 수 있다.
본 발명은 챔버의 내벽을 보다 균일한 두께로 피막하는 플라즈마 처리 장치 및 그 플라즈마 처리 장치를 제어하는 방법에 적용 가능하다.

Claims (12)

  1. 탑재대와 배플(baffle)판에 의해, 피처리체에 대하여 플라즈마 처리를 실시하는 처리실과 가스를 배기하는 배기실로 구획된 챔버를 갖는 플라즈마 처리 장치로서,
    상기 탑재대 또는 상기 배플판의 적어도 어느 하나를 제어함으로써, 상기 챔버의 내벽 표면에 프리코트막을 형성할 때에 상기 처리실의 압력과 상기 배기실의 압력이 가까워지도록 상기 탑재대 및 상기 챔버 측벽간의 개구율을 변화시키는 플라즈마 처리 장치.
  2. 제 1 항에 있어서,
    상기 배플판은 상기 챔버의 내벽에 고정되고,
    상기 탑재대를 승강함으로써, 상기 챔버의 내벽 표면에 프리코트막을 형성할 때의 상기 개구율이 피처리체를 플라즈마 처리할 때의 상기 개구율보다 커지도록 상기 탑재대와 상기 배플판의 간격을 조절하는 플라즈마 처리 장치.
  3. 제 1 항에 있어서,
    상기 배플판은 상기 챔버 또는 상기 탑재대 중 어느 하나에 착탈 가능하게 고정되고,
    피처리체를 플라즈마 처리할 때에는 상기 탑재대를 승강하는 동안에 상기 배플판을 상기 탑재대에 고정하고, 상기 챔버의 내벽 표면에 프리코트막을 형성할 때에는 상기 탑재대를 승강하는 동안에 상기 배플판을 상기 챔버에 고정함으로써, 상기 챔버의 내벽 표면에 프리코트막을 형성할 때의 상기 개구율이 피처리체를 플라즈마 처리할 때의 상기 개구율보다 커지도록 상기 탑재대와 상기 배플판의 간격을 조절하는 플라즈마 처리 장치.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 배플판은 하나 또는 둘 이상의 관통 구멍과 그 관통 구멍을 개폐하는 개폐 기구를 갖고,
    상기 배플판의 개폐 기구를 제어함으로써, 상기 챔버의 내벽 표면에 프리코트막을 형성할 때의 상기 개구율이 피처리체를 플라즈마 처리할 때의 상기 개구율보다 커지도록, 상기 하나 또는 둘 이상의 관통 구멍의 개방도를 조절하는 플라즈마 처리 장치.
  5. 탑재대와 배플판에 의해, 피처리체에 대하여 플라즈마 처리를 실시하는 처리실과 가스를 배기하는 배기실로 구획된 챔버를 갖는 플라즈마 처리 장치로서,
    상기 챔버를 세정한 후, 상기 챔버의 내벽 표면으로의 프리코트막의 형성을 촉진하는 라디칼을 상기 배기실에 공급하는 플라즈마 처리 장치.
  6. 제 5 항에 있어서,
    상기 라디칼은 리모트 플라즈마에 의해 생성되는 플라즈마 처리 장치.
  7. 제 6 항에 있어서,
    상기 라디칼은 피처리체에 플라즈마 처리를 실시할 때에 공급되는 가스와 동일한 가스를 상기 리모트 플라즈마에 공급함으로써 생성되는 플라즈마 처리 장치.
  8. 제 1 항, 제 2 항, 제 3 항, 제 5 항, 제 6 항, 제 7 항 중 어느 한 항에 있어서,
    상기 플라즈마 처리 장치는 슬롯을 통해 유전체를 투과한 마이크로파에 의해 상기 챔버 내에 공급된 처리 가스를 플라즈마화시켜, 피처리체에 플라즈마 처리를 실시하는 마이크로파 플라즈마 처리 장치인 플라즈마 처리 장치.
  9. 제 8 항에 있어서,
    상기 유전체는 복수의 유전체 파츠(parts)로 구성되고, 각 유전체 파츠에는, 하나 또는 둘 이상의 슬롯이 마련되며, 상기 하나 또는 둘 이상의 슬롯을 통해 각 유전체 파츠를 각각 투과한 마이크로파에 의해 챔버 내에 공급된 처리 가스를 플라즈마화시켜, 피처리체에 플라즈마 처리를 실시하는 플라즈마 처리 장치.
  10. 탑재대와 배플판에 의해, 피처리체에 대하여 플라즈마 처리를 실시하는 처리실과 가스를 배기하는 배기실로 구획된 챔버를 갖는 플라즈마 처리 장치의 제어 방법으로서,
    피처리체를 플라즈마 처리할 때, 정해진 위치까지 상기 탑재대를 승강하고,
    챔버의 세정시 또는 세정 후, 상기 탑재대와 상기 배플판의 간격을 두기 위해 정해진 위치까지 상기 탑재대를 승강하는 플라즈마 처리 장치의 제어 방법.
  11. 하나 또는 둘 이상의 관통 구멍 및 그 관통 구멍을 개폐하는 개폐 기구를 갖는 배플판과 탑재대에 의해 피처리체에 대하여 플라즈마 처리를 실시하는 처리실과 가스를 배기하는 배기실로 구획된 챔버를 갖는 플라즈마 처리 장치의 제어 방법으로서,
    피처리체를 플라즈마 처리할 때, 정해진 위치까지 상기 개폐 기구를 슬라이드하고,
    챔버의 세정시 또는 세정 후, 상기 챔버의 내벽 표면에 프리코트막을 형성할 때의 상기 관통 구멍의 개방도를 피처리체를 플라즈마 처리할 때의 상기 관통 구멍의 개방도보다 크게 하기 위한 정해진 위치까지 상기 개폐 기구를 슬라이드하는 플라즈마 처리 장치의 제어 방법.
  12. 탑재대와 배플판에 의해, 피처리체에 대하여 플라즈마 처리를 실시하는 처리실과 가스를 배기하는 배기실로 구획된 챔버를 갖는 플라즈마 처리 장치의 제어 방법으로서,
    상기 챔버를 세정한 후, 상기 챔버의 내벽 표면으로의 프리코트막의 형성을 촉진하는 라디칼을 별도로 상기 배기실에 공급하는 플라즈마 처리 장치의 제어 방법.
KR1020070005795A 2006-01-18 2007-01-18 플라즈마 처리 장치 및 플라즈마 처리 장치의 제어 방법 KR100854808B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2006-00010144 2006-01-18
JP2006010144A JP5324026B2 (ja) 2006-01-18 2006-01-18 プラズマ処理装置およびプラズマ処理装置の制御方法

Publications (2)

Publication Number Publication Date
KR20070076545A KR20070076545A (ko) 2007-07-24
KR100854808B1 true KR100854808B1 (ko) 2008-08-27

Family

ID=38449823

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070005795A KR100854808B1 (ko) 2006-01-18 2007-01-18 플라즈마 처리 장치 및 플라즈마 처리 장치의 제어 방법

Country Status (4)

Country Link
JP (1) JP5324026B2 (ko)
KR (1) KR100854808B1 (ko)
CN (2) CN100454498C (ko)
TW (1) TW200731357A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170020323A (ko) * 2014-06-19 2017-02-22 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치

Families Citing this family (332)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8008166B2 (en) 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
KR100927375B1 (ko) * 2007-09-04 2009-11-19 주식회사 유진테크 배기 유닛 및 이를 이용하는 배기 조절 방법, 상기 배기 유닛을 포함하는 기판 처리 장치
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
KR101490431B1 (ko) * 2008-02-15 2015-02-11 엘아이지에이디피 주식회사 배플 개구량 조절이 가능한 플라즈마 처리장치
JP5202372B2 (ja) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 成膜装置のメタル汚染低減方法、半導体装置の製造方法、記憶媒体及び成膜装置
CN101620981B (zh) * 2008-06-30 2011-07-06 中芯国际集成电路制造(北京)有限公司 半导体制程中无机膜层刻蚀方法及浅沟槽隔离区形成方法
WO2010008021A1 (ja) * 2008-07-15 2010-01-21 キヤノンアネルバ株式会社 プラズマ処理方法及びプラズマ処理装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR20100120336A (ko) * 2009-05-06 2010-11-16 인제대학교 산학협력단 확산펌프 유도결합 플라즈마 처리장치
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011077442A (ja) * 2009-10-01 2011-04-14 Tokyo Electron Ltd プラズマ処理方法およびプラズマ処理装置
JP5085752B2 (ja) 2010-03-24 2012-11-28 株式会社東芝 半導体製造装置のクリーニング方法、半導体製造装置、及び管理システム
JP5171969B2 (ja) * 2011-01-13 2013-03-27 東京エレクトロン株式会社 基板処理装置
JP5955062B2 (ja) * 2011-04-25 2016-07-20 東京エレクトロン株式会社 プラズマ処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) * 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) * 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9330927B2 (en) * 2013-08-28 2016-05-03 Lam Research Corporation System, method and apparatus for generating pressure pulses in small volume confined process reactor
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
JP2015090916A (ja) * 2013-11-06 2015-05-11 東京エレクトロン株式会社 基板処理装置及び基板処理方法
KR102175082B1 (ko) * 2013-12-31 2020-11-05 세메스 주식회사 기판 처리 장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102240923B1 (ko) * 2014-06-30 2021-04-15 세메스 주식회사 기판 처리 장치
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
KR101629213B1 (ko) * 2015-02-02 2016-06-10 (주) 일하하이텍 기판 처리 장치 및 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102444873B1 (ko) * 2018-04-06 2022-09-19 주식회사 원익아이피에스 기판처리장치 및 이를 이용한 기판처리방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP7373302B2 (ja) * 2019-05-15 2023-11-02 株式会社Screenホールディングス 基板処理装置
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN113889391B (zh) * 2020-07-02 2024-03-12 中微半导体设备(上海)股份有限公司 等离子体处理装置及其绝缘窗组件
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
JP7450494B2 (ja) 2020-08-18 2024-03-15 東京エレクトロン株式会社 基板処理装置および基板処理装置のガス切り替え方法
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113088936B (zh) * 2021-03-31 2023-04-07 长江存储科技有限责任公司 一种膜层生长设备及方法
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11846019B2 (en) 2021-10-01 2023-12-19 Applied Materials, Inc. One-body shadow frame support with flow controller
CN116121735A (zh) * 2022-12-09 2023-05-16 江苏籽硕科技有限公司 一种等离子体增强化学气相沉积设备
CN117089822B (zh) * 2023-10-20 2024-01-02 研微(江苏)半导体科技有限公司 半导体反应腔室及其隔离装置和隔离控制方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11340149A (ja) * 1998-05-28 1999-12-10 Hitachi Ltd プラズマ処理装置及びプラズマ処理方法
KR20030087079A (ko) * 2001-12-13 2003-11-12 동경 엘렉트론 주식회사 플라즈마 처리 장치

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03290926A (ja) * 1990-04-06 1991-12-20 Sumitomo Metal Ind Ltd プラズマ装置及び該装置の使用方法
JP3061346B2 (ja) * 1994-03-07 2000-07-10 東京エレクトロン株式会社 処理装置
JP3192370B2 (ja) * 1995-06-08 2001-07-23 東京エレクトロン株式会社 プラズマ処理装置
JP3258885B2 (ja) * 1995-12-27 2002-02-18 東京エレクトロン株式会社 成膜処理装置
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US6296747B1 (en) * 2000-06-22 2001-10-02 Applied Materials, Inc. Baffled perforated shield in a plasma sputtering reactor
JP2002043288A (ja) * 2000-07-24 2002-02-08 Sony Corp プラズマエッチング装置及びこのシーズニング方法並びにこれ等を用いるプラズマエッチング方法
JP4731760B2 (ja) * 2001-08-23 2011-07-27 東京エレクトロン株式会社 真空処理装置および真空処理方法
JP3993493B2 (ja) * 2002-09-09 2007-10-17 株式会社日立製作所 プラズマエッチング装置
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
JP4381001B2 (ja) * 2003-02-25 2009-12-09 シャープ株式会社 プラズマプロセス装置
US7972467B2 (en) * 2003-04-17 2011-07-05 Applied Materials Inc. Apparatus and method to confine plasma and reduce flow resistance in a plasma reactor

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11340149A (ja) * 1998-05-28 1999-12-10 Hitachi Ltd プラズマ処理装置及びプラズマ処理方法
KR20030087079A (ko) * 2001-12-13 2003-11-12 동경 엘렉트론 주식회사 플라즈마 처리 장치

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170020323A (ko) * 2014-06-19 2017-02-22 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US11101114B2 (en) 2014-06-19 2021-08-24 Tokyo Electron Limited Plasma processing apparatus
KR20210143326A (ko) * 2014-06-19 2021-11-26 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
KR102342921B1 (ko) * 2014-06-19 2021-12-23 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
KR102449299B1 (ko) 2014-06-19 2022-09-29 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US11804366B2 (en) 2014-06-19 2023-10-31 Tokyo Electron Limited Plasma processing apparatus

Also Published As

Publication number Publication date
JP2007194361A (ja) 2007-08-02
TW200731357A (en) 2007-08-16
CN101423928A (zh) 2009-05-06
CN101005031A (zh) 2007-07-25
JP5324026B2 (ja) 2013-10-23
KR20070076545A (ko) 2007-07-24
CN100454498C (zh) 2009-01-21

Similar Documents

Publication Publication Date Title
KR100854808B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 장치의 제어 방법
KR101111556B1 (ko) 듀얼 챔버 플라즈마 처리 장치
US8608901B2 (en) Process chamber cleaning method in substrate processing apparatus, substrate processing apparatus, and substrate processing method
KR100968990B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
EP2080817B1 (en) Method and apparatus for chamber cleaning by in-situ plasma excitation
KR20150128582A (ko) 에칭 방법
KR20060085334A (ko) 성막 장치 및 성막 방법
WO2007088894A1 (ja) 基板処理装置、ならびにそれに用いられる基板載置台およびプラズマに曝される部材
US20030066486A1 (en) Microwave heat shield for plasma chamber
US20170087602A1 (en) Method and apparatus for treating substrate
WO2021033612A1 (ja) クリーニング方法及びマイクロ波プラズマ処理装置
US10763106B2 (en) Method for processing workpiece
WO2021205928A1 (ja) クリーニング方法およびプラズマ処理装置
JP2005159049A (ja) プラズマ成膜方法
KR100877404B1 (ko) 플라즈마 처리 장치의 제어 방법, 플라즈마 처리 장치 및 기록 매체
WO2022264829A1 (ja) クリーニング方法及びプラズマ処理装置
JP5039120B2 (ja) プラズマ処理装置用のアルミナ部材及びプラズマ処理装置用のアルミナ部材の製造方法
KR102523367B1 (ko) 실리콘 구조물 표면 러프니스 개선 방법 및 기판 처리 장치
WO2022202428A1 (ja) クリーニング方法及びプラズマ処理装置
WO2022102463A1 (ja) 基板処理方法および基板処理装置
JP2010077489A (ja) 基板処理装置
KR20230085168A (ko) 기판 처리 방법 및 기판 처리 장치
KR20220015943A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
JP5410881B2 (ja) プラズマ処理装置とプラズマ処理方法
KR20080060783A (ko) 돔 형상의 상부 챔버를 구비하는 플라즈마 처리 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120802

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130801

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140808

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee