KR101803768B1 - 회전형 세미 배치 ald 장치 및 프로세스 - Google Patents

회전형 세미 배치 ald 장치 및 프로세스 Download PDF

Info

Publication number
KR101803768B1
KR101803768B1 KR1020157023244A KR20157023244A KR101803768B1 KR 101803768 B1 KR101803768 B1 KR 101803768B1 KR 1020157023244 A KR1020157023244 A KR 1020157023244A KR 20157023244 A KR20157023244 A KR 20157023244A KR 101803768 B1 KR101803768 B1 KR 101803768B1
Authority
KR
South Korea
Prior art keywords
delete delete
ald
gas supply
substrate
gas
Prior art date
Application number
KR1020157023244A
Other languages
English (en)
Other versions
KR20150138173A (ko
Inventor
시게미 무라카와
Original Assignee
시게미 무라카와
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 시게미 무라카와 filed Critical 시게미 무라카와
Publication of KR20150138173A publication Critical patent/KR20150138173A/ko
Application granted granted Critical
Publication of KR101803768B1 publication Critical patent/KR101803768B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

반응 가스 공급 수단을, 샤워 플레이트와, 캐비티 및 그를 에워싸는 격벽에 의해 구성한 회전형 세미 배치 ALD 장치 혹은 해당 장치를 이용한 ALD 프로세스에 관한 것으로서, 퍼지 가스 수단을, 피처리 기판과의 사이의 좁은 갭 공간을 횡방향으로 높은 유속으로 균일하게 가스를 흘리는 샤워 플레이트로 구성했거나, 모든 반응 가스 및 퍼지 가스 공급 수단을 진공 배기 홈으로 에워싼 구조를 갖거나, 서로 다른 반응 가스 공급 수단을 피처리 기판의 직경 이상으로 이격시킨 배치 구조를 갖거나, 좁은 갭을 정밀하게 제어하기 위해 에어 쿠션과 스프링 메커니즘 등의 홀딩 수단을 결합한 구조를 갖거나, 피처리 기판의 수와 가스 공급 수단의 구성에 따라 서셉터 회전 수 및 각각의 반응 가스의 공급을 시작 및 종료하는 타이밍을 제어하거나, 피처리 기판의 반응 가스에 대한 폭로 시간을, 피처리 기판 표면패턴의 최대 어스펙트비로부터 구한 ALD 포화 반응 시간보다 길게 유지하도록 기판 회전 속도를 제어하는 것 중 적어도 어느 하나를 특징으로 한다.

Description

회전형 세미 배치 ALD 장치 및 프로세스{ROTATING SEMI-BATCH ALD DEVICE AND PROCESS}
본 발명은 반도체, 플랫 패널, 태양 전지 혹은 LED 등의 전자 디바이스 제조에 있어서, 높은 생산성, 가스 소비량 절감 및 고품질의 성막을 제공하는 원자층 퇴적 장치 및 그 프로세스에 관한 것이다.
1970년대에 에피택셜 기술로서 Tuomo Suntola 등의 그룹에 의해 발명된 이래 원자층 퇴적법(ALD:Atomic Layer Deposition) 기술은 저온에서 낮은 불순물 농도, 그리고 높은 균일 피복 특성(스텝 커버리지)을 구현하는 고품질 성막 기술로서 반도체, 플랫 패널, 태양 전지 혹은 LED 등의 전자 디바이스 제조에 있어서 이용되어 왔다. 특히, 비특허 문헌 1 내지 4에 소개되어 있는 바와 같이, 개구 크기에 대해 큰 깊이를 갖는 높은 어스펙트비 형상의 DRAM 커패시터 형성 프로세스에 있어서 Al2O3나 HfO2 등의 높은 유전률 박막에서는 높은 스텝 커버리지가 요구되기 때문에 ALD는 디바이스 성능을 결정하는 크리티컬 기술의 하나가 되었다. 또한 최근에는 매우 고가의 EUV 노광 장치의 대체 기술로서, ArF 침지 노광 장치의 해상도 한계 능력을 향상시키는 더블 패턴 기술이 개발되고 있으며, ALD는 그 핵심적인 기술로서 주목받고 있다. 여기서는 유기 레지스트막이 열화되지 않는 200℃ 정도 이하의 낮은 온도에서, 패턴 형상에 의존하지 않고 균일성이 뛰어나면서 스텝 커버리지가 양호한 10 내지 20nm 두께의 실리콘 산화막을 패터닝된 레지스트막 위에 성막할 필요가 있으며, 비특허 문헌 5에 소개되어 있는 바와 같이, SiO2막의 ALD 기술은 그 솔루션으로서 양산 기술 개발이 적극적으로 진행되고 있다. 나아가, 휴대 단말기나 태블릿 PC에 탑재되어 있는 저소비 전력 반도체를 제조함에 있어서, ALD 기술에 의한 High-k/Metal 게이트 형성은 필수적인 기술이 되었다. 반도체 제조에서는 이들 프로세스 외에도, TiN이나 Ru 등의 금속을 이용한 DRAM 커패시터 상하 전극 형성(비특허 문헌 6 내지 10), SiN을 이용한 게이트 전극 사이드월 형성, 콘택트 및 쓰루홀에서의 배리어 시드 형성, NAND 플래시 메모리의 High-k 절연막 및 차지 트랩막 형성 등 다수 개의 ALD의 적용 프로세스가 개발되고 있으며, 향후 점점 더 주요한 기술이 될 것으로 예상된다. 플랫 패널, LED나 태양 전지에서도 높은 스텝 커버리지이면서 저온 프로세스가 가능한 ALD는 ITO막 형성이나 패시베이션막 형성에 있어서 사용이 검토되기 시작하였다.
ALD 성막 장치로는 종래 매엽 ALD 장치 및 배치(batch)로 장치가 주류였다. 특히, High-k/Metal 게이트 형성에서는 실리콘 기판의 플라즈마 산질화나 금속 성막을 High-k ALD와 동일 진공 분위기 하에서 연속적으로 수행할 필요가 있기 때문에 클러스터형 시스템에 탑재된 하나의 챔버로서 매엽식 ALD 장치가 널리 사용되고 있다. 한편, 배치로 ALD 장치는 DRAM 커패시터의 High-k 절연막 형성에서 가장 대중적으로 사용되고 있다.
그러나, 매엽, 배치 ALD 장치 모두 1시간 당 기판 처리 수(쓰루풋)가 시스템 당 20WPH(Wafers Per Hour) 정도 이하로서, 다른 성막 기술과 비교하여 매우 생산성이 낮다. 이는 금속 함유 원료 가스와 오존 등의 비금속 가스가 반응 용기 중에서 뒤섞이지 않도록 각각의 가스 밸브의 개폐에 의해 각각의 가스를 교대로 프로세스 챔버에 도입함으로써 원자층을 몇 층이고 퇴적해 가는 스위칭형 ALD의 본질적인 결함이다. 예를 들면, TiN의 매엽 ALD 성막에서는 하나의 ALD 사이클은 진공 배기, Ti 프리커서 공급, 진공 배기, 퍼지 가스 공급, 진공 배기, NH3 공급, 진공 배기, 퍼지 가스 공급의 8단계로 구성되며, 각 단계마다 밸브 개폐, 프로세스 챔버 압력 안정화 및 각 반응 수행에 총 1초 전후의 시간이 필요하며, 하나의 ALD 사이클을 완료하려면 수초 정도 이상의 시간이 소요된다. 단일 ALD 사이클 당 TiN 막두께 증가량은, 비특허 문헌 1에 보고되고 있는 바와 같이, 프리커서끼리의 입체 장애(立體障害)로 인해 1/4 TiN층에서 대략 0.1nm 정도이다. 따라서, 10nm의 막두께의 TiN막을 매엽 ALD에 의해 형성하려면 프로세스 시간만 수백초 필요하며, 프로세스 챔버 당 쓰루풋은 매시 4장 정도밖에 되지 않는다. 성막 장치 시스템이 4프로세스 챔버로 구성되어 있어도 쓰루풋은 16장 정도이다. 한편, 배치 ALD에서는 프로세스 챔버로에 100장 정도의 기판을 채워넣을 수 있는데, 챔버로의 용량도 수십 배 이상이 되기 때문에 가스 공급, 진공 배기에 필요한 시간도 수십 배가 되어 단일 ALD 사이클 시간은 통상적으로 수분 필요해진다. 더 이상 고속으로 가스 공급 및 진공 배기를 수행하면 가스 치환이 불완전해져 혼합 가스끼리의 반응으로 인해 파티클이 발생한다. 따라서, 로를 이용한 배치형 ALD에서도 막두께 10nm의 TiN막을 퇴적하려면 수 시간 필요하며, 쓰루풋은 매시 십수 장 정도로서 매엽 ALD와 동등한 정도에 그친다.
이들 스위칭형 ALD 프로세스의 낮은 쓰루풋을 해결하는 기술로서, 최근 스택형이나 회전형의 세미 배치 ALD 장치가 연구 및 개발되고 있다. 스택형 ALD는, 예를 들어 특허 문헌 1 혹은 2에 보이는 바와 같이, 매엽 ALD 프로세스 챔버를 몇 층 세로 방향으로 퇴적하고, 모든 챔버를 동일 타이밍에서 스위칭하는 방법이다. 쓰루풋은 매엽 ALD 챔버에 비해 몇 배 향상되지만, 장치 제조 비용도 비싸지기 때문에 본격적 사용에 이르지 못했다. 한편, 회전형 세미 배치 ALD 장치는 복수 개의 피처리 기판을 원호형으로 탑재한 회전 테이블과, 그 상부 공간에 부채꼴 모양으로 배치되고 복수 개의 반응 가스 및 퍼지 가스 공급 수단으로 구성되며, 해당 회전 테이블을 회전시킴으로써 각각의 기판이 각각의 가스에 차례로 폭로되어 ALD 프로세스를 진행시키는 것이다. 종래의 스위칭형 ALD에서 필수였던 가스 공급과 진공 배기에 수반되는 가스 스위칭이 없기 때문에 고속 성막이 가능하고, 또한 스위칭 가스 밸브도 불필요하기 때문에 장치 제조 비용도 비교적 저렴하게 억제할 수 있다. 현재로서는 이 회전형 세미 배치 ALD의 양산화 개발이 적극적으로 진행되고 있다.
최초의 회전형 세미 배치 ALD 장치는 특허 문헌 3에 의해 1990년에 출원되었다. 거기서는 큰 원통형 진공 용기가 두 개의 반응 가스실과 그들 사이에 배치된 두 개의 퍼지 가스실의 총 4개의 부채꼴 서브 챔버로 분할되고, 각 서브 챔버 중심부 상방에 반응 가스 공급 수단이 배치되고, 가스 배기부는 두 개의 퍼지 가스실의 하부에 설치되어 있다. 디스크형 테이블을 회전시킴으로써 테이블 위의 복수 개의 피처리 기판이 각 서브 챔버를 통과하고, ALD 성막이 수행된다. 본 발명이 이후의 회전형 세미 배치 ALD 장치의 원형이 되었다. 그러나, 이 방식에서는 각 서브 챔버 용적이 크고 또한 가스 공급부가 각 서브 챔버 중심부에만 배치되어 있기 때문에 반응 가스 및 퍼지 가스의 흐름이 국소적으로 치우치거나 체류된다는 결점이 있었다. 이 결과, 장소에 따라 ALD 반응이 불충분하여 스텝 커버리지가 열화되거나 체류 가스가 다른 반응 가스와 혼합하여 파티클이 발생하는 등의 문제가 있었다.
이 이후, 회전형 세미 배치 ALD에는 다양한 개량이 이루어져 왔으며, 반응 가스의 분리 방법에 따라 크게 4종류의 타입으로 분류할 수 있다. 첫 번째는 격벽 분리형 ALD 장치로서, 특허 문헌 3과 동일하게, 진공 용기를 격벽에 의해 비교적 큰 서브 챔버로 분할하고, 각각의 서브 챔버에서의 반응 가스 혹은 퍼지 가스의 흐름이 균일해지도록 가스의 공급 및 배기 방법을 궁리하고 있다. 특허 문헌 4 내지 7이 그 구체적인 예이다. 특허 문헌 4에서는 반응 가스 서브 챔버 사이에 진공 배기실을 배치하고, 반응 가스가 반응 가스 서브 챔버로부터 진공 배기실을 향해 균일하게 흐르도록 하고 있다. 또한, 특허 문헌 5에서는 반응 가스실 사이에 퍼지 가스실을 설치하고, 퍼지 가스를 중심으로부터 주변 방향으로 흘림으로써 반응 가스의 분리 특성을 개선시키고 있다. 나아가, 특허 문헌 6에서는 반응 가스 서브 챔버를 에워싸고 있는 격벽으로부터 퍼지 가스를 분출함으로써 가스 분리 성능을 향상시키고 있다. 그러나, 이들 격벽 분리형 ALD 장치에서는 서브 챔버 용적이 크고, 반응 가스의 편류나 체류를 충분히 줄일 수 없기 때문에 특허 문헌 1에서의 커버리지 저하나 파티클의 문제를 완전히 해결하기는 어렵다. 또한, 특허 문헌 7에서는 진공 용기를 4개의 서브 챔버로 분할하고, 각 서브 챔버에 접속된 가스 공급 수단에, 반응 가스 혹은 퍼지 가스를 펄스 형태로 공급할 수 있는 스위칭 기능을 구비시킴으로써 하나의 서브 챔버를 반응실로도 또한 퍼지실로도 이용할 수 있도록 하였다. 이 수단에 따르면, 가스 분리 성능이 향상되고, 또한 반응 가스의 종류를 변경함으로써 다원자 성분의 적층이 가능해진다. 그러나, 가스 스위칭에 수반되어 가스 배기 및 공급을 필요로 하기 때문에 ALD 사이클 시간이 매우 길어지는 단점이 생긴다.
두 번째 회전형 세미 배치 ALD 장치의 타입은 가스 커튼형 ALD 장치로서, 반응 가스 공급 수단 사이에 퍼지 가스를 커튼과 같이 흘림으로써 반응 가스가 혼합되는 것을 줄이고 있다. 특허 문헌 8 내지 11이 그 구체예이다. 특허 문헌 8 및 9에서는 퍼지 가스를 위에서 아래 방향으로 흘려 반응 가스의 혼합을 방지하고 있다. 특허 문헌 10에서는 퍼지 가스를 아래에서 위로 흘려 가스 커튼을 형성하고 있다. 또한, 특허 문헌 11에서는 반응 가스 노즐과 퍼지 가스 노즐을 교대로 방사형으로 설치하여 회전시킴으로써 각 기판에 반응 가스와 퍼지 가스가 교대로 공급되도록 하였다. 이들 가스 커튼 방식은 격벽 분리형 ALD 장치에 비해 구조가 비교적 간소하다는 장점을 갖지만, 가스 분리 능력이 낮고, 반응 가스의 혼합에 따른 파티클이 발생하기 쉽다는 단점이 있다.
세 번째 회전형 세미 배치 ALD 장치의 타입은 마이크로 리액터형 ALD 장치로서, 가스 공급과 배출이라는 두 가지 기능을 수 센티미터 정도의 폭의 컴팩트한 사각형 리액터에 통합하고, 반응 가스가 리액터 외부에 누출하는 것을 방지함으로써 가스 분리 기능을 향상시키고 있다. 이 리액터를 다수 개 설치하고, 각각의 리액터에 서로 다른 반응 가스나 퍼지 가스를 공급하고, 그 인접부에서 배기함으로써 양호한 가스 분리 특성이 달성된다. 이 구체적인 예가 특허 문헌 12 내지 15이다. 특허 문헌 12는 1979년에 발명 출원되어 마이크로 리액터의 원형이 되었다. 여기서는 제1 반응 가스 노즐, 제1 퍼지 가스 노즐, 제2 반응 가스 노즐, 제2 퍼지 가스 노즐이 각각 배기구를 통해 선형으로 배치된 구조를 갖는다. 특허 문헌 13은 단일의 가스 공급 및 배기 기능을 가진 사각형 마이크로 리액터를 진공 용기 내에 복수 개 방사형으로 설치한 구조를 취한다. 또한, 반응 가스를 식각 가스로 함으로써 성막뿐만 아니라 식각, 성막 전처리나 성막 후의 막 개질 등도 가능하게 하였다. 특허 문헌 14는 제1 반응 가스 노즐, 제1 퍼지 가스 노즐, 제2 반응 가스 노즐, 제2 퍼지 가스 노즐 및 각각노즐 사이에 설치된 배기구가 하나의 컴팩트한 부채꼴 ALD 리액터가 되고, 이 ALD 리액터가 방사형으로 연속적으로 다수 개 배치되어 있다. 또한, 특허 문헌 15에서는 제1 반응 가스를 큰 진공 용기 내에 충전하고, 제2 반응 가스 및 퍼지 가스를 방사형으로 설치된 복수 개의 사각형 마이크로 리액터로부터 공급 및 배출하고 있다. 이 방식에서는 제1 반응 가스의 마이크로 리액터가 불필요하여 구조가 간소해진다. 이들 마이크로 리액터형 ALD 장치는 다른 타입의 회전 ALD 장치에 비해 가스 분리 성능을 대폭으로 향상시킬 수 있다.
그러나, 마이크로 리액터 구조에서는 생산성과 스텝 커버리지의 트레이드 오프가 다른 방식에 비해 보다 심해진다. 이는 마이크로 리액터의 폭이 다른 방식보다 좁은 것에 기인한다. 예를 들면, 하나의 가스 노즐의 폭이 수 센티미터인 경우, 30RPM 정도의 낮은 회전 속도라 하더라도 반응 가스에 대한 폭로 시간이 수십 밀리초 정도 이하로 되어 ALD 반응에 필요한 충분한 폭로 시간을 확보할 수 없게 되기 때문에 스텝 커버리지의 저하가 발생한다. 특히 금속을 포함하지 않는 제2 반응 가스에 대해서는 ALD 성막을 수행하기 위해서는 100밀리초 정도 이상의 시간이 필요하기 때문에 이 짧은 폭로 시간은 큰 문제가 된다. 따라서, 회전 수를 저하시켜 폭로 시간을 길게 하고, 보다 다수 개의 마이크로 리액터를 나열함으로써 생산성의 향상을 도모하고자 하나, 오히려 생산성을 저하시키게 된다. 이하 그 이유를 설명한다. ALD 프로세스에 있어서, 피처리 기판의 반응 가스에 대한 폭로 시간과 단일 ALD 사이클에서의 성막 속도 간의 관계는, 도 1에 도시한 바와 같이, 해당 폭로 시간이 짧은 경우에는 성막 속도는 폭로 시간에 비례하고, 폭로 시간이 어느 시간 이상이 되면 포화되어 일정한 값이되는 것이 알려져 있다. 이 포화 현상은 피처리 기판 표면의 모든 흡착 반응 사이트가 반응 가스에 의해 덮인 상태에 대응해 있으며, 이 성막 속도가 포화되기 시작하는 시간을 ALD 포화 반응 시간, 그리고 성막 속도가 포화되어 일정해진 영역을 ALD 포화 반응 영역이라고 정의할 수 있다. 회전형 세미 배치형 ALD 장치에 있어서, 회전 테이블 위의 피처리 기판 수를 n, 마이크로 리액터 수를 q, 회전 테이블의 회전 수를 매분 r회전이라고 하면, 두께 A nm인 막을 단일 ALD 프로세스에서의 성막 속도를 매분 a (nm/cycle)로 성막하는 경우, 쓰루풋(W)은 60nqra/A (WPH)로 나타낼 수 있다. 회전 속도가 충분히 느리고, ALD 반응이 포화 반응 영역에 있는 경우(도 1에서 A점에 해당), 쓰루풋은 상기 식에 따라 피처리 기판의 수(n)와 마이크로 리액터 수(q)의 곱에 비례한다. 따라서, 회전 서셉터 위의 피처리 기판과 마이크로 리액터의 수를 늘리는 것이 쓰루풋은 향상된다. 그러나, 마이크로 리액터에서 통상 사용되는 수십 밀리초 정도의 폭로 시간의 조건에서는 ALD 미포화 반응 영역(도 1에서 C점)으로부터 ALD 포화 반응이 얻어지는 한계 영역(도 1에서 B점)에서 반응을 오퍼레이션하고 있다. 이러한 ALD 미포화 반응 영역에서는 마이크로 리액터 수(q)가 증가하면 폭로 시간이 감소하고, ALD 성막 속도(a)는 감소한다. 따라서, 쓰루풋(W)은 마이크로 리액터 수(q)를 늘려도 쓰루풋은 증가하지 않게 된다. 오히려, 각각의 마이크로 리액터에는 최저한의 가스 분리가 필요하며, 마이크로 리액터 수(q)를 증가시키면, 가스 분리 영역이 반응 가스 공급 유닛에서 차지하는 면적이 상대적으로 증가하고, 그만큼 반응 가스와의 접촉 시간이 짧아진다. 따라서, 쓰루풋과 스텝 커버리지의 트레이드 오프가 보다 심해진다. 회전형 세미 배치 ALD 장치에 있어서는 마이크로 리액터를 다수 개 나열하는 것보다 비교적 큰 면적의 가스 공급 유닛을 적은 수 설치하는 것이 생산성과 스텝 커버리지의 트레이드 오프의 관점에서 유리하다.
네 번째 회전형 세미 배치 ALD 장치의 타입은 좁은 갭의 가스 분산형 ALD 장치로서, 한 쌍의 제1 및 제2 반응 가스 공급 유닛과 그 사이에 설치된 퍼지 가스 영역으로 구성되고, 가스 공급 노즐에 분산판을 부착하거나 샤워 플레이트를 사용함으로써 반응 가스 및 퍼지 가스가 가스 노즐과 기판 사이의 좁은 갭의 공간 내에서 균일하게 흐르도록 궁리한 것이다. 이 방식에서는 마이크로 리액터 방식에 비해 보다 고속 회전에서의 오퍼레이션이 가능해지고, 생산성의 향상이 기대된다. 특허 문헌 16 내지 21의 일련의 발명 및 특허 문헌 22가 그 구체적인 예이다. 특허 문헌 16 내지 21의 일련의 발명에서는 반응 가스 및 퍼지 가스에 인접하여 가스 분산판을 설치하였다. 나아가, 퍼지 가스 온도를 고온으로 하거나 제1 반응 가스 분산판의 둘레 방향 길이에 대해 제2 반응 가스 분산판의 둘레 방향 길이를 길게 하거나, 가스 노즐 분출 구멍이 앞을 향하게 하거나, 퍼지 가스 정류판을 반응 가스 노즐 근방에 설치하거나, 배기 구멍의 위치를 최적화하는 등 가스 흐름을 균일하게 하는 다양한 궁리가 실시되고 있다. 또한, 특허 문헌 22에서는 반응 가스 및 퍼지 가스의 가스 노즐 대신 부채꼴 샤워 플레이트를 사용하고, 또한 각각의 샤워 플레이트의 사이에 폭이 좁은 가스 배기 영역을 마련하고, 적은 수의 배기 구멍으로부터 가스를 배출하고 있다. 그러나, 이들 좁은 갭의 가스 분산형 ALD 수단에서는 쓰루풋은 높지만, 가스 사용량이 수십 SLM 정도로 매우 많아져 소비재 비용이 고가가 되는 단점이 있다. 또한, 이들 가스 분산형 수단에서는 반응 가스 이용 효율은 0.5% 정도 이하에 그치며, 99.5% 이상의 반응 가스가 미사용인 채 배기되는 단점을 갖는다.
나아가, 이 높은 가스 유량은 새로운 문제를 일으킨다. 즉, 가스 흐름이 피처리 기판 위에서 한 방향으로 다량으로 발생하면, 기판의 양단에서 압력차가 생기고, 이로 인해 기판이 들뜬다. 이 문제는 특히 압축 응력이 높은 막을 형성하는 경우 피처리 기판이 들뜨기 쉬워진다. 기판이 들뜨면, 기판이 상부 가스 공급부에 닿아 기판이 파손된다. 이와 같이 피처리 기판 위에서 가스가 큰 유량으로 한 방향으로 흐르면, 제조 장치의 프로세스 신뢰성 상 중대한 문제를 일으킨다.
또한, 일련의 특허 문헌 16 내지 21에서 개시된 좁은 갭의 가스 분산형 ALD 장치의 발명에서는 생산성을 높이기 위해 피처리 기판을 홀딩하는 테이블을 매분 300 회전 정도로 고속 회전시키고 있다. 그러나, 이러한 고속 회전에서는 기판이 반응 가스에 폭로되는 시간이 100밀리초 이내가 되어, 도 1의 C점에서 나타낸 바와 같이 ALD 포화 반응 영역에 도달하지 않는 상태가 된다. 이 경우, 높은 어스펙트비의 트렌치나 홀에 대해서는 스텝 커버리지가 저하하는 문제가 심각해진다.
특허 문헌 1 : 미국 특허 공보 5711811호 특허 문헌 2 : 미국 특허 공개 공보 2010-0098851호 특허 문헌 3 : 미국 특허 공보 5225366호 및 5281274호 특허 문헌 4 : 특허 공보 3144664호 특허 문헌 5 : 미국 특허 공보 6972055호 특허 문헌 6 : 일본 특허 공개 2007-247066호 공보 및 미국 특허 공개 공보 2011-376048호 특허 문헌 7 : 미국 특허 공개 공보 2008-0075858호 특허 문헌 8 : 미국 특허 공보 6576062호 특허 문헌 9 : 미국 특허 공개 공보 2003-0194493호 특허 문헌 10 : 미국 특허 공개 공보 2002-0043216호 특허 문헌 11 : 일본 특허 공개 H04-287912호 공보 특허 문헌 12 : 미국 특허 공보 4413022호 특허 문헌 13 : 미국 특허 공보 7153542호 특허 문헌 14 : 미국 특허 공보 6821563호 특허 문헌 15 : 미국 특허 공개 공보 2010-0041213호 특허 문헌 16 : 일본 특허 공개 2010-56470호 공보 특허 문헌 17 : 일본 특허 공개 2010-56471호 공보 특허 문헌 18 : 일본 특허 공개 2011-124384호 공보 특허 문헌 19 : 일본 특허 공개 2011-134996호 공보 특허 문헌 20 : 일본 특허 공개 2011-74593호 공보 특허 문헌 21 : 일본 특허 공개 2011-89561호 공보 특허 문헌 22 : 한국 특허 공개 공보 2007-0085761호 특허 문헌 23 : 일본 특허 공표 2007-533153호 공보 및 미국 특허 공보 75380778호 특허 문헌 24 : 일본 특허 공표 2011-519796호 공보 및 미국 특허 공보 6523572호
비특허 문헌 1 : W. Ott; Thin Solid Films No.1-2, p135 (1997), "Al3O3 Thin Film Growth on Si (100) Using Binary Reaction Sequence Chemistry" 비특허 문헌 2 : Y. Widjaja; Appl. Phys. Lett. Vo.80, p18 (2002), "Quantum Chemical Study of the Mechanism of Aluminum Oxide Atomic Layer Deposition" 비특허 문헌 3 : Y. K. Kim; IEDM Tech Digest 369 (2000), "Novel Capacitor Technology for High Density Stand-Alone and Embedded DRAMs" 비특허 문헌 4 : R. Matero; Thin Solid Films, Vol. 68, p1 (2000), "Effect of Water Dose on the Atomic Layer Deposition Rate of Oxide Films" 비특허 문헌 5 : S. George; Applied Surface Science, Vol. 82, p460 (1994), "Atomic Layer Controlled Deposition of SiO2 and Al2O3 Using ABAB…Binary Reaction Sequence Chemistry" 비특허 문헌 6 : L. Hiltunen; Thin Solid Films, Vol.166, p149 (1988), "Nitride of Ti, Nb, Ta and Mo Growth at Thin Films by ALE Method" 비특허 문헌 7 : R.Hedge; Vac. Sci. Technol. B11, p1287 (1993), "TiN thermal ALD with TiCl4/NH3" 비특허 문헌 8 : M. Ritala; Electrochem. Soc. Vol. 145 (8), p2914 (1998), "Atomic Layer Epitaxy Growth of TiN Thin Films from Til4 and NH3" 비특허 문헌 9 : Y. S. Kim; J. Korean Physical Society, Vol.37 No.6, p1045 (2000), "Atomic-Layer Chemical Vapor-Deposition of TiN Thin Films on Si(100) and Si(111)" 비특허 문헌 10 : H. Kim; J. Vac. Sci. Tech. B, Vol. 21, p2231 (2003), "Atomic Layer Deposition of Metal and Nitride Thin Films: Current Research Efforts and Applications for Semiconductor Device Processing" 비특허 문헌 11 : R.G. Gordon; Chem. Vapor Deposition, Vol. 9, pp73 (2003), "Kinetic Model for Step Coverage by Atomic Layer Deposition"
본 발명은 이상 설명한 회전형 세미 배치 ALD 장치의 제반 과제를 해결하여, 높은 쓰루풋, 낮은 파티클, 낮은 가스 소비량이면서 높은 커버리지를 유지한 회전형 세미 배치 ALD 장치 및 프로세스를 가능하게 하는 기술을 제공하는 것이다.
본 발명은, 진공 용기, 회전 서셉터, 해당 서셉터 위에 탑재된 복수 개의 피처리 기판, 해당 피처리 기판 바로 아래에 설치된 기판 가열 히터, 진공 용기 상부에 설치된 복수 개의 부채꼴의 반응 가스 공급 수단, 해당 반응 가스 공급 수단 사이에 설치된 반응 가스 분리를 위한 퍼지 가스 공급 수단 및 각 가스 공급 수단마다 독립된 별개의 계통으로 구비된 진공 배기 수단으로 구성되며, 해당 서셉터를 회전시킴으로써 피처리 기판을 차례로 반응 가스에 폭로시키는 것을 반복하여 ALD 성막을 수행하는 회전형 세미 배치 ALD 장치 및 ALD 프로세스이며, 이하의 6항목의 새로운 발견에 의거한 발명으로 이루어진다. 각각 단독 발명에서도 현저한 효과가 얻어지지만, 두 개 이상 결합하면 효과가 더 향상된다.
(1) 반응 가스 공급 수단의 적어도 하나의 대해, 가스를 균일하게 분출하기 위한 샤워 플레이트와, 가스가 다운 플로하기 위한 캐비티 및 캐비티를 에워싸는 격벽에 의해 구성하고, 또한 퍼지 가스 공급 수단에 대해서는 가스를 피처리 기판과의 사이의 공간에서 횡방향으로 높은 유속으로 균일하게 흘릴 수 있도록 해당 공간을 좁은 갭으로 한 샤워 플레이트로 구성함으로써 가스 공급 수단을 최적화하고, 생산성과 스텝 커버리지 특성을 향상시킨 회전형 세미 배치 ALD 장치.
(2) 모든 반응 가스 및 퍼지 가스 공급 수단에 대해, 반응 가스 및 퍼지 가스 를 각각 독립적으로 국소 배기시킬 수 있도록, 진공 배기 홈으로 에워싼 구조로 함으로써, 가스 분리 특성을 향상시킨 회전형 세미 배치 ALD 장치.
(3) 각각의 반응 가스 공급 배기 수단을 피처리 기판의 직경 이상으로 이격시켜, 두 가스 공급 수단이 동일 피처리 기판 위에 오지 않도록 배치함으로써, 낮은 파티클 발생 특성과 가스 분리 특성을 향상시킨 회전형 세미 배치 ALD 장치.
(4) 퍼지 가스 공급 수단에 의한 에어 베어링 기구(機構)를, 스프링 등 다른 홀딩 수단과 결합하여 상부 가스 공급 수단 혹은 회전 서셉터에 설치하고, 상부 가스 공급 수단 하단과 피처리 기판 사이의 갭을 정밀하게 제어함으로써, 가스 사용량을 줄인 회전형 세미 배치 ALD 장치.
(5) 피처리 기판의 수나 가스 공급 수단의 구성에 따라, ALD 시작과 완료에서의 피처리 기판과 가스 공급부의 상대 위치 관계, 혹은/및 피처리 기판 서셉터 회전 속도를 조정함으로써 모든 피처리 기판에 대해 동일 수의 ALD 사이클을 동일 시간만큼 실시되도록 한 것을 특징으로 하는 회전형 세미 배치 ALD 장치 및 그 ALD 시퀀스.
(6) 피처리 기판 표면에 형성된 패턴의 최대 어스펙트비(홀 또는 트렌치의 폭에 대한 깊이의 비)를 바탕으로 ALD 포화 반응 시간을 산출하고, 피처리 기판 표면이 해당 포화 반응 산출 시간보다 길게 반응 가스에 폭로되도록 기판 회전 속도를 제어함으로써, 높은 스텝 커버리지와 높은 생산성을 모두 만족시킨 회전형 세미 배치 ALD 장치.
이하, 본 발명에 따른 과제의 해결 수단을 상세하게 설명한다.
먼저, 제1 발명의 수단에 대해 설명한다. ALD 반응은 피처리 기판이 금속 함유 반응 가스와 비금속 반응 가스에 교대로 폭로됨으로써 진행되고, 예를 들면, TiCl4와 NH3에 의한 TiN의 ALD 반응은 다음의 2단계 반응에 의해 표현될 수 있다. 여기서, 금속 함유 반응 가스는 통상적으로 유기 금속 화합물이나 금속 할로겐 화합물로서, 처리 온도에서의 증기압이 프로세스 압력 이상인 물질을 선택한다. 금속으로는 통상적으로 Si, Ti, Hf, Zr, Ru, Ta, Sr 등이 반도체 제조에서는 사용되는데, 본 발명에 있어서는 특별히 한정되는 것은 아니며, 목적으로 하는 막을 구성하는 원자에 의해 선택하면 된다. 비금속 반응 가스로는, 성막 재료에 따라 오존, O2, NH3, N2, H2 등이 사용된다. 이들 비금속 반응 가스도 본 발명에서 한정되는 것은 아니며, 목적으로 하는 막을 구성하는 원자에 의해 선택하면 된다.
-NH(a)+TiCl4(g)→-N-TiCl3(a)+HCl(g) (반응식 1)
-N-TiCl(a)+NH3(g)→-N-Ti-NH2(a)+HCl(g)(반응식 2)
여기서, 괄호 안의 a 및 g는 물질의 상태를 나타내며, a는 표면 흡착 상태, g는 기상 상태에 대응한다. ALD 프로세스에서는, 먼저 금속 함유 반응 가스인 TiCl4가, 반응식 1에 따라, 하층에 흡착되어 있는 비금속 가스인 -NH에 주로 반데르발스 힘에 의해 약한 결합력에 의해 물리 흡착한 후, 루이스산 염기 반응에 의해 질소 원자와 화합 결합한다. 이 경우, 금속 함유 반응 가스 분자는 분자 크기가 커서 입체 장애로 인해 모든 질소 원자에 흡착될 수 있는 것은 아니다. 통상의 ALD 성막에서는 금속 함유 가스 분자는 4질소 원자의 내 1질소 원자 정도의 비율로 흡착한다. 이어서 반응식 2에 나타낸 제2 단계에 있어서, 비금속 반응 가스인 암모니아 가스 분자(NH3)가, 흡착된 -N-Ti-Cl과 화학 반응하고, Ti 원자와 결합한다. 반응식 1 및 2의 어느 반응도 기판 표면에서의 결합의 재조합을 수반하는 화학 흡착 반응으로서, 반응 생성물로서 HCl이 생성되는데, 거의 모든 ALD 반응에서는 이 제2 단계의 반응이 제1 단계의 반응에 비해 큰 활성화 에너지를 필요로 한다. 따라서, 대부분의 ALD 반응에서는 반응식 2로 나타낸 제2 단계가 전체의 ALD 반응을 율속하게 된다. 이 반응식 2의 반응 속도(R2)는 다음 식으로 나타낼 수 있다.
R2=k2(1-θ)PNH3-k- 2θPHCl (수학식 1)
여기서, θ는 NH3 가스가 화학 흡착되지 않은 -N-Ti-Cl (a) 표면의 반응 흡착 사이트의 비율을 나타낸다. 또한, k2와 k-2는 제2 단계 반응의 진행 반응(흡착 반응)과 역진행 반응(이탈 반응)의 반응 속도 상수를 나타내고, PNH3 및 PHCl은 NH3 가스와 HCl 가스의 분압을 나타낸다. 이 수학식에 따르면, 반응 속도를 향상시키려면 NH3 가스 분압을 올리고, 또한 가스 유량을 증가시킴으로써 HCl을 신속하게 배기하여 HCl 가스 분압을 저하시키는 것이 효과적이라는 것을 알 수 있다. 한편, 실제의 대부분의 ALD 반응은 랭뮤어의 등온 흡착식에 의해 비교적 양호하게 근사될 수 있음이 수많이 보고되고 있다. 이것은 반응식 2가 평형 상태 혹은 그에 가까운 상태로 되어 있어 진행 반응 속도와 역반응 속도가 대략 같아졌다는 것을 의미한다. 또한 이 때, 흡착 사이트의 비율(θ)은 HCl 가스와 NH3 가스의 분압의 함수로서 다음 식으로 나타낼 수 있다.
θ=k2PNH3/(k2PNH3+k- 2PHCl) (수학식 2)
PNH3가 작은 경우에는 θ는 PNH3에 비례하고, PNH3가 커지면 θ≒1이 되어, 흡착 사이트의 비율이 포화된다는 소위 랭뮤어 흡착 포화 곡선 거동을 나타내게 된다.
여기서 가장 중요한 것은 높은 스텝 커버리지를 달성하려면 모든 흡착 사이트가 포화되어 있는 상태, 즉 θ=1라는 이상적인 ALD 반응을 유지할 필요가 있다는 것이다. 이는, 반응식 2에 있어서, 평형이 진행 반응 방향으로 크게 치우쳐져 있는 것을 나타내고, 수학식 1에 있어서 우변 제2 항의 k- 2θPHCl이 무시할 수 있을 정도로 작은 것을 의미한다. 즉, 목적으로 하는 실제 제조 프로세스에서의 ALD 반응에 있어서는, 제2 단계 반응의 반응 생성물인 HCl 가스가 상당량 존재해 있음에도 불구하고, 역진행 반응은 무시할 수 있음을 나타내고 있으며, 반응 속도(R2)는 다음 식과 같이 간소화된다.
R2=k2(1-θ)PNH3 (수학식 3)
따라서, 실용적인 ALD 반응의 반응 속도는 미흡착 사이트의 비율(1-θ)와 반응 가스 분압(PNH3)에만 비례하기 때문에, 반응 가스 유속을 크게 할 필요는 없으며, 고농도의 반응 가스에 폭로되는 것만으로 ALD 반응은 진행된다. 이 결과는 TiN의 ALD 성막뿐만 아니라, 대부분의 ALD 프로세스에 적용할 수 있다는 것을 알 수 있다. 또한, 반응식 1에서 나타낸 금속 함유 반응 가스를 수반하는 반응에서도 동일한 반응 메커니즘을 가진다는 것을 알 수 있었다. 이상의 의론(議論)으로부터, ALD 반응의 반응 속도를 향상시키려면 원료가 될 반응 가스를 고농도로 균일하게 피처리 기판에 분산시키는 것이 중요하며, 가스 유량을 증가시킬 필요는 없다고 결론지어진다.
두 번째로 중요한 발견으로서, ALD 프로세스에서 통상적으로 이용되는 0.1 Torr 내지 수 Torr의 압력에서는 반응 가스의 평균 자유 행정(平均自由行程)은 수십 마이크론 내지 2밀리미터 정도이며, 예를 들면, 10mm 이상의 피치를 가진 적은 수의 가스 분출 구멍으로 구성되는 반응 가스 노즐에서는 부채꼴 가스 공급 수단의 구석구석까지 반응 가스를 균일한 고농도로 기판에 공급하기는 어렵다는 것을 알 수 있었다. 또한, 가스 노즐에서 멀리 떨어진 가스 공급부 코너의 귀퉁이의 장소에서는 반응 가스가 장시간 체류하고, 다른 반응 가스와 기상 반응을 일으켜 파티클 발생의 원인이 된다는 것도 밝혀졌다. 이상의 ALD 반응과 가스 흐름의 두 개의 발견을 바탕으로, 본 발명에서는, 반응 가스 공급 수단으로서, 좁은 피치의 균일한 가스 분출 구멍으로 이루어지는 샤워 플레이트와 분출된 반응 가스를 온화한 다운 플로에 의해 피처리 기판에 균일하게 공급하기 위한 캐비티 및 그를 에워싸는 대략 수직의 격벽에 의해 구성하기로 하였다.
한편, 퍼지 가스 공급에 대해서는 피처리 기판 표면 위에 새겨진 10nm 정도의 치수의 초미세한 홀이나 트렌치 패턴 내에 남아 있는 미반응 가스나 반응 생성 가스를 통상의 폭로 시간인 100ms 정도 이하의 짧은 시간에 완전히 배출할 필요가 있다. 이 경우, 느긋한 다운플로는 부적절하며, 피처리 기판 위를 흐르는 퍼지 가스의 가스 유속을 모든 기판 위 위치에 있어서 가능한 한 크게 하는 것이 바람직하다는 것을 알 수 있었다. 예를 들면, 퍼지 가스로서 1Torr의 압력의 질소 가스를 사용한 경우, 그 가스 밀도는 1.5x1016 cm-3이고, 피처리 기판에 대한 질소 가스 확산 플럭스(流束)는 3x1020 cm-2s-1이다. 피처리 기판의 회전 속도를 매분 30회전, 가스 공급 수단에서의 하나의 퍼지 가스 공급부의 면적 비율을 1/8이라고 하면, 하나의 퍼지 가스 공급부에서의 피처리 기판의 퍼지 가스 폭로 시간은 250ms가 된다. 이 동안에 확산에 의해 직경 32nm이고 어스펙트비 100인 홀에 1초동안 당 유입되는 질소 가스 분자 수는 5x108 s-1이 된다. 한편, 홀 내부의 잔류 반응 가스 분자 수는 40개 정도로 산정할 수 있다. 따라서, 피처리 기판이 퍼지 가스와 접촉하는 동안에 잔류 가스 분자의 107배 정도의 질소 가스가 홀 내부에 유입됨으로써 통상의 회전형 세미 배치 ALD 장치에서는 퍼지 가스 폭로 시간이 회전 속도를 규제하는 난관이 되는 일은 거의 없으며, 반응 가스에 대한 폭로 시간이 율속(律速)이 된다. 그러나, 퍼지 가스 공간에 반응 가스가 잔류해 있으면, 잔류 반응 가스 분자가 다시 홀 안으로 들어가 치환 효과가 저하된다. 1기가비트 DRAM 디바이스의 제조에서는 직경 300mm 피처리 기판 위에는 1x1011개 이상의 홀이 존재하기 때문에 치환 효과의 저하는 홀 안의 파티클의 원인이 되며, 비트 불량을 야기한다. 따라서, 홀 안의 잔류 가스 치환 효과를 완전하게 하려면 퍼지 공간에 잔류하는 반응 가스를 가능한 한 빨리 배출하는 것이 중요하며, 실제로 피처리 기판 표면에서의 퍼지 가스 유속을 증가시키는 것이 잔류 가스를 줄이는 데 효과적이라는 사실을 발견하였다. 따라서, 본 발명에서는, 피처리 기판과의 사이의 공간 갭을 가능한 한 작게 함으로써 횡방향의 퍼지 가스 유속을 증가시키고, 퍼지 가스에 의한 반응 가스 치환 효과를 향상시켰다.
또한, 부채꼴 가스 공급부에서의 퍼지 가스의 분출 방법에 대해, 반응 가스의 분출 방법과 동일하게, 적은 수의 가스 분출 구멍을 구비한 가스 노즐에서는 가스 흐름의 치우침이나 체류가 발생하고, 가스 치환이 불완전해진다는 것을 알 수 있었다. 나아가, 퍼지 가스를 퍼지 가스 공급부에 있어서 균일하게 분산시키려면 가스 분출 구멍의 설치 간격을 퍼지 가스의 평균 자유 행정의 배수 이내 정도의 거리에 설치하는 것이 바람직하다는 사실을 발견하였다. ALD 프로세스의 압력은 0.1 Torr 정도 이상이 대부분이며, 그 압력에서의 질소 분자의 평균 자유 행정 정도는 2mm 정도 이내이므로, 본 발명에서는 퍼지 가스 분출 수단에 대해서는 피치가 10mm 이하인 균일하게 배치된 샤워 플레이트 구조를 채용한다. 이 좁은 갭이면서 좁은 피치의 샤워 플레이트 구조에 의해 퍼지 가스 유속을 기판 표면 위의 모든 위치에서 빠르게 하고, 기판 표면에서의 미반응 가스 및 반응 생성 가스의 농도를 줄이고, 치환 및 배출 효율을 향상시킬 수 있다는 사실을 알 수 있었다.
이상 설명한 바와 같이, 첫 번째 본 발명은, 회전형 세미 배치 ALD 장치에 있어서, 적어도 하나의 반응 가스 공급 수단에 대해 가스를 균일하게 배출하기 위한 샤워 플레이트와, 가스가 다운플로하기 위한 캐비티 및 그를 에워싸는 격벽에 의해 구성하고, 또한 퍼지 가스 공급 수단에 대해서는 퍼지 가스를 피처리 기판과의 사이의 공간에서 횡방향으로 높은 유속으로 균일하게 흘리기 위한 좁은 갭 좁은 피치의 샤워 플레이트로 구성한다.
다음, 두 번째 본 발명의 수단에 대해 설명한다. 두 번째 발명은, 회전형 세미 배치 ALD 장치에 있어서, 부채꼴의 반응 가스 공급 수단 및 퍼지 가스 공급 수단의 둘레를 모두 각각 다른 계통의 진공 배기의 홈으로 에워싸는 것을 특징으로 한다. 종래, 마이크로 리액터형 이외의 대부분의 회전형 세미 배치 ALD 장치에서는, 각각의 반응 가스는 회전 서셉터와 진공 용기 벽 사이의 틈새를 통해 진공 용기의 바닥부로부터 배출된다. 이 방식에서는 반응 가스가 진공 용기 하부 및 배기 라인에 있어서 혼합되어 파티클이 발생한다. 또한, 반응 가스와 퍼지 가스 간의 혼합이 생겨 가스 분리 효과도 낮다. 한편, 마이크로 리액터형 ALD 장치에서는 반응 가스 노즐과 퍼지 가스 노즐 사이에 진공 배기 홈이 설치되어 있어, 보다 높은 가스 분리 효과와 낮은 파티클 성능이 얻어진다. 그러나, 종래의 마이크로 리액터형 세미 배치 ALD 장치에서는 반응 가스 공급부와 인접한 퍼지 가스 공급부와의 사이에만 진공 배기 홈이 설치되고, 반응 가스 공급부의 중심측이나 외주측에 각각 독립된 진공 배기 홈을 갖지 않기 때문에, 고속 회전하면 반응 가스의 일부가 외주 방향으로 흘러 마이크로 리액터로부터 누설되게 된다. 따라서, 진공 배기 홈을 부채꼴 반응 가스 공급부와 퍼지 가스 공급부 사이뿐만 아니라, 반응 가스 공급부 중심측, 반응 가스 공급부 외주측, 또한 반응 가스 공급 수단뿐만 아니라 퍼지 가스 공급 수단에도 설치하고, 파티클 발생과 가스 분리 효과를 조사하였다. 이 결과, 중심측 및 외주측의 진공 배기 홈은 반응 가스 공급부와 퍼지 가스 공급부 사이의 진공 배기 홈과 마찬가지로, 가스 분리에 중요한 역할을 한다는 사실이 밝혀졌다. 또한, 퍼지 가스 공급부 외주에도 진공 배기 홈을 설치하면, 한 방향의 가스 흐름의 발생이 억제됨으로써 가스끼리의 교란을 줄일 수 있고, 가스 분리 효과가 보다 향상된다는 사실도 알 수 있었다. 나아가, 중심부에서는 반응 가스 공급부끼리의 거리가 가까워지므로 중심부에 진공 배기 홈으로 에워싸인 퍼지 가스 공급부가 필요하다는 사실도 밝혀졌다. 이상의 결과로부터, 본 발명에서는, 둘레 방향으로 나란히 설치된 부채꼴의 반응 가스 공급부 및 그들 반응 가스 공급부 사이에 설치된 퍼지 가스 공급부, 중심에 설치된 퍼지 가스 공급부의 모든 외주에 진공 배기 홈을 설치하여, 가장 뛰어난 반응 가스 분리 특성이 얻어지도록 하였다. 또한, 퍼지 가스 공급 수단을 모두 배기 홈으로 에워쌈으로써 퍼지 가스의 흐름이 네 방향으로 이루어져서 가스 치환을 보다 효율적으로 수행할 수 있고, 또한 한 방향만의 흐름에 의한 기판의 부유를 방지할 수도 있다는 사실도 알 수 있었다.
다음 세 번째 본 발명의 수단에 대해 설명한다. ALD 프로세스의 생산성을 율속하는 단계는, 반응 가스, 특히 비금속 반응 가스와 기판 간의 접촉 시간으로서, 퍼지 가스와 피처리 기판 간의 접촉 시간이 ALD 반응을 율속하는 단계가 되는 일은 통상적으로 없다. 따라서, 상부 가스 공급 수단에 있어서, 퍼지 가스 공급부의 점유 면적을 가능한 한 작게 하고, 그 만큼 반응 가스 공급부의 점유 면적을 가능한 한 크게 하는 것이 바람직하다. 그러나, 퍼지 가스 공급 유닛의 점유 면적을 과도하게 작게 하면, 금속 반응 가스와 비금속 반응 가스의 가스 분리 효과가 저하하고, 두 반응 가스의 혼합이 발생하여 파티클이 발생한다. 따라서, 부채꼴 퍼지 가스 공급 배기 수단(供給排氣手段)의 중간부에서의 폭을 피처리 기판 직경의 1/4, 1/2, 3/4, 4/4로 변화시키고, 이 때의 피처리 기판의 파티클 증가를 측정하였다. 이 결과, 피처리 기판 표면 위에서는 퍼지 가스 공급 배기 수단(供給排氣手段)의 중간부 폭이 피처리 기판 직경의 1/4에 있어서도 파티클의 증가는 관측되지 않았다. 그러나, 피처리 기판 이면(裏面), 특히 기판 이면의 엣지 주변에서는 처리 수가 1000장을 초과하면 명백하게 파티클의 증가가 관측되었다. 퍼지 가스 공급 및 배기 수단의 중간부 폭을 기판 직경의 1/2, 3/4으로 증가시켜도 큰 파티클 저하 효과는 보이지 않았으나, 퍼지 가스 공급 및 배기 수단의 중간부 폭이 기판 직경 혹은 그 이상이 되면 이면 파티클 발생은 거의 관측되지 않았다. 이상의 관측 결과로부터, 파티클 발생 원인으로서 피처리 기판이 회전 서셉터의 패임(dent)에 탑재되는 경우, 해당 패임과 기판 사이에 생긴 홈을 타고 반응 가스가 확산, 혹은 기판과 서셉터 사이에 체류하고, 그들이 다른 반응 가스와 혼합되어 기상 반응함으로써 생성한 반응 생성물이 서셉터에 부착되어 성장하고, 기판과의 물리적 접촉이나 마찰에 의해 벗겨져서 파티클이 된 것이라고 추정된다. 퍼지 가스 공급 배기 수단의 중간 폭이 기판 직경 혹은 그 이상이 되면, 금속 함유 반응 가스와 비금속 반응 가스가 해당 홈에서 혼합되는 일이 없기 때문에 파티클은 발생하지 않는다. 따라서, 본 발명에서는 서로 다른 반응 가스 공급 수단을 퍼지 가스 공급 및 배기 수단에 의해 피처리 기판의 직경 이상으로 이격시키고, 두 가스 공급 수단이 동일 기판 위에 오지 않도록 배치함으로써 가스 분리 효율을 향상시켰다.
다음, 네 번째 발명의 수단에 대해 설명한다. 첫 번째 및 두 번째 발명 사항에서 개시한 본 발명의 회전형 세미 배치 ALD 장치에 있어서, 반응 가스 공급 수단의 캐비티를 에워싸는 격벽 하단과 피처리 기판 사이의 갭이 작으면, 반응 가스의 분압을 프로세스 압력으로 유지하기 위한 반응 가스 공급량은 적어도 된다. 또한, 퍼지 가스 공급 수단에 대해서도 갭이 작을수록 피처리 기판 표면 위의 가스 유속을 크게 할 수 있어, 가스 분리를 위한 가스 공급 유량이 적어도 된다. 어느 경우에도 갭이 작을수록 ALD 장치의 가스 사용량을 줄일 수 있다. 그러나, 회전형 세미 배치 ALD 장치에서는 통상적으로 회전 서셉터나 그 상부에 배치된 가스 공급 수단은 직경이 1미터 이상이고, 중량도 수십 킬로그램 이상이어서 고온에서의 재료의 팽창, 자중이나 차압에 의해 형상 일그러짐이 상당히 많이 발생한다. 따라서, 해당 갭에 대해서는 수밀리미터 이내로 제어하는 것이 바람직하다고 여겨지고 있으나, ALD 프로세스 중에 있어서 항상 수 밀리미터 이내로 제어하기는 쉽지 않다. 이 과제에 대해, 종래의 회전형 세미 배치 ALD 장치에 관한 특허 문헌은 효과적인 해결 수단을 제시하지 못하였다.
기존 기술을 이용한 일반적인 갭 제어 수단으로는, 상부 가스 공급 수단에 단일 혹은 복수 개의 레이저 광원을 부착하고, 피처리 기판에 레이저광을 조사하고, 입사광과 반사광의 위상의 어긋남으로부터 피처리 기판까지의 거리를 광학적으로 측정하고, 그 측정값을 회전 서셉터를 상하 구동하는 스테핑 모터에 실시간으로 피드백하는 방법을 생각할수 있다. 스테핑 모터의 회전 운동과 서셉터의 상하 운동 간의 변환에 대해서는 웜 기어, 유성식 기어 혹은 랙 앤드 피니언 기어 등에 의해 수행된다. 스테핑 모터의 회전 정밀도는 통상적으로 0.05°정도로 매우 정확하므로, 수 밀리미터 정도의 정밀도로 갭을 제어하는 것은 가능하다. 그러나, 회전 서셉터와 상부 가스 공급 수단 간의 평행도를 확보하기가 어렵고, 갭이 장소에 따라 변동한다. 따라서, 모든 피처리 기판의 위치에 있어서 갭을 수 밀리미터 이하로 제어하기는 어렵다.
만일, 상부 가스 공급 수단을 수 밀리미터 이하의 거리로 정확하게 부유시키는 기술이 있다면 상기 과제를 해결할 수 있다. 좁은 갭의 부유 수단으로서, 다수 개의 미세 구멍으로부터 가스를 분사시킴으로써 물체를 부유 홀딩하는 에어 쿠션 기술이 알려져 있으며, 액정 유리 기판이나 반도체 실리콘 기판의 컨택리스 이송 기술로서 실용화되고 있다. 갭이 작아지면 가스 분출 압력이 올라가 보다 큰 차압이 발생하기 때문에 자동으로 갭을 크게 하는 힘이 작용한다. 이와 같이 에어 쿠션에는 자동적인 갭 제어 기능이 구비되어 있기 때문에, 모든 장소에서 갭을 균일하게 유지하는 것이 가능하다. 최근, 특허 문헌 23 및 특허 문헌 24에서 고안된 바와 같이, 가스 배출 구멍과 가스 분출 구멍을 교대로 배치시킴으로써 갭에서의 안정 부유 홀딩 특성을 향상시킨 기술도 개발되고 있다. 그러나, 에어 쿠션만으로는, 예를 들면 1Torr 정도의 차압에 있어서는 부유할 수 있는 중량은 1.3 g/cm2 정도밖에 되지 않으며, 수십 킬로그램 이상의 상부 가스 공급 수단을 완전히 지탱할 수 없다. 중량이 큰 물체의 부유 기술로는 한편, 스프링이나 자석에 의한 방법이 있는데, 이들 수단으로는 실시간으로 갭을 수 밀리미터 이하로 정밀하게 제어하기는 어렵다. 따라서, 본 발명에서는, 스프링, 자석, 혹은/및 플렉시블 플랜지 등의 플렉시블한 홀딩 수단에 의해 상부 가스 공급 수단을 부유 홀딩하고, 나아가 상부 가스 공급 수단의 퍼지 가스 공급부에 에어 쿠션 기능을 구비함으로써 모든 기판 표면에 있어서 수 밀리미터 이내의 정밀한 갭 제어 수단을 제공한다.
본 발명의 다섯 번째 발명의 수단에 대해 설명한다. ALD 프로세스는 막두께균일성이 CVD 등 다른 성막 방법에 비해 높기 때문에, 두께가 10nm 정도이하로서, 디바이스 성능을 좌우하는 고품질의 박막을 형성하는 크리티컬 프로세스에 주로 사용된다. ALD 프로세스에서는 막두께가 ALD 사이클마다 계단형으로 증가하고, 이 단일 ALD 사이클 당 막두께 증가량은 통상적으로 0.1 내지 0.2nm 정도이다. 따라서, 많은 ALD 프로세스에서는 ALD 사이클 수는 수 십 내지 100 정도이다. 한편, 회전형 세미 배치 ALD 장치에서는 복수 개의 피처리 기판이 동시에 성막 처리되는데, 각각의 기판은 각각 서로 다른 가스 공급부에 위치한다. 혹은, 동일 기판 안이라도 장소에 따라 서로 다른 가스 공급부에 위치하는 경우도 발생한다. 예를 들면, 하나의 피처리 기판이 금속 반응 가스 공급부에 있는 경우, 다른 기판은 퍼지 가스 공급부나 비금속 반응 가스 공급부 혹은 다른 금속 함유 반응 가스 공급부에 위치하는 경우가 있을 수 있다. 따라서, 피처리 기판에 의해 서로 다른 ALD 사이클 이력을 가짐으로써 피처리 기판 사이, 혹은 피처리 기판 내에 있어서 ALD 사이클 수가 서로 다르고, 기판 안 혹은 기판 사이에서 막두께의 불균일이 발생한다. 이 결과, 10nm 이하의 극박막 성막의 경우에서는 1ALD 사이클 어긋나는 것만으로 이들 불균일이 3% 정도에 달하고, 다른 CVD 방법에 대한 ALD의 막두께 균일에서의 우위성이 손상된다. 마이크로 리액터형 세미 배치 ALD 장치에서는 하나의 피처리 기판 위에 복수 개의 가스 공급 유닛이 배치되기 때문에, 특히 이 문제는 중대한 문제가 된다. 따라서, 회전 속도와, 각각의 반응 가스의 공급 시작 및 차단시에서의 가스 공급 수단과 피처리 기판의 상대 위치를 조정한 결과, 어떠한 기판 수 혹은 가스 공급 수단의 구성에 대해서도 모든 기판의 모든 위치에 있어서 동일한 ALD 사이클 수와 동일한 폭로 시간을 유지할 수 있다는 사실을 알 수 있었다. 이상의 검토 결과로부터, 본 발명에서는, 피처리 기판의 수나 가스 공급 수단의 구성에 따라, 각각의 반응 가스 공급 및 정지시에서의 피처리 기판과 가스 공급 수단 간의 상대 위치 관계, 혹은/및 피처리 기판 서셉터 회전 속도를 제어함으로써 모든 피처리 기판의 모든 장소에 있어서 동일한 막두께의 ALD가 실시되도록 하였다.
본 발명의 여섯 번째 발명의 수단에 대해 설명한다. 반응식 2에서 기술한 비금속의 ALD 반응의 반응 속도(R2)는 수학식 3에서 나타낸 바와 같이, 압력 일정 하에서는 미흡착 사이트(θ)에만 비례한 일차 반응으로 기술할 수 있다. 반응 속도(R2)를 dθ/dt로 표시하고, 미분 방정식을 풂으로써 흡착 사이트는 다음 식과 같이 시간의 함수로서 나타낼 수 있다. 여기서, γ는 k2PNH3을 나타낸다.
θ=1-e- γt (수학식 4)
피처리 기판이 NH3 가스에 폭로되면, 그 표면은 급속하게 NHx의 흡착 분자로 덮이고, θ=1에 가까워지고, ALD 흡착 반응은 포화되어 더 이상 진행되지 않게 된다. 이 포화 현상은 반응식 1에 대해서도 동일하게 발생한다. 이 포화 흡착 특성이 하나의 원자층마다 박막을 퇴적하는 ALD의 특성이며, 도 1에서 나타낸 포화 ALD 반응 영역에 대응한다. 이 ALD 포화 반응 영역에 도달하기 시작하는 ALD 포화 시간은 압력에 의존하고, 통상의 ALD 압력 범위에서는 수 마이크로초 정도라는 것을 알 수 있었다. 예를 들면, 반응식 1에 있어서, TiCl4의 압력이 1Torr인 경우, TiCl4 반응 가스는 확산에 의해 피처리 기판 표면에 도달한다. 이 확산 플럭스(流束)(φTiCl4)는 이하의 식으로 표현된다.
φTiCl4 =P/(2πmkT)0.5 (수학식 5)
여기서, P는 TiCl4 반응 가스의 분압이다. 또한, T와 m은 각각 피처리 기판 표면의 온도와 반응 가스의 분자량을 나타낸다. k는 볼츠만 상수이다. 350℃의 프로세스 온도에서는 수학식 5에서 나타낸 반응 가스 플럭스는 1x1020 (1/cm2s) 정도가 된다. 한편, 피처리 기판 표면의 흡착 사이트 수는 대부분의 물질에 대해 1x1015 (1/cm2)이다. 피처리 기판 표면에서의 ALD 포화 반응 시간(ts0)은 반응 가스 플럭스와 표면 흡착 사이트 수의 비로 나타낼 수 있으며, 상기 예에서는 10μs 정도만에 수학식 4에서의 포화 상태에 도달한다는 것을 알 수 있다.
한편, 높은 어스펙트비를 갖는 홀이나 트렌치의 내부에서는 거기에 도달하는 가스 분자 수가 적어지기 때문에 포화 반응 시간(ts)은 표면에서의 포화 반응 시간(ts0)보다 길어진다. 최신의 DRAM의 스택 커패시터에서는 홀의 직경이 30nm 이하이고 깊이가 3μm 정도에 이르며, 어스펙트비는 100 정도가 된다. 이러한 매우 높은 어스펙트비의 홀에 대한 포화 반응 시간(ts)은 가장 단순한 모델로서, 홀의 입구로부터 확산으로 유입해 온 가스 분자가 홀 안의 모든 흡착 사이트를 차지하는 시간으로 어림할 수 있다. 단, 흡착 사이트 수는 모든 면에서 동일 밀도라고 가정한다. 이 경우, 포화 시간(ts)은 어스펙트비를 α로 정의하면, ts=2αts0가 된다. 어스펙트비 100의 경우에는 대략 2ms 정도가 된다. 실제로는 홀이나 트렌치의 내부에서의 반응 가스의 플럭스는 더 깊어지면 깊어질수록 적어지므로 포화 시간(ts)은 더 길어진다.
따라서, 1Torr, 350℃에 있어서, 본 발명의 회전형 세미 배치 ALD 장치를 이용하여, 어스펙트비가 0(평탄면) 내지 80인 홀 패턴에 대해 회전 수를 변화시켜 TiCl4와 NH3에 의한 TiN의 ALD 성막을 수행하고, 주사 전자 현미경과 투과 전자 현미경을 이용하여 TiN막의 스텝 커버리지와 어스펙트비의 관계를 상세하게 조사하였다. 이 결과, 도 2에 도시한 바와 같이, ALD 반응에서는 어느 크리티컬한 어스펙트비까지는 스텝 커버리지는 1을 유지하지만, 크리티컬 어스펙트비를 초과하면 급히 스텝 커버리지가 저하한다는 것을 알 수 있었다. 이 현상은 어스펙트비의 증가와 함께 스텝 커버리지거 서서히 저하하는, CVD 반응에 있어서 일반적으로 관측되는 현상과 전혀 다르며, ALD 반응 특유의 현상이다. 나아가, 스텝 커버리지가 급히 저하하기 시작하는 크리티컬 어스펙트비는 회전 수가 높아지고 NH3 가스에 대한 폭로 시간이 짧아질수록 낮아졌다. 이들 사실과 현상(事象)은 중요한 깨달음이다. 즉, 피처리 기판에서의 최대의 어스펙트비를 갖는 패턴에 대해 100%의 커버리지를 확보할 수 있는 최대 회전 수 혹은 최소 폭로 시간을 실험이나 어떠한 계산법으로 산출해 두면, 실제의 ALD 프로세스에서의 회전 수를 산출한 최대 회전 수 이하로, 혹은 폭로 시간을 최소 폭로 시간 이상으로 설정함으로써 모든 기판에 대해 100%의 스텝 커버리지를 확보할 수 있다. 따라서, 본 발명에서는, 피처리 기판 표면 형상에서의 최대의 어스펙트비를 바탕으로 ALD 포화 반응 시간을 산출하고, 기판 표면이 산출한 해당 포화 반응 시간보다 길게 폭로되도록 기판 회전 속도를 제어한다.
나아가 또한, 중요한 발견 사항으로서, 스텝 커버리지가 저하하기 시작하는 상태에서의, 크리티컬 어스펙트비와 NH3 반응 가스 공급 수단에 대한 기판의 폭로 시간의 관계를 차원 해석한 결과, 도 3에 도시한 바와 같이, 폭로 시간은 수학식 6에 나타낸 바와 같이, 어스펙트비가 10 이상인 경우, 어스펙트비의 2차 함수로 근사할 수 있다는 것을 알 수 있었다.
ts-ts0=γα2 (수학식 6)
ts0은 어스펙트비가 제로인 점에 외삽한 점, 즉 평탄면에서 ALD 반응이 유지되는 폭로 시간의 평방근이 된다. 상기 예에서의 실험에서는 오차를 상당히 많이 포함하는데, 이 ts0은 수 십 마이크로초 정도이며, 수학식 5 부분의 의론에서 산출한 평면 위 ALD 포화 시간과 대략 합치한다. 한편, 어스펙트비가 80인 경우에 대해서는 포화 시간은 1초 이상 필요하며, 평탄면에서의 포화 시간의 일만 배 이상이 되어 어스펙트비에 크게 의존한다는 것을 알 수 있었다. 이러한 높은 어스펙트비를 갖는 디바이스에 대해서는 매분 300 회전의 고속 회전을 수행하면 반응 가스의 폭로 시간은 50ms 이하 정도가 되며, 포화 시간보다 짧아져 높은 어스펙트비의 패턴에 대해서는 완전한 스텝 커버리지를 확보할 수 없게 된다. 본 발명은 이러한 과제를 극복하는 것으로서, 확실하게 100% 스텝 커버리지를 확보할 수 있다. 또한, TiN 이외에 AlO막이나 HfO 등 다른 막 종류의 ALD 성막에 대해서도 동일한 2차 함수가 얻어진다는 사실도 밝혀졌다. 나아가, 트렌치 패턴에 대해서도 포화 시간을 어스펙트비의 함수로서 구하였더니, 대략 1차 함수로 나타낼 수 있다는 사실이 밝혀졌고, 어떠한 패턴이라도 어스펙트비의 1차 혹은 2차의 비교적 간단한 함수로 포화 시간을 기술할 수 있다는 사실이 밝혀졌다. 이들 결과는, 비특허 문헌 11에 있어서 원형의 홀 패턴에 대해 이루어진 시뮬레이션과 잘 합치한다는 것을 알 수 있으며, 이 모델이 실제의 많은 ALD 프로세스나 피처리 기판 패턴에 대해 적용될 수 있다는 것을 알 수 있었다. 또한, 포화 시간은 어스펙트비의 간단한 1차 혹은 2차 함수로 표시되므로, 1점 혹은 2점 정도의 어스펙트비와 포화 시간의 데이터가 있다면, 쉽게 다른 어스펙트비에 대해서도 포화 시간 도출 함수를 구할 수 있다. 예를 들면, 상기 TiN의 예에서는, 어스펙트비 10 이상에서는 ts>>ts0이고, 어느 한 점의 어스펙트비(α1)에서의 포화 시간(ts1)을 구하면 임의의 어스펙트비(α)에서의 포화 시간(ts)은 ts=ts1(α/α1)2로 근사할 수 있다. 이상의 발견 및 고찰에 의해, 본 발명에서는, 기판 표면 형상에서의 최대의 어스펙트비를 바탕으로 ALD 포화 반응 시간을 어스펙트비(α)의 함수(f(α))로서 산출하고, 기판 표면이 해당 산출 시간보다 길게 폭로되도록 기판 회전 속도를 제어하는 것을 특징으로 하는 회전형 세미 배치 ALD 장치를 제공하는 것이다. 나아가 높은 어스펙트비의 패턴에 대해서는 f(α)는 어스펙트비(α)의 1차 혹은 2차 함수로 근사할 수 있다.
나아가, 압력을 0.5Torr, 3.0Torr로 변화시켜 동일한 실험 검토를 수행한 결과, 프로세스 압력과 프로세스 온도의 평방근은 모두 최소 필요 폭로 시간과 반비례의 관계에 있다는 사실도 밝혀졌다. 따라서, 1조건의 압력 및 온도에서의 포화 반응 시간을 산출하면, 임의의 압력 및 온도에서의 포화 반응 시간을 구할 수 있다. 본 발명에서는 이 압력의 효과도 고려하여 필요 최저 폭로 시간 혹은 최대 회전 수를 구한다. 나아가, 어스펙트비는 ALD 성막이 진행됨과 동시에 증가하므로, 본 발명에서는 이 프로세스 중의 어스펙트비 증가의 효과도 고려하여 실시간으로 회전 수를 제어한다.
이상 개시한 6개의 발명 사항을 단독 혹은 결합하여 이용함으로써 ALD 프로세스의 최대의 장점인 100% 스텝 커버리지 및 높은 막두께 균일성의 두 특성을 해치지 않고 높은 생산성, 낮은 가스 소비량으로 낮은 파티클 발생의 ALD 프로세스가 달성된다. 또한, 종래의 회전형 세미 배치 ALD 장치 프로세스 기술로는 이들 성능을 동시에 달성하기는 매우 어려우며, 본 발명은 반도체, LED나 태양 전지의 분야에 있어서 보다 뛰어난 디바이스의 경제적 제조에 크게 기여한다.
도 1은 ALD 프로세스에서의 과제를 설명한 도면으로서, 반응 가스 폭로 시간과 반응 속도 간의 관계를 나타낸다.
도 2는 본 발명에 이르는 발견을 설명한 도면으로서, 반응 가스 폭로 시간과 스텝 커버리지 간의 관계를 나타낸다.
도 3은 본 발명에 이르는 발견을 설명한 도면으로서, 피처리 기판 표면에 식각된 패턴의 어스펙트비와 ALD 포화 반응 시간 간의 관계를 나타낸다.
도 4는 피처리 기판이 6장 탑재되고, 금속 함유 반응 가스 공급 수단 및 비금속 반응 가스 공급 수단이 모두 2장의 기판에 해당하는 영역을 점유하는 경우의 실시예에서의 ALD 장치 평면을 나타낸 설명도이다.
도 5는 도 4에서의 본 발명 실시예에서의 선 ABC를 따른 ALD 장치 단면을 도시한 설명도이다.
도 6은 도 4에서의 본 발명 실시예에서의 선 ABD를 따른 ALD 장치 단면을 도시한 설명도이다.
도 7은 첫 번째 및 두 번째 본 발명에서의 실시예에서의 금속 함유 반응 가스 공급부의 단면을 도시한 설명도이다.
도 8은 첫 번째 및 두 번째 본 발명에서의 실시예에서의 비금속 반응 가스 공급부의 단면을 도시한 설명도이다.
도 9는 첫 번째 및 두 번째 본 발명에서의 실시예에서의 퍼지 가스 공급부의 단면을 도시한 설명도이다.
도 10은 세 번째 본 발명의 실시예를 나타내며, 기판 6장 탑재되고, 금속 함유 반응 가스 공급 수단이 기판 1장에 해당하는 영역을 점유하는 경우에서의 ALD 장치 평면을 도시한 설명도이다.
도 11은 세 번째 본 발명의 실시예를 나타내며, 기판 6장 탑재되고, 금속 함유 반응 가스 공급 수단이 기판 1.5장에 해당하는 영역을 점유하는 경우에서의 ALD 장치 평면을 도시한 설명도이다.
도 12는 세 번째 본 발명의 실시예를 나타내며, 기판 8장 탑재되고, 금속 함유 반응 가스 공급 수단 및 비금속 반응 가스 공급 수단이 모두 3장의 기판에 해당하는 영역을 점유하는 경우에서의 ALD 장치 평면을 도시한 설명도이다.
도 13은 세 번째 본 발명의 실시예를 나타내며, 기판 8장 탑재되고, 금속 함유 반응 가스 공급 수단이 기판 2.5장에 해당하는 영역을 점유하는 경우에서의 ALD 장치 평면을 도시한 설명도이다.
도 14는 세 번째 본 발명의 실시예를 나타내며, 기판 8장 탑재되고, 금속 함유 반응 가스 공급 수단이 기판 2장에 해당하는 영역을 점유하는 경우에서의 ALD 장치 평면을 도시한 설명도이다.
도 15는 세 번째 본 발명의 실시예를 나타내며, 기판 8장 탑재되고, 금속 함유 반응 가스 공급 수단이 기판 1.5장에 해당하는 영역을 점유하는 경우에서의 ALD 장치 평면을 도시한 설명도이다.
도 16은 세 번째 본 발명의 실시예를 나타내며, 기판 8장 탑재되고, 금속 함유 반응 가스 공급 수단이 기판 1장에 해당하는 영역을 점유하는 경우에서의 ALD 장치 평면을 도시한 설명도이다.
도 17은 세 번째 본 발명의 실시예를 나타내며, 기판 8장 탑재되고, 두 쌍의 ALD 가스 공급 및 배기 수단이 설치된 경우에서의 ALD 장치 평면을 도시한 설명도이다.
도 18은 네 번째 본 발명의 실시예에서의 ALD 장치 단면도를 도시한 설명도이다.
도 19는 네 번째 본 발명의 실시예에서의 ALD 장치 평면도를 도시한 설명도이다.
도 20은 네 번째 및 다섯 번째 본 발명의 실시예에서의 갭 컨트롤 흐름도 및 가스 공급 컨트롤 흐름도로 이루어지는 ALD 성막 제어 시스템을 도시한 설명도이다.
도 21은 도 12에 도시한 가스 공급 및 배기 수단과 기판 8장 탑재의 구성에 있어서 다섯 번째 본 발명의 실시예에서의 ALD 프로세스 시퀀스를 도시한 설명도이다.
도 22는 도 12에 도시한 가스 공급 및 배기 수단과 기판 8장 탑재의 구성에 있어서 다섯 번째 본 발명의 실시예에서의 ALD 프로세스 시작 시 기판 배치를 도시한 설명도이다.
도 23은 도 12에 도시한 가스 공급 및 배기 수단과 기판 8장 탑재의 구성에 있어서 다섯 번째 본 발명의 실시예에서의 ALD 프로세스 완료 시 기판 배치를 도시한 설명도이다.
도 24는 도 12에 도시한 가스 공급 및 배기 수단과 기판 8장 탑재의 구성에 있어서 인큐베이션을 고려한 다섯 번째 본 발명의 실시예에서의 ALD 프로세스 시퀀스를 도시한 설명도이다.
도 25는 도 12에 도시한 가스 공급 및 배기 수단과 기판 8장 탑재의 구성에 있어서 인큐베이션을 고려한 다섯 번째 본 발명의 실시예에서의 ALD 프로세스 시작 시 기판 배치를 도시한 설명도이다.
도 26은 도 12에 도시한 가스 공급 및 배기 수단과 기판 8장 탑재의 구성에 있어서 인큐베이션을 고려한 다섯 번째 본 발명의 실시예에서의 ALD 프로세스 완료 시 기판 배치를 도시한 설명도이다.
도 27은 도 14에 도시한 가스 공급 및 배기 수단과 기판 8장 탑재의 구성에 있어서 다섯 번째 본 발명의 실시예에서의 ALD 프로세스 시퀀스를 도시한 설명도이다.
도 28은 도 14에 도시한 가스 공급 및 배기 수단과 기판 8장 탑재의 구성에 있어서 다섯 번째 본 발명의 실시예에서의 ALD 프로세스 시작 시 기판 배치를 도시한 설명도이다.
도 29는 도 14에 도시한 가스 공급 및 배기 수단과 기판 8장 탑재의 구성에 있어서 다섯 번째 본 발명의 실시예에서의 ALD 프로세스 완료 시 기판 배치를 도시한 설명도이다.
도 30은 도 16에 도시한 가스 공급 및 배기 수단과 기판 8장 탑재의 구성에 있어서 다섯 번째 본 발명의 실시예에서의 ALD 프로세스 시퀀스를 도시한 설명도이다.
도 31은 도 13에 도시한 가스 공급 및 배기 수단과 기판 8장 탑재의 구성에 있어서 다섯 번째 본 발명의 실시예에서의 ALD 프로세스 시퀀스를 도시한 설명도이다.
도 32는 도 13에 도시한 가스 공급 및 배기 수단과 기판 8장 탑재의 구성에 있어서 다섯 번째 본 발명의 실시예에서의 ALD 프로세스 시작 시 기판 배치를 도시한 설명도이다.
도 33은 도 13에 도시한 가스 공급 및 배기 수단과 기판 8장 탑재의 구성에 있어서 다섯 번째 본 발명의 실시예에서의 ALD 프로세스 완료 시 기판 배치를 도시한 설명도이다.
도 34는 도 15에 도시한 가스 공급 및 배기 수단과 기판 8장 탑재의 구성에 있어서 다섯 번째 본 발명의 실시예에서의 ALD 프로세스 시퀀스를 도시한 설명도이다.
도 35는 도 17에 도시한 두 쌍의 가스 공급 및 배기 수단과 기판 8장 탑재의 구성에 있어서 다섯 번째 본 발명의 실시예에서의 ALD 프로세스 시퀀스를 도시한 설명도이다.
도 36은 도 17에 도시한 두 쌍의 가스 공급 및 배기 수단과 기판 8장 탑재의 구성에 있어서 다섯 번째 본 발명의 실시예에서의 ALD 프로세스 시퀀스를 도시한 설명도이다.
도 37은 도 17에 도시한 두 쌍의 가스 공급 및 배기 수단과 기판 8장 탑재의 구성에 있어서 다섯 번째 본 발명의 실시예에서의 ALD 프로세스 시퀀스를 도시한 설명도이다.
도 38은 도 4에 도시한 가스 공급 및 배기 수단과 기판 6장 탑재의 구성에 있어서 다섯 번째 본 발명의 실시예에서의 ALD 프로세스 시퀀스를 도시한 설명도이다.
도 39는 도 4에 도시한 가스 공급 및 배기 수단과 기판 6장 탑재의 구성에 있어서 다섯 번째 본 발명의 실시예에서의 ALD 프로세스 시작 시 기판 배치를 도시한 설명도이다.
도 40은 도 4에 도시한 가스 공급 및 배기 수단과 기판 6장 탑재의 구성에 있어서 다섯 번째 본 발명의 실시예에서의 ALD 프로세스 완료 시 기판 배치를 도시한 설명도이다.
도 41은 도 10에 도시한 가스 공급 및 배기 수단과 기판 6장 탑재의 구성에 있어서 다섯 번째 본 발명의 실시예에서의 ALD 프로세스 시퀀스를 도시한 설명도이다.
도 42는 도 11에 도시한 가스 공급 및 배기 수단의 구성과 기판 6장 탑재에서의 다섯 번째 본 발명의 실시예에서의 ALD 프로세스 시퀀스를 도시한 설명도이다.
도 43은 도 11에 도시한 가스 공급 및 배기 수단의 구성과 기판 6장 탑재에서의 다섯 번째 본 발명의 실시예에서의 ALD 프로세스 시작 시 기판 배치를 도시한 설명도이다.
도 44는 도 11에 도시한 가스 공급 및 배기 수단의 구성과 기판 6장 탑재에서의 다섯 번째 본 발명의 실시예에서의 ALD 프로세스 완료 시 기판 배치를 도시한 설명도이다.
도 45는 도 12에 도시한 구성의 가스 공급 및 배기 수단의 구성을 이용하여 전처리와 ALD 프로세스를 동일 챔버 내에서 수행하는 경우의 다섯 번째 본 발명의 시퀀스를 도시한 설명도이다.
도 46은 도 12에 도시한 구성의 가스 공급 및 배기 수단의 구성을 이용하여 전처리와 ALD 프로세스를 동일 챔버 내에서 수행하는 경우의 다섯 번째 본 발명의 시퀀스를 도시한 설명도이다.
도 47은 여섯 번째 발명의 실시예에서의 회전 제어 시퀀스를 나타내는 설명도이다.
도 48은 본 발명의 기판 6장 탑재에서의 ALD 시스템 실시예를 도시한 설명도이다.
도 49는 본 발명의 기판 8장 탑재에서의 ALD 시스템 실시예를 도시한 설명도이다.
이하, 상기에서 진술한 6개의 발명 사항으로 이루어지는 본 발명의 구체적인 실시예를 도면을 이용하여 개시한다.
먼저, 본 발명에 있어서 첫 번째 발명의 실시예에 대해, 도 4 내지 도 9를 이용하여 설명한다. 도 4는 본 발명 회전형 세미 배치 ALD 장치의 평면도를 나타낸다. 진공 용기(1)의 상부에는 가스 공급 및 배기 수단(2)이 설치되고, 금속 함유 반응 가스 공급 및 배기부(21), 비금속 반응 가스 공급 및 배기부(22), 퍼지 가스 공급 및 배기부(23), 중앙 퍼지 가스 공급 및 배기부(24) 및 주변퍼지 가스 공급 및 배기부(25)로 구성된다. 이들 가스 공급 및 배기 수단(2)의 바로 아래에는 갭(g)을 띄워, 피처리 기판(4)이 피처리 기판(4)의 표면과 서셉터(3)의 표면이 동일 높이가 되도록 회전 서셉터(3)의 패임(32)에 탑재되어 있다. 도 4의 실시예에서는 6장의 기판이 배치되고, 각각 1 내지 6에 의해 번호가 매겨져 있다. 피처리 기판(4)을 탑재한 회전 서셉터(3)는 본 실시예에서는 회전축(34)을 중심으로 회전 기어(36)를 통해 회전 구동 모터(37)에 의해, 도 4에 있어서 화살표로 나타낸 바와 같이 위에서 보아 반시계 방향으로 회전하는데, 시계 방향이어도 무방하다. 또한, 회전축(34)은 자성 유체 실드(35)에 의해 진공 실드되어 있다. 금속 함유 반응 가스 공급 및 배기부(21), 비금속 가스 공급 및 배기부(22) 및 둘 사이에 배치된 두 개의 퍼지 가스 공급 및 배기부(23)는 모두 부채꼴의 형상을 하며, 피처리 기판(4)의 모든 장소에 대해 각 가스 공급부를 통과하는 시간이 동일해지도록 되어 있다. 이 방법에 의해, 피처리 기판(4)의 모든 장소에서 반응 가스에 대한 폭로 시간이 동일해져 높은 ALD 막두께 균일성을 구현할 수 있다.
도 4에 있어서, ABC의 단면도를 도 5에, 또한 ABD의 단면도를 도 6에 도시하였다. 진공 용기(1)의 상부에는 가스 공급 및 배기 수단(2)이 설치되어 있다. 도 5에 있어서, 우측은 금속 함유 반응 가스의 공급 및 배기부(21)을 나타내고, 좌측은 비금속 반응 가스의 공급 및 배기부(22)을 나타낸다. 금속 반응 가스 공급 수단(21)의 구체적인 내용을 도 7에 도시하였다. 금속 함유 반응 가스 공급 및 배기 부(21)은 금속 함유 반응 가스 공급부(211)와 그를 에워싸는 격벽(219) 및 진공 배기 홈(214)으로 구성되어 있다. 금속 함유 반응 가스 공급부(211)에는 샤워 플레이트(212)가 설치되고, 그 바로 아래에는 캐비티(218)가 형성되어 있다. 가스 도입구(213)로부터 공급된 반응 가스는 샤워 플레이트(212)에서 균일하게 분산되고, 도면에서 화살표로 나타낸 바와 같이, 캐비티(218)를 아래 방향으로 완만하게 그리고 균일하게 흐르는 다운플로가 되고, 바로 아래의 처리 기판 표면(4)과 반응한다. 미반응의 가스 및 반응 생성 가스는 캐비티(218) 하단과 피처리 기판(4) 간의 좁은 갭(g)을 지나 캐비티(218)를 에워싸도록 형성된 가스 배출 홈(214)으로부터 배기되고, 가스 배기구(215)를 통해 진공 펌프(216)에 접속되어 있다. 비금속 반응 가스 공급 및 배기부(22)에 대해서도 동일한 구조를 취하는데, 금속 함유 반응 가스와 섞이지 않도록, 배기계 및 진공 펌프는 각각 다른 계통으로 되어 있다. 또한, 캐비티의 높이에 대해, 해당 높이가 크면 가스 용적이 커지고, ALD 시작과 종료에서의 가스의 교환에 필요한 시간이 길어지므로 바람직하지 않다. 5cm 이내이면, 해당 가스 교환 시간은 수초 이내로서, 장치의 쓰루풋에 대한 영향은 무시할 수 있을 정도로 작다는 것을 알 수 있었다. 또한, 캐비티의 높이가 작은 경우에 대해서는 막두께의 균일성이나 스텝 커버리지에 대한 영향은 없었다. 이러한 반응 가스 공급 및 배기 구조에 의해, 두 반응 가스는 처리 기판 표면(4)과 효율적으로 반응할 수 있고, 동시에 두 가스 소비량을 적게 할 수 있다. 또한, 두 반응 가스는 각각의 가스 공급부를 에워싸는 가스 배기홈으로부터 각각 따로따로 효율적으로 배기되기 때문에, 각각의 반응 가스가 가스 공급 및 배출 수단으로부터 밖으로 누출되는 일은 없으며, 종래의 회전형 세미 배치 ALD 장치에 비하여, 뛰어난 반응 가스 분리 효과를 얻을 수 있다. 또한, 배기 가스 농도가 짙기 때문에 리사이클에 의한 재이용이나 가스 제외도 효율적으로 수행할 수 있고, 종래의 회전형 세미 배치 ALD 장치에 대비하여 가스 사용량을 대폭 저감시킬 수 있다.
한편, 퍼지 가스 공급 및 배기부(23)는, 도 9에 도시한 바와 같이, 퍼지 가스 공급부(231)와 그를 에워싸는 퍼지 가스 배기홈(234)으로 이루어지며, 금속반응 가스 공급 및 배기부(21)의 경우와 달리, 샤워 플레이트(212)의 바로 아래에 캐비티가 없고, 좁은 갭(g)을 통해 직접 피처리 기판(4)과 대향하여 샤워 플레이트(212)를 배치함으로써 샤워 플레이트(212)로부터 배출된 퍼지 가스가 좁은 갭(g)을 피처리 기판(4)을 따라 횡방향으로 흐르고, 피처리 기판 표면이나 오목부에 잔류하는 가스를 효율적으로 배출할 수 있도록 하였다. 가스 유속은 갭의 두께에 반비례하므로, 혹은 동일한 가스 유속을 얻기 위해서는 좁은 갭일수록 사용 가스 유량을 적게 할 수 있기 때문에, 갭(g)이 작을수록 유리하다. 갭(g)은 통상적으로 광학식 갭 계측 수단(16)으로부터의 신호를 갭 컨트롤 시퀀서(17)에 입력하고, PID 제어에 의해 스테핑 모터(18)를 구동시킴으로써 4mm 이하로 제어할 수 있다.
또한, 도 4 및 도 5에 도시한 실시예에서는, 퍼지 가스는 반응 가스 공급 및 배기부(21) 및 (22) 사이에 설치된 퍼지 가스 공급부(23)뿐만 아니라, 진공 용기(1)의 중앙부에 설치된 퍼지 가스 공급 및 배기부(24) 및 진공 용기 내벽 주변에 원주상으로 배치된 주변부 퍼지 가스 공급부(25)로부터도 공급된다. 이 방식에 의해, 진공 용기(1)의 중앙 근방 및 주변부에 있어서 반응 가스가 혼합되는 것을 방지할 수 있다.
본 실시예에 있어서, 모든 퍼지 가스 공급부에 설치된 샤워 플레이트에 대해, 가스 분출 구멍의 배치 피치는 프로세스 압력을 0.1Torr 정도 이상이 대부분인 것을 고려하여, 그 압력에서의 질소 분자의 평균 자유 행정의 3배인 5mm로 설정했으나, 10mm 이내이면, 양호한 가스 흐름 균일성이 얻어진다는 사실을 확인하였다. 또한, 샤워 플레이트 대신 다공질 플레이트를 사용해도 좋으며, 이 경우 가스 분출 구멍 피치가 작기 때문에 가스 분산의 균일성이 더 향상된다. 또한, 반응 가스 공급부(211) 및 (221)에 있어서 샤워 플레이트 엣지에서의 반응 가스의 체류를 방지하기 위해, 최외주의 가스 분출 구멍과 격벽 간의 거리도 5mm 이하로 했으나, 10mm 미만이면 양호한 효과가 얻어졌다. 또한, 코너를 둥글게 가공하여 체류하는 곳을 제거해도 좋다.
도 5 및 도 8에 비금속 반응 가스 공급부(221)에 대해 첫 번째 본 발명의 다른 실시 형태를 도시하였다. 여기서는, ALD 반응 전체의 율속이 될 비금속 가스에 의한 제2 단계의 반응(반응식 2)을 촉진하기 위해 비금속 반응 가스 공급 샤워 플레이트(222)의 바로 위에 유전판(13)을 통해 RF 코일이나 마이크로파 방사 안테나 등의 플라즈마 여기 수단(12)이 배치된다. 이 플라즈마 여기 수단(12)은 동축 케이블(11)을 통해 RF 혹은 마이크로파 전원(10)에 접속되고, 샤워 플레이트(222)의 바로 아래의 캐비티(228)에 플라즈마를 발생할 수 있다. 이 플라즈마에 의해 비금속 가스가 여기되고, ALD의 제2 단계 반응을 신속하게 진행시킬 수 있다. 도 8에서의 실시예에서는 비금속 반응 가스 공급부(221) 내에 직접 플라즈마를 발생시켰으나, 반응 가스 공급 및 배기 수단(22)의 외부에서 리모트 플라즈마를 발생시켜 비금속 가스를 여기시키고, 해당 여기 라디칼을 비금속 가스 공급부(22)에 유도하고, 샤워 플레이트(222)로부터 분출하여도 좋다. 또한, 비금속 반응 가스 라디칼을 생성하는 수단으로서, 플라즈마 외에 고온으로 가열한 텅스텐이나 백금 등의 금속 메시에 의해 촉매 반응을 발생시켜도 좋으며, 또한 UV광을 조사하여도 좋다. 이들 라디칼 발생 수단은 질소 가스를 비금속 반응 가스로 하여 금속 질화물을 ALD 성막하는 경우에는 특히 효과적이다. 왜나하면, 질소 가스는 비활성으로서, 흡착된 금속 원자 프리커서와 표면 반응하기 어렵기 때문에 질소를 여기시켜 질소 라디칼을 발생시킬 필요가 있기 때문이다. 또한, 금속 함유 반응 가스의 흡착 반응에 대해서는 이러한 가스 분자 여기 수단은 마이너스의 효과를 미치는 경우가 많다. 즉, 플라즈마 등에 의해 금속 함유 가스 분자의 배위자가 떨어져나와 분자끼리가 기상 반응을 일으킴으로서 파티클이 발생하거나, CVD 반응에 의해 스텝 커버리지가 저하된다. 따라서, 플라즈마 등에 의한 가스 분자 여기 수단은 비금속 반응 가스 공급부(221)에만 사용되며, 금속 함유 반응 가스 공급부(211)에는 설치하지 않는다.
비금속 반응 가스 공급부(221)에 설치된 플라즈마 발생 수단(12)은 프로세스가스를 활성화시켜 ALD 성막 속도를 촉진할뿐만 아니라, 회전 서셉터(3)에 퇴적된 ALD 반응 생성물을 제거하거나 에칭하는 경우에도 이용된다. 이 경우, 프로세스 가스로서 ClF3, NF3, F2 등의 할로겐이 사용되고, 금속 산화물, 금속 질화물을 휘발성의 금속 할로겐 화합물로서 제거한다. 본 발명의 실시 형태에서는 5000장의 피처리 기판에 10nm의 TiN을 성막한 후, 기판을 탑재하지 않고 온도를 400℃로 승온시켜 플라즈마에 의해 염소 라디칼을 발생시키고, 회전 서셉터에 붙은 TiN막을 제거하였다.
또한, 피처리 기판(4)의 온도 제어에 관한 공지의 기술로서, 서셉터(3)의 패임(32) 바로 아래에는 피처리 기판(4)을 가열하기 위한 히터(31)가 매설되어 있어, 피처리 기판(4)의 온도를 제어할 수 있도록 되어 있다. 가열 온도는 대부분의 ALD 반응을 커버할 수 있는 100 내지 500℃의 범위에서 성막할 막 종류나 반응 가스의 종류에 따라 설정한다. 가열 히터를 회전 서셉터 전체에 매립하거나 혹은 가열 히터를 서셉터(4)의 바로 아래에 별도로 배치하는 방법도 제안된 바 있으나, 본 실시예에서는 에너지 절감, 온도 제어의 고속성과 고정밀도화, 히터 재료 부식 방지 및 유지 보수의 용이성 등의 관점에서 가열 히터(31)는 회전 서셉터(3) 내에, 기판(4)의 바로 아래의 영역에만 매립하였다. 단, 본 발명은 히터 가열 방식에 대해 제한을 주는 것은 아니며, 어느 방식이어도 무방하다. 또한, 추가로 성막 프로세스에서의 다른 공지의 기술로서, 진공 용기(1) 전체를 보온하고, 진공 용기(1)의 내벽 및 기판(4)이 탑재되는 장소 이외의 회전 서셉터(3)의 표면에 대해, 그들의 온도가 ALD 반응이 진행되는 프로세스 온도보다 낮으면서, 소정의 프로세스 압력에서의 금속 함유 반응 가스의 응집 온도 이상으로 제어되어 있다. 나아가, 회전 서셉터(3)에는 정전 척(도시 생략)이 설치되어 있으며, 서셉터(3)를 고속 회전시키거나, 가스 흐름을 증가시켜도 피처리 기판(4)이 부유 혹은 이탈하는 일이 없도록 하였다.
다음 두 번째 본 발명의 실시예를 도 4 내지 9에 의해 설명한다. 금속 반응 가스 공급부(211), 비금속 반응 가스 공급부(221), 두 가스를 분리하기 위해 두 가스 공급부 사이에 설치된 퍼지 가스 공급부(231) 및 가스 공급부 중앙에 설치된 가스 분리를 위한 퍼지 가스 공급부(241)의 주위에는 각각 독립적으로 금속 함유 반응 가스 진공 배기 홈(214), 비금속 반응 가스 진공 배기 홈(224), 퍼지 가스 진공 배기 홈(234) 및 중앙부 퍼지 가스 진공 배기 홈(244)이 배치되고, 금속 함유 반응 가스 배기구(215), 비금속 반응 가스 배기구(225), 퍼지 가스 배기구(235) 및 중앙부 퍼지 가스 배기구(245)로부터 각각 독립적으로 배기된다. 금속 함유 반응 가스는 금속 함유 반응 가스 진공 펌프(216)에 의해 배기되고, 비금속 반응 가스는 비금속 반응 가스 진공 펌프(226)에 의해 따로따로 배기되는데, 퍼지 가스에 대해서는 퍼지 가스 배기구(235), 중앙부 퍼지 가스 배기구(245) 및 진공 용기 하부(6)에 설치된 진공 용기 배기구(26)로부터 배기된 퍼지 가스를 한꺼번에 퍼지 가스 진공 펌프(236)에 의해 배기하였다. 또한, 진공 용기 벽 주변에 설치된 주변부 퍼지 가스 공급 수단(25)에 대해서만은, 회전 서셉터(3)와 진공 용기 벽(6) 사이의 틈새(41)를 통해 퍼지 가스가 배기되고, 주변 퍼지 가스 공급 수단(25)의 내측에는 배기 홈을 배치하지 않았다. 이는 주변 가스 퍼지 공급 수단(25)이 주로 가스 분리를 목적으로 하여 설치된 것이 아니라, 진공 용기 안의 압력 설정과, 후술하는 상부 가스 공급 수단(2)과 피처리 기판(4)의 갭 제어를 목적으로 하여 설치된 것에 따른다. 단, 물론 주변 퍼지 공급부의 내측에도 진공 배기 홈을 배치하여도 좋다. 이와 같이 모든 반응 가스 공급부와 퍼지 가스 공급부를 각각 독립된 진공 배기 홈으로 둘러싸고, 반응 가스 공급부와 퍼지 가스 공급부의 가스 배기를 이중 구조에 의해 각각의 가스를 따로따로 효율적으로 배기함으로써 종래의 회전형 세미 배치 ALD 장치에 비해 뛰어난 반응 가스 분리 효과를 얻을 수 있다. 또한, 배기 가스 농도가 진하기 때문에 리사이클에 의한 재이용이나 가스 제해(除害)도 효율적으로 행할 수 있고, 종래의 회전형 세미 배치 ALD 장치에 비해 가스 사용량을 대폭으로 줄일 수 있다.
다음, 세 번째 본 발명에 대해, 실시예를 도 4, 도 7 및 도 10 내지 도 17을 이용하여 설명한다. 도 4에 도시된 실시예에서는, 회전 서셉터(3) 위에 6장의 피처리 기판(4)이 탑재되어 있다. 부채꼴을 한 금속 함유 반응 가스 공급 및 배기부(21)와 비금속 반응 가스 공급 및 배기부(22)가 동일한 열림 각도로 각각 기판 2장분에 해당하는 영역을 점유하고 있으며, 각각 기판 1장분만큼 이격되어 배치되어 있다. 이 1장분의 기판에 해당하는 넓이의 가스 분리 영역에 퍼지 가스 공급 및 배기부(23)가 배치된다. 이 경우, 어떠한 타이밍에 있어서도 금속 함유 반응 가스 공급 및 배기부(21)와 비금속 반응 가스 공급 및 배기부(22)가 동일 기판 위에 위치하는 일이 없으므로, 도 7에 있어서 회전 서셉터(3)의 패임(32)과 기판(4) 간의 틈새를 탄 반응 가스의 혼합은 발생하지 않으며, 이면 파티클의 증가가 일어나지 않는다.
도 10에 세 번째 본 발명의 다른 실시 형태를 도시했다. 회전형 세미 배치 ALD 프로세스에 있어서는 반응 가스 공급 및 배기부(21) 및 (22)의 면적이 크면 클수록 피처리 기판(4)과 반응 가스 간의 접촉 시간이 길어지고, 그만큼 빨리 회전할 수 있고, 쓰루풋을 향상시킬 수 있다. 특히, 비금속 반응 가스와 피처리 기판(4) 간의 접촉 시간을 가능한 한 길게 유지하는 것이 효과적이다. 도 10에서는, 피처리 기판 6장의 경우에 있어서, 금속 함유 반응 가스 공급 및 배기부(21)가 1장의 기판에 해당하는 영역을 점유하는 한편, 비금속 반응 가스 공급부(22)가 3장의 기판에 해당하는 영역을 점유하는 경우이다. 도 4의 경우와 동일하게, 두 가스 공급 및 배기부는 1장의 기판에 해당하는 영역만큼 서로 이격되어 배치되고, 그 가스 분리 영역에 퍼지 가스 공급 및 배기부(23)가 설치되어 있다. 도 11에, 세 번째 본 발명에 대해 또 다른 실시 형태를 도시했다. 여기서는, 피처리 기판 6장의 경우에 있어서, 금속 함유 반응 가스 공급 및 배기부(21)가 1.5장의 기판에 해당하는 영역을 점유하는 한편, 비금속 반응 가스 공급 및 배기부(22)가 2.5장의 기판에 해당하는 영역을 점유하는 경우이다. 이 경우, 각각의 가스 공급부의 경계와 피처리 기판 설치 장소가 어긋나는데, 모든 시간에 있어서, 금속 함유 반응 가스 공급 및 배기부(21)와 비금속 반응 가스 공급 및 배기부(22)는 기판 1장만큼 서로 떨어져 있어, 서셉터(3)의 패임(32)과 기판(4) 사이의 틈새를 탄 반응 가스의 혼합은 발생하지 않는다. 이상 설명한 실시예에서는 금속 함유 반응 가스 공급 및 배기부(21)와 비금속 반응 가스 공급 및 배기부(22) 간의 면적비가 2:2, 1.5:2.5, 1:3인 경우를 개시했으나, 이 면적비에 한정되는 것은 아니며, 2:2 내지 1:3 사이라면, 예를 들면 2.3:2.7 등 어떠한 임의의 비율이어도 무방하다. 또한, 드문 경우이긴 하지만, 금속 함유 반응 가스 공급 및 배기부(21)의 면적이 비금속 반응 가스 공급 및 배기부(22)의 면적보다 커도 좋고, 어떠한 반응 가스 공급 및 배기부의 구조를 취할 것인지는 ALD 포화 반응을 유지하기 위해 필요한 금속 함유 반응 가스 흡착 반응의 필요 최저 폭로 시간과 비금속 반응 가스 흡착 반응의 필요 최저 폭로 시간의 비에 따라 결정한다. 어쨌든, 금속 함유 반응 가스 공급 및 배기부(21)와 비금속 반응 가스 공급 및 배기부(22)는 기판 1장에 해당하는 부채꼴 영역의 거리만큼 이격되어 설치되어 있는 것이 중요하다.
도 12 내지 도 17에 있어서 개시된 본 발명의 실시예는, 피처리 기판(4)이 회전 서셉터(3)에 8장 탑재된 경우로서, 서로 다른 금속 함유 반응 가스 공급 및 배기부(21)와 비금속 반응 가스 공급 및 배기부(22) 간의 면적비의 구성 형태를 도시한 것이다. 이들 도면에서는 구성을 보다 명확하게 하기 위해 막두께계(膜厚計), 갭 계측기, 기판 승하강 핀 등 기판과 가스 공급 수단 간의 상대 위치에 관한 정보 이외는 생략하였다. 도 12의 실시예에서는, 금속 함유 반응 가스 공급 및 배기부(21)와 비금속 반응 가스 공급 및 배기부(22)가 동일 면적을 가지며, 각각 기판 3장분에 해당하는 영역을 커버하고 있다. 두 반응 가스 공급 및 배기부는 기판 1장분에 해당하는 영역만큼 떨어져서 배치되어 있으므로, 기판(4)의 주위의 틈새를 탄 두 가스의 혼합은 발생하지 않는다. 도 13, 도 14, 도 15 및 도 16에서는 각각 금속 함유 반응 가스 공급 및 배기부(21)와 비금속 반응 가스 공급 및 배기부(22)가 커버하는 영역의 면적비가 2.5:3.5, 2:4, 1.5:4.5, 1:5인 경우의 본 발명의 실시 형태이다. 어느 경우에도 금속 함유 반응 가스 공급 및 배기부(21)와 비금속 반응 가스 공급 및 배기부(22)는 기판 1장만큼 서로 떨어져 있으며, 기판(4)의 주위의 패임의 틈새를 탄 반응 가스의 혼합은 발생하지 않는다. 기판이 6장 탑재된 회전형 세미 배치 ALD 장치의 경우와 마찬가지로, 금속 함유 반응 가스 공급 및 배기부(21)와 비금속 반응 가스 공급 및 배기부(22)의 면적비는 이들 값에 한정되는것은 아니며, 3:3 내지 1:5 사이이면 어떠한 비율이어도 무방하다. 또한, 금속 함유 반응 가스 공급 및 배기부(21)의 면적이 비금속 반응 가스 공급 및 배기부(22)의 면적보다 커도 좋으며, 어떠한 반응 가스 공급 및 배기부 구조를 취할 것인지는 ALD 포화 반응을 유지하기 위해 필요한 금속 함유 반응 가스 흡착 반응의 필요 최저 폭로 시간과 비금속 반응 가스 흡착 반응의 필요 최저 폭로 시간의 비에 따라 결정한다. 어쨌든, 금속 함유 반응 가스 공급 및 배기부(21)와 비금속 반응 가스 공급 및 배기부(22)는 기판 1장에 해당하는 부채꼴 영역의 거리만큼 떨어져서 설치되어 있는 것이 중요하다.
도 17에 세 번째 발명에 대해, 피처리 기판이 8장 탑재된 ALD 장치의 다른실시 형태를 도시했다. 여기서는, 금속 함유 반응 가스 공급 및 배기부(21)와 비금속 반응 가스 공급 및 배기부(22)가 2세트, 각각 기판 1장분에 해당하는 영역을 사이에 두고 배치되어 있다. 본 실시예에서는, 금속 함유 반응 가스를 2종류 혹은/및 비금속 반응 가스를 2종류 공급함으로써, 서로 다른 성분의 막으로 이루어지는 하이브리드막을 퇴적할 수 있다는 이점이 있다. 예를 들면, 제1 금속 함유 반응 가스를 Hf 프리커서 가스, 제2 금속 함유 반응 가스를 Al 프리커서 가스, 두 개의 비금속 반응 가스 공급부로부터 오존을 각각 공급함으로써 HfO/AlO의 하이브리드막을 성막할 수 있다. 단, 이 방식에 있어서는, 각각의 반응 가스 공급 및 배기부는 기판 1장에 해당하는 영역만을 점유하므로, 각각의 반응 가스 공급부에 있어서 피처리 기판이 반응 가스에 접촉하는 시간은 짧아지고, 그만큼 회전 서셉터의 회전 속도는 낮아져 쓰루풋이 저하한다.
다음, 네 번째 본 발명의 실시예를, 도 18 및 도 19 및 도 20을 이용하여 개시한다. 본 실시예에서는, 도 18에 도시한 바와 같이, 상부 가스 공급 수단(2)은 진공 용기(1)에 대해, 플렉시블 벨로즈(29)를 통해 상하 방향으로 그 위치를 변동할 수 있는 구조로 되어 있으며, 영구 자석쌍(30)에 의해 지지되어 있다. 즉, 상부의 영구 자석과 하부의 영구 자석은 서로 반발하도록 설치되고, 상부 가스 공급 수단(2)을 부유 홀딩하고 있다. 영구 자석쌍(30)은 도 19에 도시한 바와 같이, 하중이 균등하게 분산되도록, 진공 용기(1)의 주변에 일정한 간격을 띄우고 다수 개 설치했으나, 진공 용기 외주 전체를 점유하도록 설치하여도 좋다. 자석의 세기나 구성에 대해서는, 진공 용기를 진공으로 하여 대기압에 대해 차압이 있는 상태에서, 상부 가스 공급 수단(2)이 부유하기 직전에 균형을 잡도록 선택하고 있다. 또한, 상부 가스 공급 및 배기 수단(2)의 부유 홀딩 장치로서, 본 실시예에서는 영구 자석쌍을 사용했으나, 스프링이나 전자석 코일 등을 사용해도 좋으며, 그들 부유 홀딩 수단을 에어 쿠션에 의한 갭 미세 조정 수단과 결합하여 사용하는 것이 중요하다.
에어 쿠션으로는, ALD 프로세스와 동일한 정도이거나 조금 높은 1Torr 내지 수 Torr의 압력으로, 퍼지 가스를 주변부 퍼지 가스 공급부(25) 혹은/및 중심부 퍼지 가스 공급 및 배기부(24)로부터 회전 서셉터(3)를 향해 분출한다. 금속 함유 반응 가스, 비금속 반응 가스 및 그들 사이로부터 공급되는 퍼지 가스도 프로세스 압력과 진공 용기 베이스 압력 간의 차압에 해당하는 부유력이 있으며, 이들 가스 공급 수단도 에어 쿠션으로서 이용하여도 좋다. 이들 모두를 고려하면, 본 실시예에서는 에어 쿠션력은 20kg중(重)(중량 킬로그램) 정도가 되었다. 이 부유력은 상부 가스 공급 및 배기 수단을 들어올릴만큼의 크기는 아니지만, 전술한 스프링이나 자석 등에 의한 부유 홀딩 수단과 결합하면 충분한 크기가 된다. 또한, 퍼지 가스 공급 샤워 플레이트 구조에 대해, 가스의 분출 구멍과 배기 구멍을 샤워 플레이트 위에 교대로 배치하여도 좋다. 이 경우, 반발력과 흡인력이 동시에 작용하고, 해당 갭을 자기 정합적으로, 또한 보다 정밀하게 제어할 수 있다.
상부 가스 공급 및 배기 수단(2)과 피처리 기판(4) 간의 갭(g)을 정밀하게 제어하는 방법으로서, 본 실시예에서는, 도 18에 도시한 바와 같이, 갭(g)을 레이저광 계측 수단(16)으로 계측하고, 갭 컨트롤 시퀀서(17)를 통해, 퍼지 가스 분출량 조정 밸브(20)에 PID 피드백함으로써 갭을 제어하고 있다. 여기서, 레이저광 계측 수단(16)에 대해서는 서로 다른 3점에 있어서 측정하고, 둘레 방향으로 3분할한 퍼지 가스 공급부로부터의 가스 공급량을 개별적으로 제어함으로써 갭의 서셉터 영역 전체에서의 면내 균일성 향상을 도모했다. 가스 공급부의 둘레 방향 분할 수를 더 많게 하여, 균일한 갭 제어 특성을 향상시켜도 좋다. 또한, 레이저 광학식 계측 수단(16)의 수는 갭 제어에 의한 가스 사용량 저감과 장치 제조 비용을 비교하여 경제적으로 최적이 되도록 선택하면 된다. 이 갭 제어는, 도 20에 도시한 바와 같이, ALD 성막 제어 시스템에 내장되며, 피처리 기판(4)이 진공 용기 안의 서셉터(3)에 탑재되어 진공으로 배기되고 나서, ALD 프로세스가 완료되어 회전이 정지한 후에 고진공으로 배기되어 게이트 밸브를 열기 직전까지 항상 계속되고 있다. 이상 본 실시예에서 개시한 에어 쿠션, 부유 홀딩 수단 및 갭 컨트롤 시스템의 기술을 이용함으로써 상부 가스 공급 및 배기 수단(2)의 하단과 회전 서셉터(3)의 갭(g)을 1밀리미터 정도 이하의 거리로 유지할 수 있었다.
다음 다섯 번째 본 발명에 대해, 실시 형태를 도 5와 도 20 및 도 21 내지 도 44를 이용하여 개시한다. 성막 프로세스 시퀀스에 대해, 먼저, 도 5에 있어서, 진공 용기(1)의 하부(6)에 구비된 배기구(26) 및 진공 용기(1)의 상부에 배치된 가스 공급 및 배기 수단(2)의 배기구(215, 225, 235, 245)로부터 진공 펌프(236, 216 및 226)에 의해, 진공 용기(1)와 가스 공급 및 배기 수단(2)의 내부 전체를 고진공으로 배기한다. 소정의 고진공에 도달한 후, 진공 용기(1)에 구비된 게이트 밸브(5)를 열고, 상하 구동 가능한 암(8)을 구동시킴으로써 핀(7)을 상승시키고, 진공 이송 로봇(도시 생략)을 이용하여, 피처리 기판(4)을 미리 소정의 온도로 설정된 회전 서셉터(3)의 패임(32)의 위치까지 이송하고, 핀(7) 위에 피처리 기판(4)을 탑재한다. 다음, 자기 실드(9)를 통해 핀 홀딩 암(8)을 구동시킴으로써 핀(7)을 아래 방향으로 내리고, 피처리 기판(4)을 회전 서셉터(3)의 패임(32) 속에 탑재한다. 첫 번째 기판을 회전 서셉터(3)에 탑재한 후, 회전 서셉터(3)를 다음 기판 탑재 위치까지 반시계 방향으로 회전시키고, 두 번째 피처리 기판(4)을 동일한 방법에 의해 회전 서셉터(3)에 탑재한다. 이를 반복함으로써 모든 피처리 기판(4)을 회전 서셉터(3)에 탑재한다. 모든 피처리 기판 탑재 후, 회전 서셉터(3)에 매립된 정전 척(도시 생략)에 의해 피처리 기판(4)을 서셉터(3)에 밀착시키고, 게이트 밸브(5)를 잠근다. 여기서, 도 20에 도시한 바와 같이, 피처리 기판 수, 목표 막두께, 회전 서셉터 홈 포지션, 단일 ALD 사이클 성막 속도, 피처리 기판에 형성된 패턴의 최대 어스펙트비 등을 ALD 장치 제어 시스템에 사전에 입력해 둔다. 물론, 제어 시스템에는 가스 유량이나 온도 패턴 등의 다른 프로세스 패러미터도 입력되는데, 여기서는, 다섯 번째 본 발명의 실시 내용을 명확하게 하기 위해, 해당 발명에 관한 패러미터만을 리스트업했다. 다음, 이상의 데이터로부터 금속 함유 반응 가스의 공급 시작 및 정지 위치, 비금속 반응 가스의 공급 시작 및 정지 위치, 필요 ALD 사이클 수, 반응 가스 공급 시작 및 종료 시점에서의 회전 서셉터 회전 속도, 정상 상태에서의 서셉터 회전 속도 등을 산출한다. 피처리 기판을 회전 서셉터에 탑재 후, 회전 서셉터(3)의 위치를 홈 포지션에 세팅한다. 다음, 진공 용기 상부의 퍼지 가스 공급부(23), 중심부 퍼지 가스 공급부(24) 및 진공 용기(6)의 주변에 설치된 주변부 퍼지 가스 공급부(25)로부터 퍼지 가스를 흘리고, 각각의 가스 배출 홈으로부터 진공 배기함으로써 진공 용기 안이 소정의 프로세스 압력이 되도록 압력 설정한다. 다음, 회전 서셉터(3)를 초기 회전 속도로 회전을 시작하고, 피처리 기판(4)이 사전에 입력한 소정의 장소에 도달한 시점에서 가스 공급 차단 시퀀서(38)를 통해 가스 공급 차단 밸브(39 및 40)를 제어함으로써 금속 함유 반응 가스 혹은/및 비금속 반응 가스를 각각의 반응 가스 공급 및 배기부(21,22)로부터 분출, 배기를 시작한다. 혹은, 각 반응 가스 공급부로부터 미리 퍼지 가스를 흘려 두었다가, 소정의 위치에서 반응 가스로 전환하여도 좋다. 이 때, 최초의 1회전에 대해서는 피처리 기판 수와 가스 공급 및 배기 수단(2)의 구성에 따른 ALD 시작시의 변동 회전 수 패턴에 의해 회전한다. 2번째 회전 이후에는 어스펙트비로부터 산출한 정상 ALD 회전 속도로 회전 서셉터(3)를 회전시킨다. 피처리 기판(4)은 회전 서셉터(3)와 함께 회전함으로써 금속 함유 반응 가스, 퍼지 가스, 비금속 반응 가스 및 퍼지 가스에 차례로 폭로되고, ALD 프로세스가 진행된다. ALD 사이클 수가 목표 ALD 사이클 수, 즉 목표 막두께를 단일 ALD 사이클에서의 성막으로 나눈 값에 가장 가까운 정수값에 도달했다면, 사전에 입력된 피처리 기판 위치에 있어서, 가스 공급 차단 시퀀서(38)를 통해 가스 공급 차단 밸브(39 및 40)를 제어함으로써 금속 함유 반응 가스 및 비금속 반응 가스의 공급을 차단하고, ALD 반응을 완료한다. ALD 성막 중에 있어서 인라인으로 설치된 막두께계(14)에 의해 막두께를 실시간으로 계측하는 경우에는, 막두께가 소정의 막두께가 된 시점에서 ALD 프로세스의 완료로 한다. 이 ALD 시작과 완료 시점의 제어에 의해, 모든 피처리 기판에 있어서 동일한 막두께가 얻어진다. ALD 프로세스가 완료되면, 금속 함유 반응 가스 및 비금속 반응 가스를 각각 예정된 위치에 있어서 퍼지 가스로 전환한다. 진공 용기 안에 잔존하는 반응 가스를 완전히 배출한 후, 회전 서셉터(3)를 홈 포지션에서 정지하고, 스테핑 모터에 의해 아래 방향으로 내리고, 퍼지 가스를 정지한다. 그리고, 진공 용기 안을 고진공으로 배기하면, 게이트 밸브(5)를 열어 피처리 기판(4)을 서셉터(3)에 탑재했을 때와 반대의 순서로 상하 구동 핀(7)과 진공 이송 로봇(도시 생략)을 이용하여, 피처리 기판(4)을 진공 용기(1)로부터 로드락실(도시 생략)로 배출한다. 이하, 도 21 내지 도 44를 이용하여, 본 발명에 대한 프로세스 시퀀스 및 가스 공급 및 정지에서의 회전 서셉터(3) 및 피처리 기판(4)의 위치에 대해 기판 8장과 기판 6장 탑재된 경우의 구체적인 실시예를 몇 개 개시하는데, 본 발명은 가스 공급 및 배기 수단(2)의 구성에 따라 회전 수 및 가스 공급 및 정지 타이밍에서의 기판 위치를 조정함으로써, 모든 기판에 대해 동일한 ALD 사이클 수와 동일한 폭로 시간을 유지함으로써, 목적으로 하는 막두께의 ALD 성막을 실시함에 있어서 개시한 구체적인 예에 한정된 것은 아니다.
본 발명의 하나의 실시예로서, 피처리 기판 수가 8장이고, 금속 함유 반응 가스 공급 및 배기부(21)와 비금속 반응 가스 공급 및 배기부(22)가 피처리 기판 1장분에 해당하는 퍼지 영역을 사이에 두고 배치되고, 두 반응 가스 공급부 면적이 각각 4장의 기판에 해당하는 면적의 영역을 점유하는 경우의 ALD 프로세스 시퀀스를 도 21에, 또한 그 시퀀스에서의 ALD 시작 및 완료 시점에서의 기판 위치를 도 22와 도 23에 도시했다. 이 시퀀스도에 있어서, 기호 A는 금속 함유 반응 가스를 나타내고, 기호 B는 비금속 반응 가스를 나타내고, 기호 P는 퍼지 가스를 나타낸다. 또한, 피처리 기판(4)과 가스 공급 수단(2) 간의 상대 위치를 명시하기 위해, 가스 공급 및 배기 수단(2)을 8개의 영역으로 분할하고, 각각 1 내지 8로 부호를 붙였다. 홈 포지션에서는 첫 번째 기판이 1번의 위치에 대응하고, 차례로 2번째 기판이 제8 위치, 3번째의 기판이 제7 위치라는 식으로 역방향으로 대응해 있다. 본 실시예에서는, 이 홈 포지션에서, 금속 함유 반응 가스와 비금속 반응 가스를 동일 시각에 흘리기 시작하고, 그 시각을 ALD 시작 시점으로 한다. 이 때, 도 22에 도시한 바와 같이, 첫 번째 내지 세 번째 기판은 금속 함유 반응 가스에 접촉하고, 다섯 번째 내지 일곱 번째 기판은 비금속 반응 가스에 접촉해 있다. 네 번째와 여덟 번째 기판은 퍼지 가스 에 접촉해 있다. 이 ALD 시작 시점에서는 첫 번째 피처리 기판은 1개의 금속 반응 가스 영역과만 접촉하며, 정상 상태에서의 접촉 시간의 1/3이 된다. 마찬가지로 두 번째 피처리 기판이 금속 반응 가스와 접촉하는 시간은 정상 상태에서의 접촉 시간의 2/3가 된다. 이 짧은 접촉 시간을 보충하기 위해, 회전 시작에 있어서, 최초의 기판 폭로 단계에서는 회전 속도를 정상 회전의 1/3 혹은 그 이하로 설정한다. 마찬가지로, 두 번째 기판 폭로 단계에서는 회전 속도를 정상 회전의 2/3 혹은 그 이하로 한다. 실시예에서는 정상 회전 속도를 30RPM으로 하고, 첫 번째 기판의 처리 시에서의 회전 속도를 5RPM, 두 번째 기판의 처리 시의 회전 속도는 20RPM으로 하였다. ALD 시작시의 회전 속도를 정상 회전의 1/3의 10RPM이 아니라, 5RPM으로 한 이유는, 반응 가스 공급 시작 시에 전환 시에 2초 정도 이상의 시간이 소요되는 경우가 있으며, 이 퍼지 가스에서 반응 가스로의 전환이 실제로 피처리 기판에 분출되기까지의 타임 래그를 고려했기 때문이다. 혹은, 서셉터의 회전을 정지한 상태에서 반응 가스의 공급을 시작하여 2 내지 3초 정도 방치한 후 회전을 시작해도 좋다. 이러한 ALD 시작 시에서의 변동 회전 수 제어에 의해 모든 피처리 기판에 대해 최초의 금속 반응 가스에 대한 폭로가 충분한 시간이 되도록 할 수 있다.
한편, 본 실시예에서는, ALD 정지는 도 23에 도시한 바와 같이, 금속 반응 가스를 차단하는 타이밍으로 한다. 이 때, 첫 번째 피처리 기판은 제6 가스 공급 수단 영역(퍼지 가스 공급부)에 위치한다. 이 ALD 반응 시퀀스 완료 시점에서 8장 모든 기판에 금속 반응 가스가 n번 폭로되게 된다. 금속 함유 반응 가스 차단 후에도 비금속 반응 가스를 계속 흘려 첫 번째 기판이 n+1번째 회전에서 네 번째 가스 공급 수단 영역 위치에 도달한 시점 혹은 그 이후에 정지시킴으로써 모든 기판에 대해 비금속 가스로 피처리 기판 표면을 종단시킬 수 있다. 이 결과, 8장 모든 피처리 기판에 대해 n층의 ALD 시퀀스가 실시되어 있게 된다. 여기서, 금속 함유 반응 가스 정지 시에 있어서, 여덟 번째 피처리 기판은 마지막 금속 함유 반응 가스 공급 수단의 영역에 막 들어간 참으로서, 정상 회전 상태에서의 1/3의 시간만 금속 함유 반응 가스와 접촉되었다. 따라서, ALD 완료 시의 마지막 기판 처리 단계에 있어서는 서셉터 회전 속도를 정상 속도의 1/3 혹은 그 이하의 회전 속도로 한다. 또한, 그 1장 전의 기판 처리의 시점에서는 일곱 번째 피처리 기판에 대해 금속 함유 반응 가스와의 접촉 시간이 정상 회전 상태에서의 2/3가 되기 때문에 회전 속도를 정상 회전 속도의 2/3 혹은 그 이하로 한다. 본 실시예에서는, 금속 함유 반응 가스를 차단하는 2장 전의 시점에서 회전 수를 20RPM으로 저하시키고, 추가로 차단 시에는 회전 수를 10RPM으로 저하시켰다. 또한, 본 실시예에서는 정상 회전 속도를 매분 30 회전으로 설정했으나, 이 값으로 고정되는 것은 아니며, 여섯 번째 본 발명 실시예에서 후술하는 바와 같이 피처리 기판 패턴의 최대 어스펙트비에 의해 사전에 세팅할 수 있다. 예를 들면, 어스펙트비가 10 정도인 패턴이라면, 스텝 커버리지 특성을 저하시키지 않고 회전 수를 200RPM 정도까지 올릴 수 있다. 또한, ALD 시작 시와 완료 시에서의 변동 회전 수 제어를 수행하는 경우, 처음과 마지막의 1회전에 필요한 시간은 다소 길어지지만, 전체의 회전 수는 100 회전 이상이며, 이에 따른 쓰루풋 저하의 영향은 무시할 수 있을 정도로 작다.
본 발명의 제2 실시 형태로서, 피처리 기판 수가 8장이고, 금속 함유 반응 가스 공급 및 배기부(21)와 비금속 반응 가스 공급 및 배기부(22)가 피처리 기판 1장분에 해당하는 퍼지 영역을 사이에 두고 배치되고, 두 반응 가스 공급부 면적이 각각 3장의 기판에 해당하는 동일 면적의 영역을 커버하는 경우의 다른 실시예에 대해, ALD 프로세스 시퀀스를 도 24에, 또한 그 시퀀스에서의 ALD시작 및 완료 시점에서의 기판 위치를 도 25와 도 26에 도시했다. ALD 프로세스에서는 가끔 최초의 수 사이클에 대해 흡착 반응이 진행되기 어려워 성막이 진행되지 않는, 소위 인큐베이션이 발생한다. 이는, 최초의 금속 반응 가스 흡착이 진행되기 어려운 것에 따른 것으로서, 금속 함유 반응 가스만을 비교적 장시간 피처리 기판에 접촉할 필요가 있다. 또한, 피처리 기판에 흡착하는 첫 번째 층의 원자가 금속 원자 혹은 비금속 원자의 어느 하나가 아니면, ALD 성막한 박막의 특성이 열화되는 경우가 있다. 따라서 본 실시예에서는, 첫 번째 층의 흡착 원자가 금속인 경우를 가정하고, 최초의 수 회전에 대해 금속 함유 반응 가스만을 흘려 금속 원자의 초기 흡착 반응을 충분히 촉진한 후, 피처리 기판(4)이 소정의 가스 공급 및 배기 수단(2)의 장소에 도달한 시점에서 비금속 반응 가스를 흘렸다. 이 경우, 비금속 반응 가스를 흘리기 시작한 시간을 ALD 시작시간이라고 한다. 본 실시예에서는, 도 25에 도시한 바와 같이, 첫 번째 피처리 기판이 제3 위치(최초의 비금속 반응 가스 공급부)에 도달했을 때이다. 이 경우, 일곱 번째 기판은 제5 위치에 있기 때문에, 1개의 비금속 반응 가스 공급 및 배기부(22)에만 폭로되며, 통상적으로 3개의 연속된 비금속 반응 가스 및 배기부(22)에 노출되는 정상 상태에 비해 접촉 시간이 1/3이 된다. 마찬가지로, 여덟 번째 기판이 비금속 반응 가스에 접촉하는 시간은 정상 상태의 2/3이다. 이 비금속 반응 가스와의 접촉 시간의 저하를 보충하기 위해, 최초의 첫 번째의 기판의 처리 시에는 회전 속도를 정상 회전의 1/3 혹은 그 이하로 하고, 두 번째 기판의 처리 시에는 정상 회전 수의 2/3 혹은 그 이하의 회전 수로 한다. 또한, 도면에서는 금속 함유 반응 가스만을 흘리고 있는 시간을 1사이클로 했으나, 인큐베이션 시간이 긴 경우에는 이 사이클을 수 사이클까지 더 증가시켜도 좋다.
ALD 정지는, 이전 실시예와 마찬가지로 금속 반응 가스를 차단하는 타이밍으로한다. 이때, 도 26에 도시한 바와 같이, 첫 번째 피처리 기판은 제4 가스 공급 수단 영역(비금속 반응 가스 공급부 중앙)에 위치한다. 이 ALD 반응 시퀀스 완료 시점에서 8장 모든 기판에 금속 반응 가스가 n번 폭로되어 있게 된다. 금속 함유 반응 가스 차단 후에도 비금속 반응 가스를 계속 흘려 첫 번째 기판이 n+1번째 회전에서 두 번째 가스 공급 수단 영역 위치에 도달한 시점 혹은 그 이후에 정지시킴으로써 모든 기판에 대해 비금속 가스로 피처리 기판 표면을 종단시킬 수 있다. 이 결과, 8장 모든 피처리 기판에 대해 n층의 ALD 시퀀스가 실시되어 있게 된다. 여기서, 금속 함유 반응 가스 정지 시에 있어서, 여섯 번째 피처리 기판은 마지막 금속 함유 반응 가스 공급 수단의 영역에 막 들어간 참으로서, 정상 회전 상태에서의 1/3의 시간만 금속 함유 반응 가스와 접촉되었다. 따라서, ALD 완료 시의 마지막 기판 처리 단계에 있어서는 서셉터 회전 속도를 정상 속도의 1/3 혹은 그 이하의 회전 속도로 한다. 또한, 그 1장 전의 기판 처리의 시점에서는 다섯 번째 피처리 기판에 대해 금속 함유 반응 가스와의 접촉 시간이 정상 회전 상태에서의 2/3가 되기 때문에 회전 속도를 정상 회전 속도의 2/3 혹은 그 이하로 한다. 본 실시예에서는 금속 함유 반응 가스를 차단하는 2장 전의 시점에서 회전 수를 20RPM으로 저하시키고, 차단 시에는 회전 수를 10RPM으로 더 저하시켰다.
또한, 도 27, 도 28 및 도 29에 본 발명의 또 다른 실시예를 도시했다. 여기서는 피처리 기판 1장분에 해당하는 영역을 사이에 두고 금속 함유 반응 가스 공급 및 배기부(21)와 비금속 반응 가스 공급 및 배기부(22)가 배치됨에 있어서, 비금속 반응 가스 공급 및 배기부(22)가 금속 함유 반응 가스 공급 및 배기부(21)에 비해 2배 큰 면적을 점유하는 장치 구성의 경우에 대응해 있다. 즉, 금속 함유 반응 가스 공급 및 배기부(21)는 기판 2장분의 영역을 점유하고, 비금속 반응 가스 공급 및 배기부(22)는 기판 4장분의 영역을 점유하도록 설계하였다. ALD 시작에 대해, 인큐베이션을 줄이기 위해, 최초의 1회전 중에는 금속 함유 반응 가스만을 흘림으로써 금속 함유 반응 가스를 충분히 기판 표면에 흡착시키고 나서 두 번째 회전에서 첫 번째 피처리 기판이 제3 위치에 도달했을 때 비금속 반응 가스를 흘리기 시작하고, 이 시간을 ALD 시작 시간으로 하였다. 인큐베이션 시간이 긴 경우에는 금속 함유 가스만을 흘리는 사이클을 더 길게 하여도 좋다. 여기서, 여섯 번째, 일곱 번째, 여덟 번째의 기판에 대해서는 맨 처음에 비금속 반응 가스와 접촉하는 면적은 정상 상태에 비해 각각 1/4, 1/2, 3/4이 되므로, 최초의 첫 번째, 두 번째, 세 번째의 기판 처리 시에서의 회전 수는 각각 정상 회전 수의 1/4, 1/2, 3/4 혹은 이들 회전 수 이하로 한다. 이 ALD 시작 시에서의 변동 회전 수 제어에 의해 모든 기판에 대해 비금속 반응 가스와의 접촉 시간을 동일하게 할 수 있다. ALD 완료에 대해서는, n번째 회전에 있어서 첫 번째 기판이 제4 위치에 도달했을 때 금속 함유 반응 가스의 공급을 정지하고, 이 시점을 ALD 완료 시로 한다. 이 때, 다섯 번째 기판은 금속 반응 가스에 정상 상태에 대해 1/2의 면적만 접촉되므로, 마지막번째 1장의 기판 처리 시에 있어, 회전 수는 정상 회전 수의 1/2 혹은 그 이하의 회전 수로 하고, 모든 기판이 n번째 비금속 가스에 대해 동일한 접촉 시간을 확보할 수 있도록 하였다. ALD 완료 후에는 비금속 반응 가스만을 흘림으로써 모든 피처리 기판에 대해 n층의 ALD 시퀀스를 실시하고, 또한 그들 표면을 비금속 반응 가스로 종단시켰다.
도 16에서 도시한, 금속 함유 반응 가스 공급 및 배기부(21)가 1장의 기판에 해당하는 영역을 점유하고, 비금속 반응 가스 공급 및 배기부(22)가 5장의 기판에 해당하는 영역을 점유하고, 이들 두 개의 반응 가스 공급부가 기판 1장에 해당하는 영역의 퍼지 가스 공급 및 배기부(23)에서 분리되어 있는 경우에 대해서도, 도 30에 도시한 ALD 시퀀스에 따라, ALD 시작 및 완료 시에서의 기판 위치 제어와 변동 회전 수 제어를 수행함으로써 모든 피처리 기판에 대해 n층의 ALD 처리를 실시하고, 또한 동일한 폭로 시간을 유지하고, 나아가 그들 모든 표면을 비금속 가스로 종단하도록 할 수 있다. 상세한 설명은 상기한 실시예와 동일하며, 중복되므로 생략한다.
나아가, 도 13에서 도시한 바와 같이, 피처리 기판 수가 8장이고, 금속 함유 반응 가스 공급 및 배기부(21)와 비금속 반응 가스 공급 및 배기부(22)가 피처리 기판 1장분에 해당하는 퍼지 가스 공급 및 배기부(23)를 사이에 두고 배치되고, 금속 함유 반응 가스 공급 및 배기부(21)와 비금속 반응 가스 공급 및 배기부(22)가 각각 피처리 기판 2.5장 및 3.5장에 해당하는 영역을 점유하는 경우의 ALD 프로세스 시퀀스의 실시예를 도 31, 도 32 및 도 33을 이용하여 설명한다. 본 실시예에서는, 인큐베이션을 줄이기 위해 금속 함유 반응 가스만을 흘림으로써 금속 함유 반응 가스를 확실하게 기판 표면에 충분히 흡착시킨 후, 도 32에 도시한 바와 같이 첫 번째 피처리 기판이 제2와 제3 위치의 중간에 도달했을 때 비금속 반응 가스를 흘리기 시작하고, 이 시간을 ALD시작 시간으로 한다. 여기서, 여섯 번째, 일곱 번째, 여덟 번째의 기판에 대해서는 맨 처음에 비금속 반응 가스와 접촉하는 면적은 정상 상태에 비해 각각 2/7, 4/7, 6/7이 되므로, 맨 처음의 첫 번째, 두 번째, 세 번째 기판 처리 시에서의 회전 수는 각각 정상 회전 수의 2/7, 4/7, 6/7 혹은 이들 회전 수 이하로 한다. 특히 첫 번째 기판 처리 시에 있어서는, 퍼지 가스에서 비금속 반응 가스로 전환하는 타임 래그를 고려하여, 회전 속도를 더 저하시킬 필요가 있으며, 본 실시예에서는 5RPM으로 하였다. 이 ALD 시작 시에서의 변동 회전 수 제어에 의해 모든 기판에 대해 비금속 반응 가스와의 접촉 시간을 동일하게 할 수 있다.
ALD 완료에 대해서는, 도 33에 도시한 바와 같이, n번째 회전에 있어서 첫 번째 기판이 제3과 제4 위치의 중간에 도달했을 때 금속 함유 반응 가스를 정지한 시점을 ALD 완료 시로 한다. 이 때, 다섯 번째와 네 번째의 기판은 금속반응 가스에 정상 상태에 대해 각각 2/5, 4/5의 면적만 접촉되므로, 마지막으로부터 두 번째와 첫 번째 기판 처리 시에 대해서는 회전 수는 정상 회전 수의 4/5, 2/5 혹은 그 이하의 회전 수로 하고, 모든 기판이 n번째의 비금속 가스에 대해 동일한 접촉 시간을 확보할 수 있도록 한다. ALD 완료 후에는 비금속 반응 가스만을 흘림으로써 모든 피처리 기판에 대해 n층의 ALD 성막을 실시하고, 또한 동일한 폭로 시간을 유지하고, 나아가 그들의 표면을 비금속 가스로 종단할 수 있다.
도 15에 도시한 바와 같이, 피처리 기판 수가 8장이고, 금속 함유 반응 가스 공급 및 배기부(21)와 비금속 반응 가스 공급 및 배기부(22)가 피처리 기판 1장분에 해당하는 퍼지 가스 공급 및 배기부(23)를 사이에 두고 배치되고, 금속 함유 반응 가스 공급 및 배기부(21)와 비금속 반응 가스 공급 및 배기부(22)가 각각 피처리 기판 1.5장 및 4.5장에 해당하는 영역을 점유하는 경우의 ALD 프로세스 시퀀스의 실시예를 도 34에 도시하였다. 이 경우에도 ALD 시작 및 완료 시에서의 기판 위치 제어 및 변동 회전 수 제어를 수행함으로써 모든 피처리 기판에 대해 n층의 ALD 처리를 실시하고, 또한 동일한 폭로 시간을 유지하고, 나아가 그들 모든 표면을 비금속 가스로 종단하도록 할 수 있다. 상세한 설명은 상기한 실시예와 동일하며, 중복되므로 생략한다.
또한, 회전 서셉터(3)의 1회전이 복수 개의 ALD 사이클로 구성되는 경우의 실시 ALD 시퀀스 예를 도 35 내지 도 37에 도시했다. 이 프로세스 시퀀스도에 있어서, 기호 A,B,C,D 및 P는 각각 제1 금속 함유 반응 가스, 제1 비금속 반응 가스, 제2 금속 함유 반응 가스, 제2 비금속 반응 가스 및 퍼지 가스를 나타낸다. 여기서는, 도 17에 도시한 바와 같이, 8장의 피처리 기판이 회전 서셉터(3)에 탑재되고, 금속 함유 반응 가스, 제1 퍼지 가스, 비금속 반응 가스 및 제2 퍼지 가스로 이루어지는 하나의 가스 공급 및 배기 수단이 2세트 배치된다. 이 경우, 도 35에 도시한 바와 같이, 맨 먼저 첫 번째 기판이 제1 위치에 있는 홈 포지션에서 모든 가스를 흘리기 시작하고, 동시에 저속으로 회전을 시작한다. 본 실시예에서는, 퍼지 가스에서 반응 가스로의 교환에서의 타임 래그를 고려하여, 시작 시의 회전 수를 정상 회전 수의 1/3로 하였다. 첫 번째 내지 네 번째 기판에 대해서는, 제1 금속을 포함하는 막이 맨 먼저 기판에 퇴적되고, 다섯 번째 내지 여덟 번째 기판에 대해서는 제2 금속을 포함하는 막이 맨 먼저 기판에 퇴적된다. ALD 정지에 대해서는, n+1 회전째에 도달하고 곧바로 첫 번째 기판이 제1 위치에 도달했을 때 제1과 제2 금속 함유 반응 가스를 모두 차단하고, 이 시점을 ALD 완료 시점으로 한다. 제1과 제2 비금속 반응 가스에 대해서는 제1 기판이 세 번째 위치에 도달한 시점 혹은 이보다 나중에 차단한다. 이 시퀀스에 의해 모든 기판에 대해 소정의 횟수만큼 퇴적된 ALD막이 얻어지고, 모든 기판 표면은 비금속 반응 가스로 종단되어 안정적인 상태로 되어 있다. 단, 제1 내지 제3 기판에 대해서는 최표면층은 제2 금속을 포함하는 막으로 구성되는데, 제4 내지 제8 기판의 최표면층은 제1 금속을 포함하는 막으로 구성된다.
도 17에 도시한 가스 공급 및 배기 수단의 구성에 있어서, 모든 기판에 대해 제1 금속을 포함하는 막부터 성막을 시작하는 경우의 ALD 프로세스 시퀀스를 도 36에 도시했다. 이 경우, 회전 서셉터(3)가 홈 포지션에 있을 때 제1 금속 함유 반응 가스 및 제1 비금속 반응 가스의 공급을 시작함과 아울러 회전을 시작한다. 다음, 첫 번째 기판이 제5 위치에 도달했을 때 제2 금속 함유 반응 가스 및 제2 비금속 반응 가스의 공급을 시작한다. 이 시퀀스에 의해 모든 기판에 대해 제1 금속을 포함하는 막이 맨 먼저 성막되고, 이어서 제2 금속을 포함하는 막이 성막된다. ALD 종료에 대해서는, n번째 회전으로 첫 번째 기판이 제8 위치에 도달한 시점에서 제1 금속 함유 반응 가스의 공급만을 정지한다. 다음, n+1번째 회전이 되어, 첫 번째 기판이 제4 위치에 도달했을 때 제1 금속 함유 반응 가스를 차단한다. 둘 모두의 비금속 반응 가스의 차단은 n+1번째 회전으로 첫 번째 기판이 제8 위치이거나 혹은 그 이후가 된 시점에서 차단한다. 이러한 시퀀스에 의해 모든 기판에 대해 동일한 막 순서로 구성되는 n층의 하이브리드 성막이 가능해진다.
또한, 도 17에 도시한 가스 공급 및 배기 수단의 구성에 있어서, 모든 기판에 대해 제1 금속만 포함하는 막으로 성막하는 경우의 실시예를 도 37에 도시했다. 먼저, 제1 및 제5 위치의 금속 함유 반응 가스 공급 및 배기부로부터 금속 함유 반응 가스만을 공급한다. 첫 번째 기판이 제3 위치에 도달한 시점에서 두 개의 비금속 반응 가스의 공급을 시작하고, 이 시점을 ALD 시작 시점으로 한다. ALD 완료에 대해서는, n번째 회전으로 첫 번째 기판이 제3 위치에 도달했을 때 두 개의 금속 함유 반응 가스 공급 및 배기부를 차단하고, 그 후 첫 번째 기판이 제6 위치에 도달했을 때 둘 모두의 비금속 반응 가스를 차단한다. 이 시퀀스에 의해 모든 기판에 대해 2n층(짝수)의 ALD 성막이 실시된다. 2n+1(홀수)층의 ALD 성막을 수행하는 경우에는, 차단의 타이밍을 반회전 늦추고, 첫 번째 기판이 제7 위치에 도달했을 때 둘 모두의 금속 반응 가스를, 또한 첫 번째 기판이 n+1번째 회전에 있어서 제2 위치에 도달했을 때 비금속 반응 가스를 차단함으로써 달성된다.
나아가 또한, 도 38 내지 40에 회전 서셉터(3) 위의 피처리 기판이 6장 탑재되는 장치 구성에서의 ALD 프로세스 시퀀스의 실시 형태의 일례를 도시했다. 여기서는, 도 4에서 나타낸 바와 같이, 금속 반응 가스 공급 및 배기부(21) 및 비금속 가스 공급 및 배기부(22)가 모두 2장의 기판에 해당하는 영역을 점유하고, 서로 한 장의 기판에 해당하는 영역의 퍼지 가스 공급 및 배기부(23)를 사이에 두고 배치되어 있다. 본 실시예에서는, 먼저 금속 함유 반응 가스만 흘려 모든 피처리 기판 표면에 금속 함유 반응 가스를 충분히 흡착시킨 후에, 도 39에 도시한 바와 같이 첫 번째 기판이 세 번째 위치에 도달했을 때 비금속 반응 가스 의 공급을 시작하고, 이 시점을 첫 번째 층의 ALD 시작 시점으로 한다. ALD 완료 시점에 대해서는, n번째 회전에 도달하고, 도 40에 도시한 바와 같이 첫 번째 기판이 제4 위치에 도달했을 때 금속 함유 반응 가스의 공급을 정지하고, 그 후에는 비금속 가스만 공급한다. 이 시퀀스에 의해, 6장 모든 기판에 있어서, n층의 ALD 성막을 실시하고, 또한 모든 기판의 표면을 안정적인 비금속 가스에 의해 종단할 수 있다. 또한, 피처리 기판이 8장일 때와 마찬가지로, ALD 시작의 첫 번째 층과 마지막의 n번째 층에 있어서는 회전 수를 저하시키는 변동 회전 제어함으로써 모든 피처리 기판이 동일한 반응 가스 접촉 시간을 확보할 수 있다.
또한, 도 10에 도시한 바와 같이, 피처리 기판 6장이 회전 서셉터에 탑재되고, 금속 함유 반응 가스 공급 및 배기부(21)가 기판 1장, 비금속 반응 가스 공급 및 배기부(22)가 3장의 기판에 해당하는 영역을 각각 점유하고, 기판 1장에 해당하는 영역을 점유하는 퍼지 가스 공급 및 배기부(23)에서 분리된 구성에 대해 하나의 ALD 프로세스 시퀀스를 도 41에 도시했다. 본 실시예에서는, 첫 번째 기판이 제1 위치에 있는 홈 포지션에서 모든 가스 공급을 시작하고, 이 시점을 ALD 시작 시점으로 한다. ALD 완료에 대해서는, n+1번째 회전에 도달하고 곧바로 제1 기판이 첫 번째 위치에 도달한 시점에서 금속 함유 반응 가스를 차단하고, 이 시점을 ALD 완료 시점으로 한다. 비금속 반응 가스에 대해서는 그 후 n+1번째 회전으로 제1 기판이 다섯 번째 위치 혹은 그 이후에 정지한다. 이 시퀀스에 의해, 6장 모든 기판에 있어서, n층의 ALD 성막을 실시하고, 또한 모든 기판의 표면을 안정적인 비금속 반응 가스에 의해 종단할 수 있다. 또한, 피처리 기판이 8장일 때와 마찬가지로, ALD 시작의 첫 번째 층에 대해서는 퍼지 가스에서 비금속 반응 가스로의 전환 타임 래그를 고려하여 회전 수를 저하시킴으로써 모든 피처리 기판이 동일한 반응 가스 처리 접촉 시간을 확보한다. 본 실시예에서는 완료 시에서의 변동 회전 제어는 필요로 하지 않는다.
나아가 또한, 도 11에 도시한 바와 같이, 피처리 기판 6장이 회전 서셉터(3)에 탑재되고, 금속 함유 반응 가스 공급 및 배기부(21)가 기판 1.5장, 비금속 반응 가스 공급 및 배기부(22)가 2.5장의 기판에 해당하는 영역을 각각 점유하고, 기판 1장에 해당하는 영역을 점유하는 퍼지 가스 공급 및 배기부(23)에서 분리된 구성에 대해, 하나의 ALD 프로세스 시퀀스를 도 42 내지 도 44에 도시했다. 본 실시예에서는, 도 43 에 도시한 바와 같이 제1 기판이 두 번째와 세 번째의 중간 위치에 도달한 시점에서 금속 함유 반응 가스 공급을 시작하고, 이 시점을 ALD 시작 시점으로 한다. ALD 완료에 대해서는, n번째 회전에 도달하여, 도 44에 도시한 바와 같이 제1 기판이 세 번째와 네 번째의 중간 위치에 도달한 시점에서 금속 함유 반응 가스를 차단하고, 이 시점을 ALD 완료 시점으로 한다. 비금속 반응 가스에 대해서는 그 후, n+1번째 회전으로 제1 기판이 세 번째 위치에 도달한 시점 혹은 그 이후에 정지한다. 이 시퀀스에 의해, 6장 모든 기판에 대해, n층의 ALD 성막을 실시하고, 또한 모든 기판의 표면을 안정적인 비금속 반응 가스에 의해 종단할 수 있다. 또한, 피처리 기판이 8장일 때와 마찬가지로, ALD 시작의 첫 번째 층과 마지막 n번째 층에 있어서는 회전 수를 저하시키는 변동 회전 제어를 수행함으로써 모든 피처리 기판이 동일한 반응 가스 처리 접촉 시간을 확보한다.
DRAM 커패시터의 TiN 금속 전극이나 High-k 절연막 등의 박막을 ALD 성막하는 경우, 피처리 기판 표면에 형성된 자연 산화막을 제거하거나, 또한 반대로 기판 표면을 낮은 데미지의 플라즈마에 의해 고품질의 라디칼 산화막을 형성하는 기판 전처리가 필요해지는 경우가 있다. 종래의 기술에서는, 이러한 경우, ALD 챔버와 다른 전처리 챔버를 사용하여 전처리 프로세스를 수행했다. 그러나, 이러한 방식에서는 장치 구성이 클러스터 시스템이 되고, 고가로서 생산성도 저하한다. 다섯 번째 본 발명을 응용함으로써, 동일 프로세스 챔버로 전처리와 ALD 성막을 수행할 수 있게 된다. 도 45에 ALD 성막을 수행하기 전에 피처리 기판을 식각 가스(가스 C)에 의해 전처리하는 경우의 실시예를 도시했다. 이 경우, 도 20에 있어서, 식각 시간, 과다 식각률을 설정하여 전처리에 필요한 회전 수를 산출한다. 먼저, 두 개의 반응 가스 공급 및 배기부(21, 22)로부터 식각 가스를 피처리 기판 표면에 분출하고, 표면을 식각한다. 여기서, 모든 기판에 대해 식각의 균일성을 유지하기 위해 서셉터를 회전시킨다. 단, 회전 수는 생산성에 영향을 미치지 않으므로, 느린 회전이어도 무방하다. 식각 전처리가 완전히 완료된 시점에서 가스를 퍼지 가스로 전환하여 2 내지 3회전만큼 회전을 계속한다. 그리고, 전처리 가스가 완전히 배기되어 회전 서셉터가 홈 포지션에 도달한 시점에서 금속 함유 반응 가스의 공급을 시작하고, 금속 함유 반응 가스를 충분히 표면 처리한 기판 표면에 흡착시킨 후, 첫 번째 기판이 제1 위치(홈 포지션)에 도달한 시점에서 비금속 반응 가스를 공급하기 시작하고, 이 시점을 ALD 시작 시점으로 한다. ALD 정지에 대해서는, n번째 회전이 되어 첫 번째 기판이 제2 위치에 도달했을 때 금속 함유 반응 가스의 공급을 정지하고, 이 시점을 ALD 완료 시점으로 한다. 비금속 반응 가스는 그 후에도 계속 흘려, n번째 회전으로 첫 번째 기판이 제8 위치에 도달한 시점 혹은 그 이후에 비금속 반응 가스의 공급을 정지한다. 이러한 시퀀스에 의해, 동일 프로세스 챔버 내에서 기판의 전처리와 ALD 프로세스를 모두 수행할 수 있다. 도 46은 전처리 프로세스의 다른 실시 형태를 나타낸다. 여기서는, 비금속 반응 가스 공급부(221)에 설치된 플라즈마 등의 여기 수단을 이용하여, 산소 라디칼, 질소 라디칼이나 수소 라디칼을 생성하고, 피처리 기판에 고품질의 라디칼 산화막, 라디칼 질화막을 형성하거나, 기판 표면에 형성된 품질이 나쁜 자연 산화막을 제거한 후, ALD 프로세스에 의해 고품질 ALD 박막을 형성한다. 이 경우에도 전처리 및 ALD 프로세스에 있어서 각각의 가스 공급 및 차단 시의 기판의 위치와 회전 수를 제어함으로써 모든 기판에 대해 필요 시간만큼 전처리를 실시하고, ALD 프로세스로 이행할 수 있다.
여섯 번째 본 발명에 대해, 그 실시 형태를 도 47에 도시한 회전 수 제어 시퀀스를 이용하여 설명한다. 먼저, 이미 피처리 기판의 최대 어스펙트비 패턴에 대한 ALD 포화 반응 시간을 알고 있는 경우에는, 그 값을 장치 프로세스 레시피에 입력한다. 불분명한 경우에 대해서는, 프로세스 압력, 온도, 반응 가스 종류, 최대 어스펙트비를 입력하고, 그들 프로세스 조건 하에서의 최대 어스펙트비 패턴에 대한 포화 반응 시간 해당 함수를 산출한다. 해당 함수 산출의 하나의 실시예로서, 어스펙트비 10 이상의 패턴에 ALD 성막을 수행하는 경우, 어느 프로세스 압력(P1), 어느 온도(T1)에 있어서, 어느 한 점의 어스펙트비(α1)에서의 포화 시간(ts1)을 구하고, 임의의 온도(T), 압력(P), 어스펙트비(α)에서의 포화 시간(ts)을 다음 식에 의해 기술한다.
ts=ts1(α/α1)2(P1/P)(T1/T)0 .5 (수학식 7)
구한 포화 반응 시간을 유지할 수 있도록 서셉터의 회전 속도를 조정함으로써 완전한 스텝 커버리지를 확보하면서 최대의 쓰루풋을 달성할 수 있다.
여섯 번째 본 발명의 다른 실시예로서, ALD 프로세스 처리 중에, 성막 막두께에 따라 회전 수를 서서히 변화시키는 경우를 개시한다. 즉, ALD 프로세스 전의 최초의 어스펙트비를 α0라고 하고, 단일의 ALD 사이클로 성막되는 막두께를 h라고 하면, n번째 사이클에서는 양측의 측벽에 h의 두께의 막이 형성되기 때문에 n번째 사이클에서의 어스펙트비는 α(n)=α0/(1-2nh/d)로 산출할 수 있다. 여기서 d는 최대 어스펙트비 패턴의 폭 혹은 직경이다. 이 α(n)으로부터 n번째 사이클의 포화 시간을 구하고, 그 때의 회전 수를 산출하여, 실시간으로 회전 수를 변화시킨다. 이러한 실시간 회전 수 제어에 의해 어스펙트비가 비교적 작은 초기 ALD 단계에서의 회전 수를 빠르게 할 수 있고, 100%의 스텝 커버리지를 유지하면서 최대의 쓰루풋을 획득할 수 있다.
본 발명의 ALD 프로세스 챔버를 복수 개 탑재한 장치 시스템을 도 48 및 도 49에 도시했다. 도 48에 도시된 4챔버 ALD 성막 시스템(42)에서는 하나의 ALD 프로세스 챔버(43)에 기판이 6장 탑재되고, 4개의 프로세스 챔버가 하나의 시스템에 탑재된다. 따라서, 동시에 24장의 기판을 성막 처리할 수 있다. 또한, 도 49에 도시된 3챔버 ALD 성막 시스템(44)에서는 하나의 ALD 프로세스 챔버(45)에 기판이 8장 탑재되고, 3개의 프로세스 챔버가 하나의 시스템에 탑재된다. 두 시스템 모두 공통의 엔드 스테이션(49), 입출용 로드락실(48), 진공 이송실(47) 및 기판 진공 이송 로봇(46)을 구비하고 있으며, 하나의 시스템으로 24장의 피처리 기판을 하나의 로트로 하여 동시에 처리할 수 있는 능력을 갖는다. 프로세스 챔버 수나 기판 수에 대해서는 본 실시예에 한정되는 것은 아니며, 생산성이나 생산 품종에 따라 적절한 시스템을 선택하면 된다.
도 48에 도시한 4챔버 구성 시스템을 이용하여, 본 발명 장치 및 프로세스를 TiN의 ALD 성막에 적용한 프로세스 실시예를 나타낸다. 압력 4Torr, 온도 350 ℃에 있어서, TiCl4 및 NH3를 각각 금속 반응 가스 및 비금속 반응 가스로서 이용하여 TiN막을 10nm, 본 발명의 회전형 세미 배치 ALD 장치를 이용하여 성막하였다. 피처리 기판으로는, 직경 50nm이고 어스펙트비가 80인 홀이 패터닝된 실리콘 기판을 사용했다. 퍼지 가스로는 질소를 사용했다. 여기서, 회전 서셉터에는 직경 300mm의 피처리 기판이 6장 탑재된다. 또한, 가스 공급 및 배기 수단에 대해서는, 도 4에 도시한 바와 같이 금속 함유 반응 가스 공급부와 비금속 반응 가스 공급부가 각각 2장의 기판에 해당하는 영역을 커버하고, 1장의 기판에 해당하는 영역을 점유하는 퍼지 가스 공급부에 의해 분리되어 있다. 진공 용기 중심부의 반경 12cm에는 중앙 퍼지 가스 공급부가 설치되어 있다. 또한, 주변부에도 폭 7cm로 주변 퍼지 가스 공급부를 배치하였다. 금속 함유 반응 가스 공급부, 비금속 반응 가스 공급부 및 퍼지 가스 공급부는 모두 2cm의 폭의 진공 배기 홈에 의해 에워쌌다. TiCl4 및 NH3의 가스 유량은 각각 200SCCM으로 하였다. 반응 가스를 분리하고 있는 두 개의 퍼지 가스 공급부에 있어서, 퍼지 가스 유량은 각각 400SCCM이다. 진공 용기 벽은 모두 200℃로 보온 가열하였다. 가스 공급 수단은 플랜지와 도 17에 도시한 바와 같이 24개의 스프링에 의해 홀딩하였다. 설정 갭은 0.8mm로서, 가스 공급 수단과 피처리 기판 사이의 갭을 광학식 레이저광에 의해 피처리 기판 위의 3점에 있어서 계측하였다. 중앙 퍼지 가스 및 주변부 퍼지 가스 공급부로부터 각각 500SCCM, 1SLM 공급함으로써 0.8mm의 갭으로 기판이 가스 공급 수단에 접촉하지 않고 안정적으로 홀딩될 수 있었다. ALD 시작 및 완료 타이밍에 대해서는 도 29의 시퀀스에 의해 가스 공급 시작, 차단을 실시하였다.
어스펙트비 80에서의 포화 시간은 1.8초가 되고, 초기 정상 회전 수는 10RPM으로 설정하였다. 완료 시점에서의 포화 시간은 4.8초 정도가 되고, 회전 수는 6RPM이었다. 하나의 ALD 프로세스 챔버의 평균 쓰루풋은 기판의 이송 및 승온 등의 오버 헤드를 더해 25WPH이고, 도 49에 도시한 3챔버 시스템에서는 엔드 스테이션으로부터 프로세스 챔버 사이의 기판 이송에 수반되는 오버 헤드에 의한 저하에도 불구하고, 80WPH의 높은 쓰루풋을 달성할 수 있었다. 이 때, 스텝 커버리지에 대해, 주사 전자 현미경 및 투과 전자 현미경으로 관찰한 결과, 어스펙트비 80의 홀에 대해서도 100%의 스텝 커버리지가 얻어졌다. 한편, 최대 어스펙트비가 10인 디자인에 대해, 동일한 ALD 프로세스를 수행했더니, 회전 수를 200RPM까지 올려도 100%의 스텝 커버리지를 확보할 수 있고, 쓰루풋은 하나의 프로세스 챔버 당 90WPH로 CVD와 동등한 매우 높은 성능이 얻어졌다. 도 48에 도시한 4챔버 시스템의 쓰루풋은 200WPH 이상의 쓰루풋이 달성되었다. 이 경우의 스텝 커버리지도 모든 기판에 대해 100%를 달성할 수 있었다.
파티클에 대해서는, 3000장의 마라톤 테스트를 실시하고, 기판 표면 측은 +5개 이내, 또한 이면에 대해서는 +500 정도로 안정적인 결과가 얻어졌다. TiN의 막두께는 10.08nm로서, 면내 균일성 및 면간 재현성은 0.8%(3σ)로 매우 양호한 성능이 달성되었다.
가스 이용 효율, 즉 공급된 TiCl4 및 NH3 가스 중 TiN으로서 성막된 Ti 및 N의 원자의 비율은 둘 모두 2%였다. 이는 통상의 ALD의 가스 이용 효율이 1% 이하의 2배의 효율이 되어, 매우 큰 원료 가스 비용 삭감에 기여할 수 있다는 사실을 알 수 있었다.
본 발명 명세서에서는 주로 반도체의 제조 프로세스에 대한 적용에 대해 설명했으나, 본 발명은 기판의 치수, 형상, 소재 등을 변경함으로써 높은 생산성, 높은 스텝 커버리지, 높은 가스 소비량의 성능을 갖는 ALD 표면 처리 장치로서 액정, 태양 전지, LED, 리튬 이온 2차 전지 전극, 나노 재료, 생체 재료 등의 디바이스 제조에서 폭넓은 산업에 있어서 이용할 수 있다.
1…진공 용기
2…가스 공급 및 배기 수단
3…회전 서셉터
4…피처리 기판
5…게이트 밸브
6…진공 용기 하부
7…피처리 기판 상하 구동용 핀
8…핀 홀딩 암
9…핀 홀딩 암 구동 자기 실드
10…마이크로파 전원 및 튜닝 장치
11…마이크로파 전파 동축 케이블
12…마이크로파 안테나, 또는 가스 여기 수단
13…유전판
14…막두께계
15…회전 서셉터 회전 제어 시퀀서
16…갭 계측기
17…갭 컨트롤 시퀀서
18…갭 구동 모터
19…갭 구동 기어
20…퍼지 가스 유량 조절 밸브
21…금속 함유 반응 가스 공급 및 배기부
22…비금속 반응 가스 공급 및 배기부
23…퍼지 가스 공급 및 배기부
24…중심부 퍼지 가스 공급 및 배기부
25…주변부 퍼지 가스 공급부
26…진공 용기 배기구
27…비금속 반응 가스와 식각 가스 및 전처리 가스 전환 밸브
28…식각 가스 공급 봄베
29…상부 가스 공급 및 배기 수단 홀딩용 플렉시블 플랜지
30…상부 가스 공급 및 배기 수단 홀딩용 스프링 또는 자석
31…기판 가열 히터
32…회전 서셉터 패임
33…기판 가열 히터 도선
34…회전 서셉터 회전축
35…회전 서셉터 자기 실드
36…회전 서셉터 기어
37…회전 서셉터 구동 모터
38…가스 공급 차단 시퀀서
39…금속 함유 반응 가스 공급 제어 밸브
40…비금속 반응 가스 공급 제어 밸브
41…진공 용기 하부 내벽과 회전 서셉터 간 좁은 간극
42…4챔버 ALD 장치 시스템
43…6장 기판 탑재 ALD 프로세스 챔버
44…3챔버 ALD 장치 시스템
45…8장 기판 탑재 ALD 프로세스 챔버
46…기판 진공 이송 로봇
47…진공 이송실
48…로드락실
49…엔드 스테이션
50…기판 이송용 카세트
51…전처리 가스 봄베
211…금속 함유 반응 가스 공급부
212…금속 함유 반응 가스 샤워 플레이트
213…금속 함유 반응 가스 공급구
214…금속 함유 반응 가스 배기홈
215…금속 함유 반응 가스 배기구
216…금속 함유 반응 가스 배기 진공 펌프
217…금속 함유 반응 가스 공급 가스 봄베
218…금속 함유 반응 가스 캐비티
219…금속 함유 반응 가스 수직 격벽
221…비금속 반응 가스 공급부
222…비금속 반응 가스 샤워 플레이트
223…비금속 반응 가스 공급구
224…비금속 반응 가스 배기홈
225…비금속 반응 가스 배기구
226…비금속 반응 가스 배기 진공 펌프
227…비금속 반응 가스 공급 가스 봄베
228…금속 함유 반응 가스 캐비티
229…금속 함유 반응 가스 수직 격벽
231…퍼지 가스 공급부
232…퍼지 가스 샤워 플레이트
233…퍼지 가스 공급구
234…퍼지 가스 배기홈
235…퍼지 가스 배기구
236…퍼지 가스 배기 진공 펌프
237…퍼지 가스 공급 라인
241…중심 퍼지 가스 공급부
242…중심 퍼지 가스 샤워 플레이트
243…중심 퍼지 가스 공급구
244…중심 퍼지 가스 배기홈
245…중심 가스 배기구
251…주변 퍼지 가스 공급부
252…주변 퍼지 가스 샤워 플레이트
253…주변 퍼지 가스 공급구

Claims (110)

  1. 진공 용기, 회전 서셉터, 서셉터 위에 탑재된 복수 개의 피처리 기판, 기판 가열 히터, 진공 용기 상부에 설치된 복수 개의 부채꼴 반응 가스 공급 수단, 반응 가스 공급 수단 사이에 설치된 퍼지 가스 공급 수단, 반응 가스마다 독립된 별개의 계통으로 구비된 진공 배기 수단으로 구성되며, 서셉터를 회전시킴으로써 기판을 차례로 반응 가스에 폭로시키는 것을 반복함으로써 ALD 성막을 수행하는 회전 세미 배치형 ALD 장치에 있어서,
    적어도 하나의 반응 가스 공급 수단에 대해, 다공 샤워 플레이트와 가스의 다운 플로를 위하여 상기 다공 샤워 플레이트의 바로 아래 형성되는 캐비티 및 해당 캐비티를 에워싸는 격벽에 의해 구성하고,
    또한 퍼지 가스 공급 수단은 샤워 플레이트를 구비하고, 가스를 피처리 기판과의 사이의 공간에서 횡방향으로 균일한 유속으로 흘릴 수 있도록 상기 샤워 플레이트는 아래에 캐비티가 없고 좁은 갭을 통해 직접 피처리 기판과 대향 배치되는 것을 특징으로 하는 회전형 세미 배치 ALD 장치.
  2. 청구항 1에 기재된 회전형 세미 배치 ALD 장치에 있어서, 금속을 포함하지 않는 반응 가스 공급 수단에 설치된 해당 캐비티 중에, 가스 분자 여기 수단을 설치한 것을 특징으로 하는 회전형 세미 배치 ALD 장치.
  3. 청구항 2에 기재된 회전형 세미 배치 ALD 장치에 있어서, 성막 물질을 휘발 제거하는 클리닝 가스를 해당 가스 분자 여기 수단에 도입하는 수단을 설치하고, 피처리 기판, 서셉터 혹은 진공 용기 내에 퇴적된 성막 물질을 클리닝하거나 또는 에칭하는 것을 특징으로 하는 회전형 세미 배치 ALD 장치.
  4. 청구항 1에 기재된 회전형 세미 배치 ALD 장치에 있어서, 반응 가스 및 퍼지 가스의 해당 샤워 플레이트의 가스 분출 구멍 배치 피치를 10mm 미만으로 한 것을 특징으로 하는 회전형 세미 배치 ALD 장치.
  5. 청구항 1에 기재된 회전형 세미 배치 ALD 장치에 있어서, 반응 가스 공급 수단에 설치된 샤워 플레이트의 엣지와 격벽의 접속 부위를 곡률을 부여한 형상으로 함으로써 해당 엣지에서의 반응 가스의 체류를 방지한 것을 특징으로 하는 회전형 세미 배치 ALD 장치.
  6. 청구항 1에 기재된 회전형 세미 배치 ALD 장치에 있어서, 반응 가스 공급 수단에 설치된 캐비티에 대해 그 높이를 5cm 이내로 하는 것을 특징으로 하는 회전형 세미 배치 ALD 장치.
  7. 진공 용기, 회전 서셉터, 서셉터 위에 탑재된 복수 개의 피처리 기판, 기판 가열 히터, 진공 용기 상부에 설치된 복수 개의 부채꼴 반응 가스 공급 수단, 반응 가스 공급 수단 사이에 설치된 퍼지 가스 공급 수단, 반응 가스마다 독립된 별개의 계통으로 구비된 진공 배기 수단으로 구성되며, 서셉터를 회전시킴으로써 기판을 차례로 반응 가스에 폭로시키는 것을 반복함으로써 ALD 성막을 수행하는 회전 세미 배치형 ALD 장치에 있어서,
    모든 반응 가스 공급 수단 및 해당 반응 가스 공급 수단 사이에 배치되는 모든 퍼지 가스 공급 수단의 전체 둘레를 각각 진공 배기 홈으로 에워싼 구조이며, 모든 상기 반응 가스 수단 및 퍼지 가스 공급 수단에 대해, 반응 가스 및 퍼지 가스를 각각 독립적으로 배기시킬 수 있는 회전형 세미 배치 ALD 장치.
  8. 청구항 7에 기재된 회전형 세미 배치 ALD 장치에 있어서, 추가로 진공 용기 중심부에 퍼지 가스 반응 공급부를 원형으로 배치하고, 해당 퍼지 가스 반응 공급부에 대해서도 가스 공급부의 둘레를 진공 배기 홈으로 에워싼 구조인 것을 특징으로 하는 회전형 세미 배치 ALD 장치.
  9. 청구항 1에 기재된 회전형 세미 배치 ALD 장치에 있어서, 서로 다른 반응 가스 공급 수단을 피처리 기판의 직경 이상으로 이격시켜, 두 가스 공급 수단이 동일 기판 상에 오지 않도록 배치하는 것을 특징으로 하는 회전형 세미 배치 ALD 장치.
  10. 청구항 1에 기재된 회전형 세미 배치 ALD 장치에 있어서, 가스 공급 수단 하단과 피처리 기판 간의 갭을 정밀하게 제어하기 위한 에어 쿠션 수단과 플렉시블 홀딩 수단을 결합하여 상부 가스 공급 수단 혹은 회전 서셉터 테이블에 설치한 것을 특징으로 하는 회전형 세미 배치 ALD 장치.
  11. 청구항 1에 기재된 회전형 세미 배치 ALD 장치에 있어서, 각각의 반응 가스의 공급을 시작 및 차단하는 시각에서의 피처리 기판과 가스 공급 수단의 상대 위치 관계를 제어함으로써, 모든 피처리 기판에 대해 동일 횟수의 ALD 프로세스가 실시되도록 한 것을 특징으로 하는 회전형 세미 배치 ALD 장치.
  12. 청구항 11에 기재된 회전형 세미 배치 ALD 장치에 있어서, 각각의 반응 가스의 공급을 시작 및 차단하는 시각에서의 서셉터 회전 속도를, 기판과 가스 공급 유닛의 해당 상대 위치 관계에 따라, 모든 피처리 기판이 반응 가스 공급 수단과 동일한 폭로 시간만큼 접촉하도록 변동 제어하는 것을 특징으로 하는 회전형 세미 배치 ALD 장치.
  13. 청구항 11에 기재된 회전형 세미 배치 ALD 장치에 있어서, ALD 프로세스 시작 전에 전처리 프로세스를 마련하고, 전처리 가스의 공급을 시작 및 차단하는 시각에서의 피처리 기판과 가스 공급 수단의 상대 위치 관계를 제어함으로써, 모든 피처리 기판에 대해 동일한 시간으로 전처리가 실시되도록 한 것을 특징으로 하는 회전형 세미 배치 ALD 장치.
  14. 청구항 1에 기재된 회전형 세미 배치 ALD 장치에 있어서, 피처리 기판 표면에 형성된 패턴의 최대 어스펙트비에 따라 ALD 포화 반응 시간을 산출하고, 기판 표면이 해당 포화 반응 시간보다 길게 폭로되도록 기판 회전 속도를 제어하는 것을 특징으로 하는 회전형 세미 배치 ALD 장치.
  15. 청구항 14에 기재된 회전형 세미 배치 ALD 장치에 있어서, 성막 중의 임의의 시간에서의 어스펙트비와 해당 어스펙트비에 대응하는 ALD 포화 반응 시간을 산출하고, 피처리 기판 표면이 항상 해당 ALD 포화 반응 시간보다 길게 폭로되도록 기판 회전 속도를 실시간 제어하는 것을 특징으로 하는 회전형 세미 배치 ALD 장치.
  16. 청구항 14에 기재된 회전형 세미 배치 ALD 장치에 있어서, 해당 ALD 포화 반응 시간을 피처리 기판 표면 형상에서의 최대의 어스펙트비의 1차 함수 혹은 2차 함수로 근사 산출하고, 해당 기판 표면이 해당 산출된 상기 ALD 포화 반응 시간보다 길게 폭로되도록 기판 회전 속도를 제어하는 것을 특징으로 하는 회전형 세미 배치 ALD 장치.
  17. 청구항 15에 기재된 회전형 세미 배치 ALD 장치에 있어서, 해당 ALD 포화 반응 시간을 피처리 기판 표면 형상에서의 최대의 어스펙트비의 1차 함수 혹은 2차 함수로 근사 산출하고, 해당 기판 표면이 해당 산출된 상기 ALD 포화 반응 시간보다 길게 폭로되도록 기판 회전 속도를 제어하는 것을 특징으로 하는 회전형 세미 배치 ALD 장치.
  18. 청구항 16에 기재된 회전형 세미 배치 ALD 장치에 있어서, 해당 ALD 포화 반응 시간을 나타내는 2차 함수를, 어스펙트비를 α로 표시하고, ts0 및 γ를 상수로 하여 tso(1+γα2)으로 근사하는 것을 특징으로 하는 회전형 세미 배치 ALD 장치.
  19. 청구항 16에 기재된 회전형 세미 배치 ALD 장치에 있어서, 어느 프로세스 압력(P1), 어느 온도(T1)에 있어서, 어느 한 점 어스펙트비(α1)에서의 포화 시간(ts1)을 계측하고, 임의의 온도(T), 압력(P), 어스펙트비(α)에서의 포화 시간(ts)을 ts=ts1(α/α1)2(P1/P)(T1/T)0.5 에 의해 산출하고, 구한 포화 반응 시간을 유지할 수 있도록 서셉터의 회전 속도를 조정하는 것을 특징으로 하는 회전형 세미 배치 ALD 장치.


  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
  50. 삭제
  51. 삭제
  52. 삭제
  53. 삭제
  54. 삭제
  55. 삭제
  56. 삭제
  57. 삭제
  58. 삭제
  59. 삭제
  60. 삭제
  61. 삭제
  62. 삭제
  63. 삭제
  64. 삭제
  65. 삭제
  66. 삭제
  67. 삭제
  68. 삭제
  69. 삭제
  70. 삭제
  71. 삭제
  72. 삭제
  73. 삭제
  74. 삭제
  75. 삭제
  76. 삭제
  77. 삭제
  78. 삭제
  79. 삭제
  80. 삭제
  81. 삭제
  82. 삭제
  83. 삭제
  84. 삭제
  85. 삭제
  86. 삭제
  87. 삭제
  88. 삭제
  89. 삭제
  90. 삭제
  91. 삭제
  92. 삭제
  93. 삭제
  94. 삭제
  95. 삭제
  96. 삭제
  97. 삭제
  98. 삭제
  99. 삭제
  100. 삭제
  101. 삭제
  102. 삭제
  103. 삭제
  104. 삭제
  105. 삭제
  106. 삭제
  107. 삭제
  108. 삭제
  109. 삭제
  110. 삭제
KR1020157023244A 2013-04-07 2014-04-04 회전형 세미 배치 ald 장치 및 프로세스 KR101803768B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPJP-P-2013-080037 2013-04-07
JP2013080037A JP6134191B2 (ja) 2013-04-07 2013-04-07 回転型セミバッチald装置
PCT/JP2014/060027 WO2014168096A1 (ja) 2013-04-07 2014-04-04 回転型セミバッチald装置およびプロセス

Publications (2)

Publication Number Publication Date
KR20150138173A KR20150138173A (ko) 2015-12-09
KR101803768B1 true KR101803768B1 (ko) 2017-12-28

Family

ID=51689505

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157023244A KR101803768B1 (ko) 2013-04-07 2014-04-04 회전형 세미 배치 ald 장치 및 프로세스

Country Status (6)

Country Link
US (1) US10480073B2 (ko)
JP (1) JP6134191B2 (ko)
KR (1) KR101803768B1 (ko)
CN (1) CN105102675B (ko)
TW (1) TWI600789B (ko)
WO (1) WO2014168096A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11037991B2 (en) 2018-10-02 2021-06-15 Samsung Electronics Co., Ltd. Variable resistance memory device

Families Citing this family (340)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP6134191B2 (ja) 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102297567B1 (ko) * 2014-09-01 2021-09-02 삼성전자주식회사 가스 주입 장치 및 이를 포함하는 박막 증착 장비
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6330623B2 (ja) * 2014-10-31 2018-05-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) * 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9793097B2 (en) * 2015-07-27 2017-10-17 Lam Research Corporation Time varying segmented pressure control
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10204790B2 (en) 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
US20170088952A1 (en) * 2015-09-28 2017-03-30 Ultratech, Inc. High-throughput multichamber atomic layer deposition systems and methods
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
JP6671911B2 (ja) 2015-10-02 2020-03-25 株式会社ニューフレアテクノロジー 位置ずれ検出装置、気相成長装置および位置ずれ検出方法
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6569521B2 (ja) * 2015-12-24 2019-09-04 東京エレクトロン株式会社 成膜装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN108780736B (zh) * 2016-01-26 2023-05-02 周星工程股份有限公司 基板处理设备
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
WO2017204622A1 (en) * 2016-05-27 2017-11-30 Asm Ip Holding B.V. Apparatus for semiconductor wafer processing
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
KR102483547B1 (ko) * 2016-06-30 2023-01-02 삼성전자주식회사 가스 공급 유닛 및 이를 포함하는 박막 증착 장치
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10246775B2 (en) * 2016-08-03 2019-04-02 Tokyo Electron Limited Film forming apparatus, method of forming film, and storage medium
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10692724B2 (en) * 2016-12-23 2020-06-23 Lam Research Corporation Atomic layer etching methods and apparatus
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
TWI733021B (zh) 2017-05-15 2021-07-11 美商應用材料股份有限公司 電漿源組件、處理腔室與處理基板的方法
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
JP6809392B2 (ja) * 2017-06-19 2021-01-06 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US20200090978A1 (en) * 2017-10-27 2020-03-19 Applied Materials, Inc. Methods Of Operating A Spatial Deposition Tool
TWI802439B (zh) * 2017-10-27 2023-05-11 美商應用材料股份有限公司 具有空間分離的單個晶圓處理環境
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
WO2019118808A1 (en) 2017-12-15 2019-06-20 Applied Materials, Inc. Shaped electrodes for improved plasma exposure from vertical plasma source
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10392263B1 (en) * 2018-01-19 2019-08-27 United States of America as represented by the Adminstrator of NASA Modification of pigments using atomic layer deposition (ALD) in varying electrical resistivity
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
JP7038563B2 (ja) * 2018-02-15 2022-03-18 東京エレクトロン株式会社 基板処理装置、流量制御方法及び流量制御プログラム
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7040257B2 (ja) * 2018-04-25 2022-03-23 東京エレクトロン株式会社 成膜装置、及び成膜方法
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP7068937B2 (ja) * 2018-06-15 2022-05-17 東京エレクトロン株式会社 基板処理装置
TWI780337B (zh) * 2018-06-18 2022-10-11 美商應用材料股份有限公司 用於成對的動態平行板電容耦合電漿的處理腔室
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US20200017969A1 (en) * 2018-07-10 2020-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device, Method, and Tool of Manufacture
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885018B2 (en) * 2018-09-24 2024-01-30 Lehigh University High pressure spatial chemical vapor deposition system and related process
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
KR20200056273A (ko) * 2018-11-14 2020-05-22 주성엔지니어링(주) 기판처리장치 및 기판처리방법
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
KR20200086582A (ko) * 2019-01-09 2020-07-17 삼성전자주식회사 원자층 증착 장치 및 이를 이용한 박막 형성 방법
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11598004B2 (en) * 2019-03-11 2023-03-07 Applied Materials, Inc. Lid assembly apparatus and methods for substrate processing chambers
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
JP7253972B2 (ja) * 2019-05-10 2023-04-07 東京エレクトロン株式会社 基板処理装置
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021042409A (ja) * 2019-09-09 2021-03-18 東京エレクトロン株式会社 プラズマ処理装置及び温度制御方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
CN110777367A (zh) * 2019-10-15 2020-02-11 江苏卓高新材料科技有限公司 一种可调节的微孔薄膜沉积装置及使用方法
CN110791747A (zh) * 2019-10-15 2020-02-14 江苏卓高新材料科技有限公司 一种用于薄膜材料表面沉积的沉积装置及沉积方法
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11236424B2 (en) * 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210098798A (ko) * 2020-02-03 2021-08-11 주성엔지니어링(주) 기판처리장치 및 기판처리방법
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
CN112002786B (zh) * 2020-06-29 2021-10-08 华灿光电(浙江)有限公司 发光二极管外延片的制备方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
JP2022039820A (ja) * 2020-08-28 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220069148A (ko) * 2020-11-19 2022-05-27 삼성전자주식회사 반도체 소자의 제조 장치 및 반도체 소자의 제조 방법
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US20220186367A1 (en) * 2020-12-13 2022-06-16 Applied Materials, Inc. Deposition Apparatus and Methods Using Staggered Pumping Locations
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11705312B2 (en) 2020-12-26 2023-07-18 Applied Materials, Inc. Vertically adjustable plasma source
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7160421B1 (ja) 2022-02-10 2022-10-25 株式会社シー・ヴィ・リサーチ 成膜装置、成膜方法及びガスノズル
WO2024090226A1 (ja) * 2022-10-25 2024-05-02 東洋紡株式会社 膜形成装置、積層体製造方法、及び半導体デバイス製造方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011096986A (ja) 2009-11-02 2011-05-12 Tokyo Electron Ltd 成膜装置、成膜方法及び記憶媒体
JP2011222960A (ja) * 2010-02-26 2011-11-04 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3144664A (en) 1961-08-29 1964-08-18 Hold Corp Attachment for a toilet flushing means
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
DE4011933C2 (de) * 1990-04-12 1996-11-21 Balzers Hochvakuum Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
JPH04287912A (ja) 1991-02-19 1992-10-13 Mitsubishi Electric Corp 半導体製造装置
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
EP0821395A3 (en) * 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
IL131589A (en) 1999-08-25 2007-05-15 Yuval Yassour Device for creating forces by injecting liquid
US6576062B2 (en) 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
KR20010073747A (ko) * 2000-01-20 2001-08-03 윤종용 텅스텐 실리사이드 증착 장치
US6821910B2 (en) * 2000-07-24 2004-11-23 University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
KR100458982B1 (ko) 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
KR20020080954A (ko) * 2001-04-18 2002-10-26 주성엔지니어링(주) 냉벽 화학기상증착 방법 및 장치
US6902620B1 (en) * 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20050106864A1 (en) * 2003-02-15 2005-05-19 Holger Jurgensen Process and device for depositing semiconductor layers
JP4233348B2 (ja) * 2003-02-24 2009-03-04 シャープ株式会社 プラズマプロセス装置
US6972055B2 (en) 2003-03-28 2005-12-06 Finens Corporation Continuous flow deposition system
WO2005101915A1 (ja) 2004-04-06 2005-10-27 Idemitsu Kosan Co., Ltd. 電極基板及びその製造方法
KR101195628B1 (ko) 2004-04-14 2012-10-30 코레플로우 사이언티픽 솔루션스 리미티드 편평한 물체의 대향면상에 광학 장치를 포커싱하는 방법
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
PA8652001A1 (es) 2004-11-05 2006-10-13 Wyeth Corp Metabolitos glucuronidos de tigeciclina y epimeros de los mismos
KR100558922B1 (ko) * 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
KR100721576B1 (ko) * 2005-04-06 2007-05-23 삼성에스디아이 주식회사 유기 전계 발광 소자 제조 방법
US20070030568A1 (en) * 2005-07-26 2007-02-08 Tohoku University Future Vision Inc. High-reflectance visible-light reflector member, liquid-crystal display backlight unit employing the same, and manufacture of the high-reflectance visible-light reflector member
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
US20070218702A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
KR20080027009A (ko) 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
US8084368B2 (en) * 2006-11-09 2011-12-27 Ulvac, Inc. Method of forming barrier film
JP4985183B2 (ja) * 2007-07-26 2012-07-25 東京エレクトロン株式会社 基板処理装置及び基板処理方法並びに記憶媒体
US8334015B2 (en) * 2007-09-05 2012-12-18 Intermolecular, Inc. Vapor based combinatorial processing
KR100949914B1 (ko) * 2007-11-28 2010-03-30 주식회사 케이씨텍 원자층 증착 장치
EP2250109B1 (en) 2008-03-11 2015-01-14 Coreflow Ltd. Method and system for locally controlling support of a flat object
JP5202050B2 (ja) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
GB0816186D0 (en) * 2008-09-05 2008-10-15 Aviza Technologies Ltd Gas delivery device
US8470718B2 (en) 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
JP5195175B2 (ja) 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5195174B2 (ja) 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置及び成膜方法
US20100098851A1 (en) 2008-10-20 2010-04-22 Varian Semiconductor Equipment Associates, Inc. Techniques for atomic layer deposition
JP5031013B2 (ja) * 2008-11-19 2012-09-19 東京エレクトロン株式会社 成膜装置、成膜装置のクリーニング方法、プログラム、プログラムを記憶するコンピュータ可読記憶媒体
TWI465599B (zh) * 2008-12-29 2014-12-21 K C Tech Co Ltd 原子層沉積裝置
JP5195705B2 (ja) 2009-09-29 2013-05-15 アイシン精機株式会社 電気モータの駆動回路およびウィンドレギュレータ装置。
JP2011089561A (ja) 2009-10-21 2011-05-06 Aisin Seiki Co Ltd 流体制御弁
JP5553588B2 (ja) 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
JP5392069B2 (ja) 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
JP5497423B2 (ja) * 2009-12-25 2014-05-21 東京エレクトロン株式会社 成膜装置
JP2012054508A (ja) * 2010-09-03 2012-03-15 Tokyo Electron Ltd 成膜装置
WO2012165263A1 (ja) * 2011-06-03 2012-12-06 東京エレクトロン株式会社 ゲート絶縁膜の形成方法およびゲート絶縁膜の形成装置
US9175392B2 (en) * 2011-06-17 2015-11-03 Intermolecular, Inc. System for multi-region processing
US10066297B2 (en) * 2011-08-31 2018-09-04 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9175393B1 (en) * 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9212422B2 (en) * 2011-08-31 2015-12-15 Alta Devices, Inc. CVD reactor with gas flow virtual walls
US20130125818A1 (en) * 2011-11-22 2013-05-23 Intermolecular, Inc. Combinatorial deposition based on a spot apparatus
JP5882777B2 (ja) * 2012-02-14 2016-03-09 東京エレクトロン株式会社 成膜装置
JP6134191B2 (ja) 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
US9514933B2 (en) * 2014-01-05 2016-12-06 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
JP6225842B2 (ja) * 2014-06-16 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
US10196741B2 (en) * 2014-06-27 2019-02-05 Applied Materials, Inc. Wafer placement and gap control optimization through in situ feedback
JP6569521B2 (ja) * 2015-12-24 2019-09-04 東京エレクトロン株式会社 成膜装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011096986A (ja) 2009-11-02 2011-05-12 Tokyo Electron Ltd 成膜装置、成膜方法及び記憶媒体
JP2011222960A (ja) * 2010-02-26 2011-11-04 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11037991B2 (en) 2018-10-02 2021-06-15 Samsung Electronics Co., Ltd. Variable resistance memory device

Also Published As

Publication number Publication date
JP2014201804A (ja) 2014-10-27
TW201447027A (zh) 2014-12-16
JP6134191B2 (ja) 2017-05-24
US20150361553A1 (en) 2015-12-17
CN105102675A (zh) 2015-11-25
WO2014168096A1 (ja) 2014-10-16
TWI600789B (zh) 2017-10-01
KR20150138173A (ko) 2015-12-09
CN105102675B (zh) 2018-04-20
US10480073B2 (en) 2019-11-19

Similar Documents

Publication Publication Date Title
KR101803768B1 (ko) 회전형 세미 배치 ald 장치 및 프로세스
JP2014201804A5 (ko)
JP7453958B2 (ja) チャンバからSnO2膜を洗浄するための方法
KR101160788B1 (ko) 반도체 처리용 종형 플라즈마 처리 장치
TW201820461A (zh) 用於半導體圖案化應用之高度乾蝕刻率材料
KR20100132779A (ko) 박막 형성 방법 및 이의 제조 장치
WO2004077515A2 (en) Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
KR102455355B1 (ko) 원격 플라즈마 산화에 대한 아르곤 추가
JP2017531921A (ja) 2層aldを用いた正確な限界寸法制御
US20230005740A1 (en) Modulation of oxidation profile for substrate processing
JP7249705B1 (ja) 成膜装置、成膜方法及びガスノズル
JP7149431B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
KR20240026430A (ko) 오목부 내에 재료를 형성하는 방법
JP2016105440A (ja) 成膜方法
KR20040081884A (ko) 원자층 증착 장치

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant