KR102455355B1 - 원격 플라즈마 산화에 대한 아르곤 추가 - Google Patents

원격 플라즈마 산화에 대한 아르곤 추가 Download PDF

Info

Publication number
KR102455355B1
KR102455355B1 KR1020207023240A KR20207023240A KR102455355B1 KR 102455355 B1 KR102455355 B1 KR 102455355B1 KR 1020207023240 A KR1020207023240 A KR 1020207023240A KR 20207023240 A KR20207023240 A KR 20207023240A KR 102455355 B1 KR102455355 B1 KR 102455355B1
Authority
KR
South Korea
Prior art keywords
gas
flow rate
substrate
plasma
processing region
Prior art date
Application number
KR1020207023240A
Other languages
English (en)
Other versions
KR20200100851A (ko
Inventor
한젤 로
크리스토퍼 에스. 올센
에릭 키하라 쇼노
요하네스 에스. 스웬버그
에리카 한센
태완 김
라라 호릴척
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020227035445A priority Critical patent/KR20220143158A/ko
Publication of KR20200100851A publication Critical patent/KR20200100851A/ko
Application granted granted Critical
Publication of KR102455355B1 publication Critical patent/KR102455355B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01001Hydrogen [H]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01008Oxygen [O]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01018Argon [Ar]

Abstract

구조들의 등각 라디칼 산화를 위한 방법들이 제공된다. 일 구현에서, 방법은 수소를 처리 챔버 내로 제1 유량으로 유동시키는 단계를 포함하고, 여기서 처리 챔버는 처리 챔버에 위치된 기판을 갖는다. 방법은 산소를 전구체 활성화기 내로 제2 유량으로 유동시키는 단계를 더 포함한다. 방법은 아르곤을 전구체 활성화기 내로 제3 유량으로 유동시키는 단계를 더 포함한다. 방법은 전구체 활성화기에서 산소 및 아르곤으로부터 플라즈마를 생성하는 단계를 더 포함한다. 방법은, 처리 챔버 내로 플라즈마를 유동시키는 단계를 더 포함하고, 여기서 플라즈마는, 활성화된 처리 가스를 생성하기 위해 수소 가스와 혼합된다. 방법은, 기판 상에 산화물 막을 형성하기 위해 기판을 활성화된 가스에 노출시키는 단계를 더 포함한다. 산화물 막의 성장 속도는 제3 유량을 조절함으로써 제어된다.

Description

원격 플라즈마 산화에 대한 아르곤 추가
본 개시내용의 구현들은 일반적으로, 반도체 디바이스 제조, 그리고 특히, 고 종횡비 구조들과 같은 구조들의 등각(conformal) 라디칼 산화를 위한 방법들에 관한 것이다.
규소 집적 회로들의 제조는, 칩 상의 최소 피쳐 크기들을 감소시키면서 디바이스들의 개수를 증가시키기 위해 제조 프로세스들에 어려운 요구들을 해왔다. 이러한 요구들은, 상이한 물질들의 층들을 어려운 토폴로지들 상에 증착시키고 그러한 층들 내에 추가의 피쳐들을 식각하는 것을 포함하는 제조 프로세스들에까지 확장되었다. 차세대 NAND 플래시 메모리를 위한 제조 프로세스들은 특히 난제인 디바이스 기하형상들 및 규모들을 수반한다. NAND는 데이터를 유지하는 데에 전력을 필요로 하지 않는 비휘발성 저장 기술의 유형이다. 동일한 물리적 공간 내에 메모리 용량을 증가시키기 위해, 3차원 NAND(3D NAND) 설계가 개발되었다. 그러한 설계는 전형적으로, 교번하는 산화물 층들 및 질화물 층들을 도입하고, 이들은 기판 상에 증착된다. 그 다음, 교번하는 산화물 층들 및 질화물 층들이 식각되어, 기판에 실질적으로 수직으로 연장되는 하나 이상의 표면을 갖는 구조를 생성한다. 그러한 설계 고려사항들은 분야를 비교적 낮은 종횡비 구조들, 예를 들어, 10:1 종횡비들 구조들의 산화로부터, 고 종횡비(HAR) 구조들, 예를 들어, 40:1 이상의 종횡비들 구조들의 산화로 이동시켰다. 종래의 제조 프로세스들은 HAR 구조들의 갭들 및 트렌치들을 채우기 위한 방법들을 포함하였다.
3D NAND 플래시 구조들은 종종, HAR 구조들에서 등각으로 산화될 질화규소(SixNy) 층들, 예를 들어, Si3N4로 코팅된다. 3D NAND 플래시 구조들은 고 종횡비들 또는 초고 종횡비들, 예를 들어, 40:1 종횡비, 40:1 내지 100:1 종횡비, 100:1 종횡비, 또는 심지어 100:1 초과의 종횡비를 가질 수 있다. 갭들 및 트렌치들을 단순히 충전하는 대신에, HAR 구조들의 면들 상에 층들을 등각으로 증착시키기 위한 새로운 제조 프로세스들이 요구된다. 예를 들어, 층들을 HAR 구조의 면 상에 등각으로 형성하는 것은 더 느린 증착 속도들을 수반할 수 있다. "등각으로"는 일반적으로, 구조들의 면들 상의 균일하고/거나 일정한 두께의 층들을 지칭한다. HAR 구조들의 맥락에서, "등각으로"는 기판에 실질적으로 수직인 구조 면들 상의 산화의 두께를 논의할 때 가장 적절할 수 있다. 더 등각인 증착은 구조의 최상부에서의 물질 축적을 감소시킬 수 있다. 그러한 물질 축적은, 인접한 구조들 사이의 트렌치의 최상부를 물질이 조기에 밀봉하여 트렌치에 보이드를 형성하는 것을 초래할 수 있다. 불행하게도, 증착 속도를 느리게 하는 것은 또한, 증착 시간을 증가시키는 것을 의미하며, 이는 처리 효율 및 생산율들을 감소시킨다.
따라서, 고 종횡비 구조들의 등각 산화를 위한 개선된 프로세스들이 필요하다.
본 개시내용의 구현들은 일반적으로, 반도체 디바이스 제조, 그리고 특히, 고 종횡비 구조들과 같은 구조들의 등각 라디칼 산화를 위한 방법들에 관한 것이다. 일 구현에서, 산화를 위한 방법이 제공된다. 방법은 수소 가스를 처리 챔버의 처리 영역 내로 제1 유량으로 유동시키는 단계를 포함하고, 처리 영역은 처리 영역에 위치된 기판을 갖는다. 방법은 산소 가스를 전구체 활성화기 내로 제2 유량으로 유동시키는 단계를 더 포함한다. 방법은 아르곤 가스를 전구체 활성화기 내로 제3 유량으로 유동시키는 단계를 더 포함한다. 방법은 전구체 활성화기에서 산소 가스 및 아르곤 가스로부터 플라즈마를 생성하는 단계를 더 포함한다. 방법은, 활성화된 처리 가스를 생성하기 위해 플라즈마가 수소 가스와 혼합되는 처리 영역 내로 플라즈마를 유동시키는 단계를 더 포함한다. 방법은, 기판 상에 산화물 막을 형성하기 위해 기판을 활성화된 가스에 노출시키는 단계를 더 포함하고, 산화물 막의 성장 속도는 제3 유량을 조절함으로써 제어된다.
다른 구현에서, 산화를 위한 방법이 제공된다. 방법은 기판을 처리 챔버의 처리 영역에 위치시키는 단계를 포함한다. 방법은 수소 가스를 전구체 활성화기 내로 제1 유량으로 유동시키는 단계를 더 포함하고, 여기서 전구체 활성화기는 처리 영역과 유체적으로(fluidly) 결합된다. 방법은 산소 가스를 전구체 활성화기 내로 제2 유량으로 유동시키는 단계를 더 포함한다. 방법은 아르곤 가스를 전구체 활성화기 내로 제3 유량으로 유동시키는 단계를 더 포함한다. 방법은 전구체 활성화기에서 수소 가스, 산소 가스 및 아르곤 가스로부터 플라즈마를 생성하는 단계를 더 포함한다. 방법은 처리 영역 내로 플라즈마를 유동시키는 단계를 더 포함한다. 방법은, 기판 상에 산화물 막을 형성하기 위해 기판을 플라즈마에 노출시키는 단계를 더 포함하고, 산화물 막의 성장 속도는 제3 유량을 조절함으로써 제어된다.
또 다른 구현에서, 산화를 위한 방법이 제공된다. 방법은 기판을 처리 챔버의 처리 영역에 위치시키는 단계를 포함한다. 방법은 수소 가스를 전구체 활성화기 내로 제1 유량으로 유동시키는 단계를 더 포함하고, 여기서 전구체 활성화기는 처리 영역과 유체적으로 결합된다. 방법은 산소 가스를 전구체 활성화기 내로 그리고 처리 영역 내로 제2 유량으로 유동시키는 단계를 더 포함한다. 방법은 아르곤 가스를 전구체 활성화기 내로 그리고 처리 영역 내로 제3 유량으로 유동시키는 단계를 더 포함한다. 방법은 전구체 활성화기에서 수소 가스, 산소 가스 및 아르곤 가스로부터 플라즈마를 생성하는 단계를 더 포함한다. 방법은 처리 영역 내로 플라즈마를 유동시키는 단계를 더 포함한다. 방법은, 기판 상에 산화물 막을 형성하기 위해 기판을 플라즈마에 노출시키는 단계를 더 포함하고, 산화물 막의 성장 속도는 제3 유량을 조절함으로써 제어된다.
또 다른 구현에서, 산화를 위한 방법이 제공된다. 방법은 수소 가스를 처리 챔버의 처리 영역 내로 제1 유량으로 유동시키는 단계를 포함하고, 처리 영역은 처리 영역에 위치된 기판을 갖는다. 방법은 수소 가스를 전구체 활성화기 내로 제2 유량으로 유동시키는 단계를 더 포함하고, 여기서 전구체 활성화기는 처리 영역과 유체적으로 결합된다. 방법은 산소 가스를 전구체 활성화기 내로 그리고 처리 영역 내로 제3 유량으로 유동시키는 단계를 더 포함한다. 방법은 아르곤 가스를 전구체 활성화기 내로 그리고 처리 영역 내로 제4 유량으로 유동시키는 단계를 더 포함한다. 방법은 전구체 활성화기에서 수소 가스, 산소 가스 및 아르곤 가스로부터 플라즈마를 생성하는 단계를 더 포함한다. 방법은, 활성화된 처리 가스를 생성하기 위해 플라즈마가 수소 가스와 혼합되는 처리 영역 내로 플라즈마를 유동시키는 단계를 더 포함한다. 방법은 처리 영역 내로 플라즈마를 유동시키는 단계를 더 포함한다.
본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있도록, 위에 간략히 요약된 구현들의 더 구체적인 설명이 구현들을 참조하여 이루어질 수 있으며, 이들 중 일부는 첨부 도면들에 예시된다. 그러나, 본 개시내용은 동등한 효과의 다른 구현들을 허용할 수 있으므로, 첨부 도면들은 본 개시내용의 전형적인 구현들만을 예시하며, 그러므로 그의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
도 1은 본 개시내용의 하나 이상의 구현에 따른 원격 플라즈마 시스템의 단면도이고;
도 2는 본 개시내용의 하나 이상의 구현에 따른 선택적 산화 방법의 프로세스 흐름도이고;
도 3a는 본 개시내용의 하나 이상의 구현에 따라 처리될 수 있는 고 종횡비 피쳐를 갖는 막 구조의 단면도를 도시하고;
도 3b는 본 개시내용의 하나 이상의 구현에 따라 형성된 등각 산화물 층을 갖는 도 3a의 막 구조의 단면도를 도시하고;
도 4는 본원에 설명된 구현들에 따라 형성된 산화물 막의 성장 속도 및 중심 대 에지 균일성을 도시하는 그래프이고;
도 5는 수소 가스의 백분율 및 아르곤의 존재 또는 부재에 기초한 산화물 등각성을 도시하는 그래프이고;
도 6은 아르곤 가스의 백분율에 대한 수소 가스의 백분율에 기초한 산화물 품질을 도시하는 그래프이다.
이해를 용이하게 하기 위해, 가능한 경우, 도면들에 공통된 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 사용되었다. 일 구현의 요소들 및 특징들이 추가의 언급 없이 다른 구현들에 유익하게 포함될 수 있다는 것이 고려된다.
이하의 개시내용은 규소 막들을 산화시키기 위한 방법들을 설명한다. 본 개시내용의 다양한 구현들의 완전한 이해를 제공하기 위해, 특정 세부사항들이 이하의 설명 및 도 1-6에 열거된다. 다양한 구현들의 설명을 불필요하게 모호하게 하는 것을 피하기 위해, 원격 플라즈마 산화에 종종 연관되는 잘 알려진 구조들 및 시스템들을 설명하는 다른 세부사항들은 이하의 개시내용에 열거되지 않는다.
도면들에 도시된 세부사항들, 치수들, 각도들 및 다른 특징들 중 다수는 단지 특정 구현들을 예시할 뿐이다. 이에 따라, 다른 구현들은 본 개시내용의 사상 또는 범위를 벗어나지 않고서 다른 세부사항들, 구성요소들, 치수들, 각도들 및 특징들을 가질 수 있다. 추가적으로, 본 개시내용의 추가의 구현들은 아래에 설명되는 세부사항들 중 몇몇 없이 실시될 수 있다.
본원에 설명되는 구현들은 원격 플라즈마 산화 프로세스에 관하여 아래에 설명될 것이다. 본원에 설명된 장치 설명은 예시적이며, 본원에 설명된 구현들의 범위를 제한하는 것으로서 해석되거나 이해되어서는 안 된다. 원격 플라즈마 산화 프로세스들을 수행할 수 있는 다른 툴들이 또한, 본원에 설명된 구현들로부터 이익을 얻도록 적응될 수 있다.
HAR 구조들의 종횡비가 계속 증가하기 때문에, 이러한 HAR 구조들 내에서 등각 막들을 성장시키는 것이 점점 더 어려워진다. 예를 들어, 현재 이용가능한 원격 플라즈마 산화 프로세스들에 의해 HAR 구조들에 형성된 산화물 막들은, 높은 패턴 부하(예를 들어, 무가공 규소 웨이퍼들과 비교해, 패터닝된 웨이퍼들 상의 불균일성)뿐만 아니라 HAR 구조들에 대한 불충분한 등각성을 갖는다. 본 발명자들은, 원격 플라즈마 산화의 일부 구현들 동안 아르곤 추가는 패턴 부하를 개선하면서 등각 산화 성장을 개선할 수 있다는 점을 발견하였다. 이론에 얽매이지 않고, 아르곤 가스의 추가는 산소 라디칼들의 재결합을 감소시키고, 이는 플라즈마 산화 프로세스에 이용가능한 산소 라디칼들의 농도를 증가시키는 것으로 여겨진다. 따라서, 아르곤 가스는 산화물 막의 성장 속도를 제어하는 데에 사용될 수 있다. 예를 들어, 아르곤 가스의 유동의 증가는 전형적으로, 산화물 막의 성장 속도의 증가를 산출할 것인 반면, 아르곤 가스의 유동의 감소는 전형적으로, 산화물 막의 성장 속도의 감소를 산출할 것이다. 추가적으로, 아르곤은 더 낮은 이온화 에너지를 갖고 따라서 더 쉬운 플라즈마 형성으로 이어지기 때문에, 아르곤 추가는 타격 신뢰성을 개선한다.
본원에 설명된 방법들은, 고 종횡비(HAR) 구조들, 예를 들어, 트렌치 커패시터 유전체들, 게이트 유전체들, 및 3D NAND 플래시 구조들에서 원자 산소 라디칼(O) 성장(예를 들어, 등각 라디칼 산화)을 수행하도록 설계된 처리 챔버, 및 플라즈마 공급원, 예를 들어, 원격 플라즈마 공급원(RPS)을 사용하여 수행될 수 있다. 일부 구현들에서, 플라즈마 공급원은 규소 함유 물질, 예컨대, 질화규소(SixNy) 물질, 예를 들어, Si3N4의 라디칼 산화를 개시하기 위해 아르곤, 산소 및 선택적으로 수소의 가스 혼합물을 활용한다. 일부 구현들에서, 아르곤, 산소, 및 선택적으로 수소의 가스 혼합물은 약 5% 내지 약 80% 범위, 예를 들어, 약 10% 내지 약 50% 범위의 아르곤의 농도를 갖는다. 일부 구현들에서, 플라즈마는 실리카(SiO2)를 형성하기 위한 매개물로서 규소 산질화물(SiOxNy), 예를 들어, Si2N2O를 형성하기 위한 반응을 개시한다.
일부 구현들에서, 활성화기 이후 수소 주입이 있는 일부 경우들에서, 고온 처리(예를 들어, 섭씨 ~ 500 내지 1100 도) 동안, 전구체 활성화기에서의 아르곤, 수소, 및 산소의 조합들은 매우 높은 종횡비 구조들(예를 들어, 40:1 종횡비, 40:1 내지 100:1 종횡비, 100:1 종횡비, 또는 심지어 100:1 초과의 종횡비)의 막들(예를 들어, 비정질 규소, 폴리실리콘, 또는 질화규소)의 고도의 등각 산화 성장을 달성한다.
일부 구현들에서, 활성화기 이후 수소 주입이 있는 일부 경우들에서, 고온 처리(예를 들어, 섭씨 500 내지 1100 도) 동안, 전구체 활성화기에서의 아르곤, 수소, 및 산소의 조합들은 개선된 산화물 품질을 달성하고/거나 열화된 품질을 방지한다.
도 1은 본원에 설명된 방법들을 수행하는 데에 사용될 수 있는 기판 처리 시스템(100)을 예시한다. 다른 증착 챔버들은 또한, 본 개시내용으로부터 이익을 얻을 수 있으며, 본원에 개시된 파라미터들은 본원에 설명된 HAR 구조들을 형성하는 데에 사용되는 특정 증착 챔버에 따라 달라질 수 있다. 예를 들어, 다른 증착 챔버들은, 어플라이드 머티어리얼스 인코포레이티드(Applied Materials, Inc.)로부터 입수가능한 증착 챔버들에 대해 언급된 가스 유량들보다 더 크거나 더 작은 가스 유량들을 요구하는 더 크거나 더 작은 용적을 가질 수 있다.
기판 처리 시스템(100)은 열 처리 챔버(102), 및 열 처리 챔버(102)에 결합되고 플라즈마의 라디칼들을 열 처리 챔버(102)의 처리 영역(113)에 원격으로 제공하는 데에 사용되는 전구체 활성화기(180)를 포함한다. 전구체 활성화기(180)는 또한, 예를 들어, 가스를 현저하게 이온화하지 않는 에너지를 가스에 인가함으로써, 플라즈마가 아닌 활성화된 가스 혼합물을 제공하는 데에 사용될 수 있다. 열 처리 챔버(102)는 하나 이상의 측벽(들)(114)(예를 들어, 4개의 측벽들) 및 베이스(115)에 의해 에워싸인 처리 영역(113)을 갖는다. 측벽(114)의 상부 부분은 (예를 들어, "O" 링들을 사용하여) 윈도우 조립체(117)에 밀봉될 수 있다. 복사 에너지 조립체(118)가 윈도우 조립체(117) 위에 위치되고 이에 결합된다. 복사 에너지 조립체(118)는 복수의 램프들(119)을 갖고, 이 램프들은 각각이 리셉터클(121) 내에 장착되고 전자기 복사를 처리 영역(113) 내로 방출하도록 위치된 텅스텐 할로겐 램프들일 수 있다. 도 1의 윈도우 조립체(117)는 복수의 광 파이프들(141)을 갖지만, 윈도우 조립체(117)는 광 파이프들이 없는 평평한 중실(solid) 윈도우만을 가질 수 있다. 윈도우 조립체(117)는 윈도우 조립체(117)를 윈도우 조립체의 둘레 주위에서 에워싸는 림을 형성하는 외측 벽(116)(예를 들어, 원통형 외측 벽)을 갖는다. 윈도우 조립체(117)는 또한, 복수의 광 파이프들(141)의 제1 단부를 커버하는 제1 윈도우(120) 및, 제1 단부에 대향하는, 복수의 광 파이프들(141)의 제2 단부를 커버하는 제2 윈도우(122)를 갖는다. 제1 윈도우(120) 및 제2 윈도우(122)는, 복수의 광 파이프들(141)을 포함하는 윈도우 조립체(117)의 내부를 에워싸고 밀봉하기 위해 윈도우 조립체(117)의 외측 벽(116)까지 연장되고 그와 맞물린다. 그러한 경우들에서, 광 파이프들이 사용될 때, 도관(153)을 통해 외측 벽(116)을 통해 복수의 광 파이프들(141) 중 하나 ― 이는 차례로, 파이프들의 나머지에 유체적으로 연결됨 ― 에 진공을 인가함으로써 복수의 광 파이프들(141)에 진공이 생성될 수 있다.
기판(101)은 열 처리 챔버(102)에서 처리 영역(113) 내의 지지 링(162)에 의해 지지된다. 지지 링(162)은 회전가능한 실린더(163) 상에 장착된다. 회전가능한 실린더(163)를 회전시킴으로써, 지지 링(162) 및 기판(101)은 처리 동안 회전하게 된다. 열 처리 챔버(102)의 베이스(115)는 처리 동안 기판(101)의 후면 상에 에너지를 반사하기 위한 반사성 표면(111)을 갖는다. 대안적으로, 별개의 반사기(도시되지 않음)가 열 처리 챔버(102)의 베이스(115)와 지지 링(162) 사이에 위치될 수 있다. 열 처리 챔버(102)는 기판(101)의 온도를 검출하기 위해 열 처리 챔버(102)의 베이스(115)를 통해 배치된 복수의 온도 탐침들(171)을 포함할 수 있다. 위에서 설명된 바와 같이, 별개의 반사기가 사용되는 경우에, 온도 탐침들(171)은 또한, 기판(101)으로부터 오는 전자기 복사에 대한 광학적 접근을 위해, 별개의 반사기를 통해 배치된다.
회전가능한 실린더(163)는, 레지(165)를 갖는 원통형 부재인 자기 회전자(164)에 의해 지지되고, 회전가능한 실린더(163)는 양 부재들 모두가 열 처리 챔버(102)에 설치될 때 레지(165) 상에 놓인다. 자기 회전자(164)는 레지(165) 아래의 자석 영역(166)에 복수의 자석들을 갖는다. 자기 회전자(164)는 베이스(115)를 따라 열 처리 챔버(102)의 주변 영역에 위치된 환형 웰(160)에 배치된다. 커버(173)는 베이스(115)의 주변 부분 상에 놓이고, 회전가능한 실린더(163) 및 지지 링(162)을 향해 환형 웰(160) 위로 연장되어, 커버(173)와 회전가능한 실린더(163) 및/또는 지지 링(162) 사이에 공차 갭을 남긴다. 커버(173)는 일반적으로, 자기 회전자(164)를 처리 영역(113)의 프로세스 조건들에 노출되는 것으로부터 보호한다.
자기 회전자(164)는 베이스(115) 주위에 배치된 자기 고정자(167)로부터의 자기 에너지에 의해 회전된다. 자기 고정자(167)는, 기판(101)의 처리 동안, 자기 회전자(164)를 회전시키기 위해 자기 에너지를 제공하는 회전 자기장을 형성하기 위해 회전 패턴에 따라 전력을 공급받는 복수의 전자석들(168)을 갖는다. 자기 고정자(167)는 지지부(170)에 의해, 이 경우에는 스크류 드라이브인 선형 액추에이터(169)에 결합된다. 선형 액추에이터(169)를 작동시키는 것은 자기 고정자(167)를 열 처리 챔버(102)의 축(172)을 따라 이동시키고, 이는 차례로, 자기 회전자(164), 회전가능한 실린더(163), 지지 링(162), 및 기판(101)을 축(172)을 따라 이동시킨다.
처리 가스는 챔버 유입구(175)를 통해 열 처리 챔버(102)에 제공되며, 페이지 밖으로 그리고 일반적으로, 챔버 유입구(175) 및 지지 링(162)과 동일한 평면(도 1에 도시되지 않음)을 따라 배향되는 챔버 배출구를 통해 배기된다. 기판들은, 측벽(114)에 형성되고 도 1에서 배면에 도시된 접근 포트(174)를 통해 열 처리 챔버(102)에 들어가고 나간다. 기판 이송 프로세스는 본원에서 설명되지 않는다.
전구체 활성화기(180)는, 전자들, 라디칼들, 및 이온들의 플라즈마(183)가 형성될 수 있는 내부 공간(184)을 둘러싸는 몸체(182)를 갖는다. 석영 또는 사파이어로 만들어진 라이너(185)는 몸체(182)를 플라즈마에 의한 화학적 공격으로부터 보호한다. 내부 공간(184)은 바람직하게는, 대전 입자들, 예를 들어, 이온들을 끌어당길 수 있는 존재하는 임의의 전위 구배를 갖지 않는다. 가스 유입구(186)는 몸체(182)의 제1 단부(187)에, 그리고 몸체(182)의 제2 단부(189)에 위치된 가스 배출구(188)로부터 대향하여 배치된다. 전구체 활성화기(180)가 열 처리 챔버(102)에 결합될 때, 가스 배출구(188)는 챔버 유입구(175)로의 전달 라인(190)을 통해 열 처리 챔버(102)와 유체 연통하고, 이에 의해, 내부 공간(184) 내에서 생성되는 플라즈마(183)의 라디칼들이 열 처리 챔버(102)의 처리 영역(113)에 공급된다. 가스 배출구(188)는 여기된 라디칼들이 목표 유량으로 효율적으로 배출되는 것을 허용하고 라디칼들과 라이너(185) 사이의 접촉을 최소화하기 위해 가스 유입구(186)보다 큰 직경을 가질 수 있다. 목표된 경우, 가스 배출구(188)에서의 내부 공간(184)의 내측 치수를 감소시키기 위해 가스 배출구(188)에서 라이너(185) 내에 별개의 오리피스가 삽입될 수 있다. 가스 배출구(188)(또는, 사용되는 경우, 오리피스)의 직경은 처리 영역(113)과 전구체 활성화기(180) 사이의 압력 차이를 제공하도록 선택될 수 있다. 압력 차이는, 열 처리 챔버(102)에서 수행되는 프로세스들에 적합한, 열 처리 챔버(102) 내로 유동하는 분자들, 라디칼들, 및 이온들의 조성물을 산출하도록 선택될 수 있다.
플라즈마 처리를 위한 가스를 제공하기 위해, 제1 가스 공급원(192)은 4-방향 밸브(194)의 제1 입력부를 통해 그리고 제1 가스 공급원(192)으로부터 방출된 가스의 유량을 제어하는 데에 사용되는 밸브(197)를 통해 가스 유입구(186)에 결합된다. 4-방향 밸브(194)의 제2 입력부는 제2 가스 공급원(198)에 결합될 수 있다. 4-방향 밸브의 제3 입력부는 제3 가스 공급원(199)에 결합될 수 있다. 제1 가스 공급원(192), 제2 가스 공급원(198), 및 제3 가스 공급원(199) 각각은, 질소 함유 가스, 산소 함유 가스, 규소 함유 가스, 수소 함유 가스, 또는 플라즈마 형성 가스, 예컨대, 아르곤 또는 헬륨 중 하나 이상일 수 있거나 이를 포함할 수 있다. 유동 제어기(196)는 어느 프로세스가 수행되어야 하는지에 따라 밸브를 밸브의 상이한 위치들 사이에서 스위칭하기 위해 4-방향 밸브(194)에 연결된다. 유동 제어기(196)는 또한, 4-방향 밸브(194)의 스위칭을 제어한다.
전구체 활성화기(180)는 제1 가스 공급원(192)으로부터 플라즈마(183) 내로 이동하는 프로세스 가스를 활성화하기 위해 전구체 활성화기(180)에 여기 에너지, 예컨대, 마이크로파 또는 RF 주파수를 갖는 에너지를 제공하기 위한 에너지 공급원(도시되지 않음)에 결합될 수 있다. 질소 함유 가스, 예를 들어, N2가 사용되는 경우, 전구체 활성화기(180)에서의 플라즈마 활성화는 내부 공간(184)에 N* 라디칼들, 양으로 대전된 이온들, 예컨대, N+ 및 N2 +, 및 전자들을 생성한다. 전구체 활성화기(180)를 열 처리 챔버(102)의 처리 영역(113)으로부터 원격으로 위치시킴으로써, 이온들에 대한 기판의 노출이 최소화될 수 있다. 이온들은 반도체 기판 상의 민감한 구조들을 손상시킬 수 있는 반면, 라디칼들은 반응성이고, 유익한 화학 반응들을 수행하는 데에 사용될 수 있다. 전구체 활성화기(180)와 같은 활성화된 가스 공급원의 사용은, 라디칼들에 대한 기판(101)의 노출을 촉진하고, 이온들에 대한 기판(101)의 노출을 최소화한다.
일부 구현들에서, 제2 수소 가스 공급원(도시되지 않음)이 열 처리 챔버(102)와 유체적으로 결합된다. 제2 수소 가스 공급원은, 전구체 활성화기(180)로부터 처리 영역(113)으로 전달되는 산소 및 아르곤을 포함하는 원격 플라즈마에 의해 수소 가스가 활성화되는 처리 영역(113)에 수소 가스를 전달한다. 높은 백분율의 수소 가스가 목표되는 일부 구현들에서, 수소 가스는 제3 가스 공급원(199) 및 제2 수소 가스 공급원 양쪽 모두를 통해 처리 영역(113)에 공급될 수 있다.
일부 구현들에서, 제2 아르곤 가스 공급원(도시되지 않음)이 열 처리 챔버(102)와 결합된다. 제2 아르곤 가스 공급원은, 전구체 활성화기(180)로부터 처리 영역(113)에 전달되는 원격 플라즈마에 의해 아르곤 가스가 활성화되는 처리 영역(113)에 아르곤 가스를 전달한다. 높은 백분율의 아르곤 가스가 목표되는 일부 구현들에서, 아르곤 가스는 제2 가스 공급원(198) 및 제2 아르곤 가스 공급원 양쪽 모두를 통해 처리 영역(113)에 공급될 수 있다.
도 2는 본 개시내용의 하나 이상의 구현에 따른 산화 방법(200)의 프로세스 흐름도이다. 방법(200)은 막들, 예컨대, 예를 들어, 비정질 규소 막들, 폴리실리콘 막들, 질화규소 막들, 알루미나 막들, 산화규소 막들 등을 산화시키는 데에 사용될 수 있다. 도 3a는 방법(200)에 따라 처리될 수 있는 고 종횡비 피쳐를 갖는 막 구조의 단면도를 도시한다. 도 3b는 방법(200)에 따라 형성된 등각 산화물 층을 갖는 도 3a의 막 구조의 단면도를 도시한다. 방법(200)은, 3차원 반도체 디바이스들을 위해 막 스택 상에 형성되어 막 스택에 계단형 구조들을 제조하는 데에 활용될 수 있는 고 종횡비 구조를 참조하여 아래에 설명되지만, 방법(200)은 또한, 다른 디바이스 제조 응용들에서 유리하게 사용될 수 있다. 예를 들어, 방법(200)은 또한, DRAM(예를 들어, 함몰된 채널 어레이 트랜지스터 "RCAT")을 위해 유리하게 사용될 수 있다. 추가로, 또한, 도 2에 도시된 작동들은 동시에 그리고/또는 도 2에 도시된 순서와는 상이한 순서로 수행될 수 있다는 것을 이해해야 한다. 추가적으로, 방법(200)은 막들의 선택적 산화 및 비선택적 산화에 유리하게 사용될 수 있다.
방법(200)은, 작동(210)에서 프로세스 챔버, 예컨대, 도 1에 도시된 열 처리 챔버(102) 내에 기판을 위치시키는 것으로 시작한다. 기판은, 기판 상에 형성된 막 구조(300), 또는 막 구조(300)의 임의의 하위세트를 갖는 기판(302)일 수 있다. 막 구조가 없는 기판(302)(즉, 단지 기판(302)만)이 또한, 방법(200)에 따라 처리될 수 있다. 막 구조(300)는 막 구조에 형성된 고 종횡비 피쳐(340)를 가질 수 있다. 여기서 고 종횡비 피쳐(340)를 한정하는 면들은 기판(302)에 실질적으로 수직이지만, 점감된, 경사진, 기울어진, 또는 만곡된 면들을 갖는 다른 유형들의 피쳐들이 방법(200)을 사용하여 처리될 수 있다. 고 종횡비 피쳐(340)는, 예를 들어, 가스 투과 및/또는 반응물 제거를 위해, HAR 구조들의 면들에 대한 접근을 제공한다는 점을 주목한다. 종횡비가 증가할 때, HAR 구조들의 표면적 및 피쳐들의 깊이도 마찬가지로 증가한다. 종횡비가 증가할 때, HAR 구조들의 면들의 등각 라디칼 산화는, 특히 고 종횡비 피쳐(340)의 바닥 근처에서 산소 라디칼 공핍에 의해 점점 더 방해받는다. 이러한 산소 라디칼 공핍은 배양 시간의 증가 및 등각 산화물 막의 성장 속도의 대응하는 감소로 이어진다. 본원에 개시된 바와 같이, 라디칼 플라즈마 산화 프로세스에 대한 아르곤 추가는 고 종횡비 피쳐(340)의 바닥 근처에서의 산소 재결합을 감소시키고, 이는 등각 라디칼 산화를 위한 산소 라디칼들의 가용성을 증가시켜 등각 산화물 막의 증가된 성장 속도로 이어진다. 활성화된 아르곤은 고 종횡비 피쳐(340)에서 다른 종들과의 반응에 의해 비활성화될 수 있지만, 활성화된 아르곤은 고 종횡비 피쳐(340)의 표면들에의 부착에 의해 더 소비되지는 않는다. 결과적으로, 기상 산소, 및 고 종횡비 피쳐(340)로의 수송 중에 비활성화되었을 수 있는 다른 종들, 예컨대, 수소와 반응하고 이를 재활성화하기 위해, 더 많은 활성화된 아르곤이 고 종횡비 피쳐(340)의 바닥까지 침투할 수 있다. 따라서, 활성화된 아르곤은 고 종횡비 피쳐(340)에서의 가스 혼합물에 화학적 전위 에너지를 추가하여 전체 반응성, 특히, 고 종횡비 피쳐(340)의 바닥에서의 반응성을 증가시킨다.
도 3에 오직 하나의 고 종횡비 피쳐(340)만 도시되지만, 방법(200)은 막 구조(300)에 형성된 다수의 고 종횡비 피쳐들을 갖는 기판들과 함께 사용될 수 있다는 것을 이해해야 한다. 일부 구현들에서, 막 구조(300)는 3차원 NAND 반도체 응용들을 위한 게이트 구조들, 또는 전구체 구조들을 포함할 수 있다. 3차원 NAND 반도체 응용들을 제조할 때, 구조들의 계단형 산화물-질화물 쌍들은 종종, 회로 밀도를 증가시키기 위해 고 종횡비 게이트 스택 NAND 셀들을 형성하는 데에 활용된다.
막 구조(300)는 기판(302) 상에 형성될 수 있다. 막 구조(300)는 기판(302) 상에 순차적으로 형성된 복수의 물질 층 스택들(3061, 3062, 3063, 3064 ... 306n)(총칭 306)을 갖는다. 복수의 물질 층 스택들(306) 중 각각의 물질 층 스택은, 막 구조(300)가, 교번하여 형성된 복수의 제1 막 층들(3081, 3082, 3083, 3084 ... 308n)(총칭 308) 및 제2 막 층들(3101, 3102, 3103, 3104 ... 310n)(총칭 310)을 포함하도록, 제1 막 층(308) 및 제1 막 층 상에 형성된 제2 막 층(310)을 포함할 수 있다. 일부 구현들에서, 복수의 제1 막 층들(308)은 산화규소 층들이고, 복수의 제2 막 층들(310)은 질화규소 층들이다. 복수의 물질 층 스택들(306)은 플라즈마 처리 챔버에서 PECVD 증착 기법들에 의해 형성될 수 있다.
추가의 구현들에서, 제1 물질 층/제2 물질 층 스택들은 산화물/규소, 규소/도핑된 규소, 또는 규소/질화물일 수 있다. 물질들의 이러한 조합들 전부는 비트 코스트 스케일러블(BiCS), 테라비트 셀 어레이 트랜지스터(TCAT), DRAM 및 다른 3D 메모리 구조들에서 사용될 수 있다. 다른 구현들에서, 제1 물질 층 및 제2 물질 층 스택들은 물질들의 다른 조합들일 수 있다. 기판(302) 상의 제1 막 층들(308) 및 제2 막 층들(310)의 증착 순서는 또한, 역전될 수 있다.
층들의 개수는 제조되는 메모리 디바이스에 의존할 수 있다. 일부 구현들에서, 스택 개수들은 8x, 또는 16x, 또는 24x, 또는 심지어 더 높을 수 있고, 여기서 8, 16, 24, 32, 64, 128 또는 그 초과의 개수의 층들의 각각의 스택은 하나의 메모리 디바이스에 대응한다. 상이한 물질들의 2개의 층들이 각각의 스택을 형성하고, 따라서 8x 스택 개수에 대한 층들의 대응하는 개수는 16일 수 있고, 16x 스택 개수는 32개의 층들을 가질 수 있고, 24x 스택 개수는 48개의 층들을 가질 수 있고, 더 높은 스택 개수는 각각 더 높은 개수의 층들을 가질 수 있다.
일부 구현들에서, 기판(302)은 실질적으로 평평한 표면, 평탄하지 않은 표면, 또는 표면 상에 형성된 구조를 갖는 실질적으로 평평한 표면을 가질 수 있다. 기판(302)은 물질, 예컨대, 결정질 규소(예를 들어, Si<100> 또는 Si<111>), 산화규소, 응력가해진 규소, 규소 게르마늄, 도핑되거나 도핑되지 않은 폴리실리콘, 도핑되거나 도핑되지 않은 규소 웨이퍼들 및 패터닝되거나 패터닝되지 않은 웨이퍼들 절연체상 규소(SOI), 탄소 도핑된 산화규소들, 질화규소, 도핑된 규소, 게르마늄, 비화갈륨, 유리, 사파이어일 수 있다. 기판(302)은, 다양한 형상들 및 치수들, 예컨대, 200 mm 또는 300 mm 직경의 웨이퍼들 및 직사각형 또는 정사각형 패널들을 가질 수 있다. 다르게 언급되지 않는 한, 본원에 설명된 구현들 및 예들은 300 mm 직경을 갖는 기판들을 지칭한다. 일부 구현들에서, 기판(302)은 결정질 규소 기판(예를 들어, 단결정질 규소 또는 다결정질 규소)일 수 있다.
고 종횡비 피쳐(340)는 개구부(350)를 갖는다. 고 종횡비는 바닥 표면(360) 및 측벽(370)에 의해 한정된다. 일부 구현들에서, 바닥 표면(360)은 노출된 규소 또는 규소 함유 표면(예를 들어, 단결정질 규소 표면)이다. 일부 구현들에서, 바닥 표면(360)은 노출된 게르마늄 또는 게르마늄 함유 표면이다. 일부 구현들에서, 바닥 표면(360)은 기판(302)의 노출된 표면에 의해 한정된다. 고 종횡비 피쳐(340)가 기판(302)의 표면까지 연장되지 않는 일부 구현들에서, 바닥 표면(360)은, 존재하는 경우, 물질 층 스택들(306) 또는 베이스 층에 의해 한정될 수 있다. 측벽(370)은 복수의 물질 층 스택들(306)에 의해 한정된다.
본원에 설명된 방법들은, 적어도 약 5:1 이상의 종횡비들(무가공 홀의 높이를 홀의 폭으로 나눈 비율)(예를 들어, 6:1 이상, 7:1 이상, 8:1 이상, 9:1 이상, 10:1 이상, 11:1 이상, 12:1 이상, 20:1 이상, 50:1 이상, 100:1 이상, 16:7 이상, 또는 약 10:1 내지 약 20:1, 또는 약 30:1 내지 약 50:1의 범위; 또는 약 40:1 내지 약 100:1의 범위; 또는 약 70:1 내지 약 100:1의 범위의 종횡비)의 고 종횡비 피쳐(340)에 형성된 층들의 등각성을 개선한다. 피쳐 정의들의 예들은 채널들, 비아들, 트렌치들, 갭들, 라인들, 접촉 홀들, 관통 홀들, 또는 반도체, 태양에너지, 또는 다른 전자 디바이스들, 예컨대, 고비율 접촉 플러그들에 활용되는 다른 피쳐 정의들을 포함한다.
일부 구현들에서, 기판(302)은 비반응성 분위기 하에서 처리 영역(113)에 위치되고 온도 및 압력 증가 프로세스를 겪는다. 비반응성으로 간주되는 가스들은 질소 가스(N2), 헬륨(He), 아르곤(Ar), 네온(Ne), 및 크세논(Xe)을 포함하지만, 이들로 제한되지 않는다. 수소, 아르곤 및/또는 산소 함유 가스들은 온도 및 압력의 증가 이전에 그리고/또는 그 동안에 처리 영역(113) 내로 공급될 수 있다. 수소, 아르곤, 및/또는 산소 함유 가스들은 처리 영역(113) 내로 직접 도입될 수 있다. 일부 구현들에서, 수소, 아르곤, 및/또는 산소 함유 가스들은 전구체 활성화기(180)를 통해 처리 영역(113) 내로 도입될 수 있다. 일부 구현들에서, 비반응성 분위기는 비반응성 가스를 프로세스 챔버 내로 및 밖으로 유동시킴으로써 증가 동안 유지될 수 있다. 온도 및 압력은 임의의 패턴으로, 동시에 또는 연속적으로 목표된 미리 결정된 프로세스 조건들까지 증가될 수 있다.
일부 구현들에서, 본원에 설명된 방법들은 처리 영역(113)의 압력을 20 Torr 미만, 예를 들어, 약 1 Torr 내지 약 10 Torr(예를 들어, 약 2 Torr 내지 약 8 Torr; 약 2 Torr 내지 약 3 Torr, 또는 약 2.5 Torr 내지 약 3 Torr)로 유지함으로써 수행된다. 일부 구현들에서, 본원에 설명된 방법들은 기판 온도를 섭씨 약 500 도 내지 섭씨 약 1100 도, 예를 들어, 섭씨 약 600 도 내지 섭씨 약 1100 도; 섭씨 약 700 도 내지 섭씨 약 800 도; 또는 섭씨 약 750 도 내지 섭씨 약 800 도로 유지함으로써 수행된다.
일부 구현들에서, 처리 동안, 챔버, 기판, 또는 양쪽 모두는 섭씨 약 700 도 내지 섭씨 약 800 도의 온도 및 약 2 Torr 내지 약 3 Torr의 챔버 압력으로 유지된다.
작동(220)에서, 방법(200)은 수소 가스를 처리 영역(113) 내로 유동시키는 단계를 더 포함할 수 있다. 일부 구현들에서, 수소 가스는 처리 영역(113) 내로 직접 도입된다. 일부 구현들에서, 수소 가스는 처리 영역(113) 내로 전구체 활성화기(180)를 통해 도입된다. 일부 구현들에서, 수소 가스는 처리 영역(113) 내로 직접적으로 뿐만 아니라 전구체 활성화기(180)를 통해서도 도입된다. 수소 가스는, 더 양호한 프로세스 제어를 위해, 온도 및 압력의 증가 동안 프로세스 챔버에 공급될 수 있거나 설정 온도가 도달된 후에 유동될 수 있다. 일부 구현들에서, 설정 온도는 위에서 설명된 기판 온도들을 포함한다. 수소(H2) 가스가 사용되지만, 다른 가스들, 예컨대, 암모니아(NH3)가 사용될 수 있다.
적절한 크기의 챔버에서 300 mm 기판의 경우, H2의 유량들은 300 밀리미터 기판에 대해 약 0.01 slm 내지 약 20 slm(예를 들어, 약 1 slm 내지 약 10 slm)일 수 있다. 수소는 약 0.01 Torr 내지 약 10 Torr(예를 들어, 약 0.5 Torr 내지 약 8 Torr; 약 0.5 Torr 내지 약 5 Torr; 약 2 Torr 내지 약 3 Torr; 또는 약 2.5 Torr 내지 약 3 Torr)의 전체 챔버 압력을 유지하기 위해 챔버 내로 유동될 수 있다. 일부 구현들에서, 기판의 온도는 섭씨 약 500 도 내지 섭씨 약 1100 도, 예컨대, 섭씨 약 800 도까지 증가될 수 있다.
일부 구현들에서, 작동(230)에서 산소 및 아르곤 중 적어도 하나는 플라즈마 전구체 가스 혼합물로부터 플라즈마를 생성하기 전에 처리 영역(113) 내로 도입된다. 산소 및/또는 아르곤은 처리 영역(113) 내로 직접 도입될 수 있다. 대안적으로, 산소 및/또는 아르곤은 전구체 활성화기(180)를 통해 처리 영역(113) 내로 도입될 수 있다. 일부 구현들에서, 산소 및/또는 아르곤은 300 mm 기판의 경우 약 5초 내지 약 30초, 예를 들어, 약 15초의 지속시간 동안 처리 영역(113) 내로 도입된다. 플라즈마 종들의 도입 이전에 산소 및/또는 아르곤 가스 혼합물을 유동시키는 것은 처리 영역(113)의 연속적인 열 및 압력 안정화를 제공하는 것으로 여겨진다. 안정화 프로세스는 작동(230) 이전에 수행될 수 있거나, 작동(230)과 중첩될 수 있다.
작동(230)에서, 방법(200)은 적어도 산소 가스, 아르곤 가스 및 선택적으로 수소 가스를 포함하는 플라즈마 전구체 가스 혼합물로부터 원격 플라즈마를 생성하는 단계를 더 포함한다. 산소(O2) 가스가 사용되지만, 다른 가스들, 예컨대, 아산화질소(N2O)가 사용될 수 있다. 일부 구현들에서, 산소 가스, 아르곤 가스, 및 선택적으로 수소 가스의 유량은 반응이 시작될 때 온도, 압력, 및 유동 제어들이 응답하는 것을 허용하기 위해 설정점까지 증가된다. 이론에 얽매이지 않고, 플라즈마 전구체 가스 혼합물에서의 수소의 포함은 HAR 구조들에서의 산화의 등각성을 더 개선할 뿐만 아니라 산화물 막의 성장 속도도 증가시키는 것으로 여겨진다. 일부 구현들에서, 원격 플라즈마는 전구체 활성화기(180)에서 생성된다. 산소는 제1 가스 공급원(192)에 의해 전구체 활성화기(180)에 공급되고, 아르곤 가스는 제2 가스 공급원(198)에 의해 전구체 활성화기(180)에 공급되고, 수소 가스는 제3 가스 공급원(199)에 의해 전구체 활성화기(180)에 공급된다.
작동(230)에서, 산소 가스는 300 밀리미터 기판에 대해 약 0.01 slm 내지 약 15 slm(예를 들어, 300 밀리미터 기판에 대해 약 1 slm 내지 약 10 slm)으로 전구체 활성화기(180) 내로 유동된다. 플라즈마 전구체 가스 혼합물을 형성하기 위해 산소 가스는 아르곤 가스 및 수소 가스와 혼합될 수 있다. 일부 구현들에서, 아르곤 가스는 300 밀리미터 기판에 대해 약 0.01 slm 내지 약 15 slm(예를 들어, 300 밀리미터 기판에 대해 약 1 slm 내지 약 10 slm)으로 전구체 활성화기(180) 내로 유동된다. 일부 구현들에서, 수소 가스는 약 0.01 slm 내지 약 20 slm(예를 들어, 300 밀리미터 기판에 대해 약 1 slm 내지 약 10 slm)으로 전구체 활성화기(180) 내로 유동된다. 일부 구현들에서, 플라즈마 전구체 가스 혼합물은 추가적인 불활성 가스를 포함한다. 추가적인 불활성 가스는 가스들, 예컨대, 헬륨 또는 크립톤을 포함할 수 있다. 그 다음, 플라즈마 전구체 가스 혼합물은 에너지 공급원을 사용하여 플라즈마로 전환될 수 있다. 에너지 공급원은 RPS, 마그네트론 유형 플라즈마 공급원, 수정된 마그네트론 유형(MMT) 플라즈마 공급원, 원격 플라즈마 산화(RPO) 공급원, 용량성 결합된 플라즈마(CCP) 공급원, 유도성 결합된 플라즈마(ICP) 공급원, 마이크로파 공급원, 자외선 복사 공급원, 또는 토로이드형 플라즈마 공급원일 수 있다.
수소가 플라즈마에 포함되지 않지만 처리 영역(113)에 직접 제공되는 일부 구현들에서, 플라즈마 전구체 가스 혼합물은 산소(O2) 및 아르곤(Ar)을 포함하고, 최대 약 55 퍼센트의 아르곤의 농도는 막 성장 속도 및 등각성에 유익한 효과를 제공한다. 약 55 퍼센트 초과에서, 유익한 효과가 더 적은 범위까지 실현될 수 있다. 산소 및 아르곤 전체에 대한 아르곤 농도는 적어도 0.5 퍼센트 내지 최대 55 퍼센트, 예컨대, 20 퍼센트 내지 50 퍼센트, 또는 30 퍼센트 내지 40 퍼센트, 예를 들어, 35 퍼센트이다. 그러한 경우들에서, 플라즈마 전구체 가스에서의 산소의 농도는 적어도 19.5 퍼센트 내지 최대 95.5 퍼센트, 예컨대, 45 퍼센트 내지 최대 95.5 퍼센트, 예컨대, 50 퍼센트 내지 80 퍼센트, 또는 60 퍼센트 내지 70 퍼센트, 예를 들어, 65 퍼센트이다.
일부 구현들에서, 플라즈마 전구체 가스 혼합물이 산소(O2), 아르곤(Ar), 및 수소(H2)를 포함하는 경우, 산소, 아르곤, 및 수소 전체에 대한 아르곤 농도는 적어도 0.5 퍼센트 내지 최대 80 퍼센트, 예컨대, 20 퍼센트 내지 50 퍼센트, 또는 30 퍼센트 내지 40 퍼센트, 예를 들어, 35 퍼센트이다. 그러한 경우들에서, 플라즈마 전구체 가스에서의 산소의 농도는 적어도 20 퍼센트 내지 최대 95.5 퍼센트, 예컨대, 45.5% 내지 90%, 또는 50 퍼센트 내지 80 퍼센트, 또는 60 퍼센트 내지 70 퍼센트, 예를 들어, 60 퍼센트이다. 또한, 그러한 경우들에서, 산소, 아르곤, 및 수소 전체에 대한 수소 농도는 적어도 0.5 퍼센트 내지 최대 80 퍼센트, 예컨대, 5 퍼센트 내지 50 퍼센트, 또는 10 퍼센트 내지 40 퍼센트, 또는 20 퍼센트, 내지 30 퍼센트, 예를 들어, 5 퍼센트이다.
일부 구현들에서, 산소 농도(O2/(H2 + O2)%)는 약 20 퍼센트 이상이다.
플라즈마 전구체 가스 혼합물은 위에서 설명된 백분율 범위들에서 약 1,000 sccm 내지 50,000 sccm(예를 들어, 약 6,000 sccm 내지 약 15,000 sccm; 또는 약 10,000 sccm 내지 약 35,000 sccm; 또는 약 25,000 sccm 내지 약 35,000 sccm)의 총 유량으로 제공된다. 예를 들어, 산소(O2) 및 아르곤(Ar) 둘 모두가 제공될 때, 산소(O2) 및 아르곤(Ar)은 위에서 설명된 백분율 범위들에서 약 10,000 sccm 내지 약 50,000 sccm, 특히 약 25,000 sccm 내지 약 35,000 sccm, 또는 약 30,000 sccm의 총 유량으로 제공된다. 플라즈마 형성 가스가 산소(O2), 아르곤(Ar), 및 수소(H2)를 포함하는 경우, 산소(O2), 아르곤(Ar), 및 수소(H2)는, 위에서 설명된 백분율 범위들에서 약 10,000 sccm 내지 약 50,000 sccm(예를 들어, 약 10,000 sccm 내지 약 35,000 sccm; 또는 약 25,000 sccm 내지 약 35,000 sccm)의 총 유량으로 제공된다.
본원에 설명된 작동들을 위한 가스 유동들은 비율로 제어될 수 있다. 가스 혼합물에서의 아르곤 대 산소의 비율은 본원에 설명된 프로세스들에서 형성된 층들의 등각성 및 성장 속도에 영향을 미치고, 상이한 비율들은 상이한 프로세스들에서 가장 유익한 결과들을 달성할 수 있다. 본원에 설명된 프로세스들의 경우, 산소 가스 대 아르곤 가스(O2:Ar)의 가스 유동 비율은 1:4 내지 50:1(예를 들어, 1:1 내지 20:1; 1:1 내지 5:1; 또는 5:1 내지 10:1)이 사용된다.
도 1의 기판 처리 시스템(100)을 사용하여, 플라즈마 전구체 가스 혼합물은 RF 전력에 대한 노출에 의해 활성화된다. RF 전력에 대한 노출은 플라즈마 전구체 가스 혼합물의 적어도 일부를 이온화하여 플라즈마를 형성한다. 약 10 kHz 내지 약 14 MHz의 주파수의 RF 전력이, 플라즈마를 생성하기 위해, 약 1,000 W 내지 약 5,000 W(예를 들어, 약 2,000 W 내지 약 3,000 W, 또는 약 2,500 W)의 전력 수준으로 인가된다. 일 예에서, 13.56 MHz의 주파수가 사용된다. 다른 예에서, 400 kHz의 더 낮은 주파수가 사용된다. 대안적으로, 산소 및 아르곤 가스 혼합물은 마이크로파 공급원, 예를 들어, 2.45 GHz 마이크로파 공급원에 대한 노출에 의해 활성화될 수 있다. 마이크로파 공급원은 마이크로파 공급원을 통한 가스 유량 및 활성화의 정도에 따라 약 1,000 W 내지 5,000 와트, 예를 들어, 3,000 와트의 전력 수준으로 작동될 수 있다.
작동(240)에서, 방법(200)은 원격 플라즈마를 처리 챔버 내로 유동시키는 단계를 더 포함한다. 처리 영역(113)에 수소 가스가 존재하는 일부 구현들에서, 원격 플라즈마는 수소 가스와 혼합되어, 활성화된 처리 가스를 생성한다. 플라즈마는 기판 위에서 수소와 혼합되어, H, O 및 OH 종들을 생성한다. 일부 구현들에서, 수소가 플라즈마 전구체 가스의 일부인 경우, 원격 플라즈마는 활성화된 처리 가스로서 역할한다. 플라즈마를 사용할 때, 내부 공간(184) 및 전달 라인(190)에서의 플라즈마의 체류 시간, 및 활성화 범위는, 플라즈마가 처리 영역(113)에 도달하기 전에 ??칭의 목표된 양을 제공하도록 선택될 수 있다. 주어진 활성화 수준에서 가스 체류 시간이 증가함에 따라, 더 높은 플라즈마 ??칭이 실현되고, 더 적은 활성 가스가 처리 영역(113)에 제공된다. 유사하게, 가스 체류 시간이 감소함에 따라, 더 적은 ??칭이 실현된다.
일부 구현들에서, 챔버는, 활성화된 가스를 형성하기 전에, 불활성 가스 또는 수소 가스로 퍼징된다. 퍼지는 산소 및 아르곤 플라즈마의 형성과 동시에 발생할 수 있다. 또한, 수소는 산소 및 아르곤 플라즈마가 원격 플라즈마 공급원으로부터 유동되기 전에 챔버 내로 유동될 수 있거나 기판 위에서 산소 및 아르곤 플라즈마와 혼합되기 위해 동시에 유동될 수 있다.
작동(250)에서, 방법(200)은 도 3b에 도시된 바와 같이 산화물 막, 예컨대, 산화규소 층(380)을 형성하기 위해 기판 표면을 산화시키기 위해서 기판을 활성화된 가스에 노출시키는 단계를 더 포함한다. 일부 구현들에서, 산화규소 층은 등각 산화규소 층이다.
작동(260)에서, 아르곤의 유량은 산화물 증착 속도를 증가시키거나 감소시키도록 제어된다. 본 발명자들은, 아르곤을 원격 플라즈마 공급원 또는 챔버 슬릿 밸브 내에 유동시키는 것이 고에너지 아르곤 종들을 생성하고 이러한 고에너지 아르곤 종들이 산소 라디칼들의 재결합을 방지한다는 것을 발견했다. 따라서, 산화물 막의 성장 속도는 원격 플라즈마 공급원 내로의 아르곤 가스의 유동을 증가시키는 것에 의해 증가될 수 있는데, 아르곤 가스의 유동의 증가는 산소 라디칼 재결합을 감소시키고 산화물 형성을 위한 증가된 산소 라디칼 농도를 제공한다. 추가적으로, 산화물 막의 성장 속도를 감소시키기에 적절한 일부 구현들에서, 원격 플라즈마 공급원 내로의 아르곤 가스의 유동을 감소시키는 것은 산소 라디칼 재결합을 증가시키는데, 이는, 산소 종들의 증가하는 농도에도 불구하고, 산화물 막 성장에 이용가능한 산소 라디칼들의 양을 감소시켜, 감소된 성장 속도로 이어진다. 따라서, 아르곤은 원격 플라즈마 산화 프로세스들 동안 산화물 막 성장 속도를 증가시키거나 감소시키는 독립적인 노브를 제공한다. 추가적으로, Ar 추가는 다른 파라미터들(압력, 유동, 온도 등)과는 독립적으로 산화의 웨이퍼 균일성 내에서 조정될 수 있다.
도 4는 본원에 설명된 구현들에 따라 형성된 산화물 막의 성장 속도 및 중심 대 에지 균일성을 도시하는 그래프(400)이다. 도 4에 도시된 바와 같이, 성장 속도는 원격 플라즈마의 50% 아르곤 이후에 감소하기 시작한다. 도 4에 더 도시된 바와 같이, 대략 15%의 아르곤을 이용한 산화물 성장 속도는, H2/(H2+O2)이 10%인 경우, 아르곤을 사용할 때 처리 챔버에서의 반응 전구체 가스들의 겉보기 희석에도 불구하고, 아르곤 없이 성장된 산화물 막보다 약 3% 더 크다. 이론에 얽매이지 않고, 아르곤 농도가 감소할 때, 활성화된 종들의 비활성화는 (비반응 종들, 예컨대, 아르곤과는 대조적으로) 반응 종들의 전체 농도보다 더 빠르게 증가하고, 아르곤 농도가 감소할 때 막 성장 속도의 전체적인 감소로 이어지는 것으로 보인다. 따라서, 본원에 설명되는 방법들에서, 아르곤 + 산소 종들에 기초하여 최대 약 55 퍼센트 아르곤까지, 모든 다른 조건들은 동일할 때, 막 성장 속도는 아르곤 유량에 대해 역전 관계를 갖는다. 약 55 퍼센트 이후에는, 더 적은 이익이 실현된다. 추가적으로, 더 많은 아르곤은 HAR 피쳐들, 예컨대, 고 종횡비 피쳐(340)에서의 활성 종들의 농도 구배를 감소시키기 때문에, 증착된 막의 등각성은 아르곤 유량에 대해 직접적인 관계를 갖는다.
도 5는 수소 가스의 백분율 및 아르곤의 존재 또는 부재에 기초한 산화물 등각성을 도시하는 그래프(500)이다. "없음"이라고 표기된 그래프(500)의 부분은 수소 가스 및 산소 가스로만 수행되었다. "있음"이라고 표기된 그래프(500)의 부분은 수소 가스, 산소 가스, 및 아르곤 가스로 수행되었다. 그래프(500)에 도시된 바와 같이, 아르곤의 존재 시에 수소 가스의 특정 백분율들만이, 증착된 상태 그대로의 산화물 막의 등각성의 개선을 산출할 것이다.
도 6은 아르곤 가스의 백분율에 대한 수소 가스의 백분율에 기초한 산화물 품질을 도시하는 그래프(600)이다. 그래프(600)는 아르곤 없이, 수소 가스의 특정 백분율들이 산화물 품질을 열화시킬 수 있다는 것을 입증한다. 아르곤 가스의 충분한 추가는 아르곤 가스 없이 존재하는 산화물 품질의 감소를 제거하거나 감소시킬 수 있다.
요약하면, 본원에 설명된 일부 구현들은 HAR 구조들 내의 등각 산화물 막들(예를 들어, 산화규소들)의 성장을 가능하게 한다. 본 발명자들은, 원격 플라즈마 산화의 일부 구현들 동안 아르곤 추가는 패턴 부하를 개선하면서 등각 산화 성장을 개선할 수 있다는 점을 발견하였다. 이론에 얽매이지 않고, 아르곤 가스의 추가는 산소 라디칼들의 재결합을 감소시키고, 이는 플라즈마 산화 프로세스에 이용가능한 산소 라디칼들의 농도를 증가시키는 것으로 여겨진다. 따라서, 아르곤 가스는 산화물 막의 성장 속도를 제어하는 데에 사용될 수 있다. 예를 들어, 아르곤 가스의 유동의 증가는 전형적으로, 산화물 막의 성장 속도의 증가를 산출할 것인 반면, 아르곤 가스의 유동의 감소는 전형적으로, 산화물 막의 성장 속도의 감소를 산출할 것이다.
본 개시내용 또는 예시적인 양상들 또는 그의 구현(들)의 요소들을 도입할 때, 단수 형태 및 "상기"는 요소들 중 하나 이상이 존재한다는 것을 의미하도록 의도된다.
"포함" 및 "갖는"이라는 용어들은 포괄적인 것으로 의도되고, 나열된 요소들 이외의 추가적인 요소들이 존재할 수 있다는 것을 의미한다.
전술한 내용은 본 개시내용의 구현들에 관한 것이지만, 본 개시내용의 다른 및 추가적인 구현들은 그의 기본 범위로부터 벗어나지 않고 안출될 수 있으며, 그의 범위는 이하의 청구항들에 의해 결정된다.

Claims (20)

  1. 산화를 위한 방법으로서,
    수소 가스를 처리 챔버의 처리 영역 내로 제1 유량으로 유동시키는 단계 ― 상기 처리 영역은 상기 처리 영역에 위치된 기판을 가짐 ―;
    산소 가스를 전구체 활성화기 내로 제2 유량으로 유동시키는 단계;
    아르곤 가스를 상기 전구체 활성화기 내로 제3 유량으로 유동시키는 단계;
    상기 수소 가스를 상기 전구체 활성화기 내로 제4 유량으로 유동시키는 단계;
    상기 전구체 활성화기에서 상기 산소 가스 및 상기 아르곤 가스로부터 플라즈마를 생성하는 단계;
    활성화된 처리 가스를 생성하기 위해 상기 플라즈마가 상기 수소 가스와 혼합되는 상기 처리 영역 내로 상기 플라즈마를 유동시키는 단계; 및
    상기 기판 상에 산화물 막을 형성하기 위해 상기 기판을 상기 활성화된 처리 가스에 노출시키는 단계 ― 상기 산화물 막의 성장 속도는 상기 제3 유량을 조절함으로써 제어됨 ― 를 포함하는, 산화를 위한 방법.
  2. 제1항에 있어서,
    상기 산화물 막의 성장 속도를 증가시키기 위해 상기 제3 유량을 증가시키는 단계를 더 포함하는, 산화를 위한 방법.
  3. 제1항에 있어서,
    상기 산화물 막의 성장 속도를 감소시키기 위해 상기 제3 유량을 감소시키는 단계를 더 포함하는, 산화를 위한 방법.
  4. 제1항에 있어서,
    상기 제2 유량 대 상기 제3 유량(O2:Ar)의 부피 비율은 약 1:1 내지 약 5:1인, 산화를 위한 방법.
  5. 제1항에 있어서,
    상기 플라즈마를 상기 처리 영역 내로 유동시키기 전에 상기 산소 가스 및/또는 상기 아르곤 가스를 상기 처리 영역 내로 유동시키는 단계를 더 포함하는, 산화를 위한 방법.
  6. 제5항에 있어서,
    상기 기판은 섭씨 500 도 내지 섭씨 1100 도의 온도로 유지되는, 산화를 위한 방법.
  7. 제6항에 있어서,
    상기 처리 영역은 약 0.5 Torr 내지 약 5 Torr의 압력으로 유지되는, 산화를 위한 방법.
  8. 제5항에 있어서,
    상기 아르곤 가스 및 상기 산소 가스의 전체 양에 기초한, 상기 전구체 활성화기에서의 상기 아르곤 가스의 부피 농도는 20 퍼센트 내지 50 퍼센트인, 산화를 위한 방법.
  9. 삭제
  10. 제1항에 있어서,
    상기 기판은 노출된 질화규소 표면, 노출된 폴리실리콘 표면, 노출된 알루미나 표면, 및 노출된 산화규소 표면 중 적어도 하나를 포함하는, 산화를 위한 방법.
  11. 산화를 위한 방법으로서,
    기판을 처리 챔버의 처리 영역에 위치시키는 단계;
    수소 가스를 전구체 활성화기 내로 제1 유량으로 유동시키는 단계 ― 상기 전구체 활성화기는 상기 처리 영역과 유체적으로 결합됨 ―;
    산소 가스를 상기 전구체 활성화기 내로 제2 유량으로 유동시키는 단계;
    아르곤 가스를 상기 전구체 활성화기 내로 제3 유량으로 유동시키는 단계;
    상기 수소 가스를 상기 처리 영역내로 제4 유량으로 유동시키는 단계;
    상기 전구체 활성화기에서 상기 수소 가스, 상기 산소 가스 및 상기 아르곤 가스로부터 플라즈마를 생성하는 단계;
    상기 처리 영역 내로 상기 플라즈마를 유동시키는 단계; 및
    상기 기판 상에 산화물 막을 형성하기 위해 상기 기판을 상기 플라즈마에 노출시키는 단계 ― 상기 산화물 막의 성장 속도는 상기 제3 유량을 조절함으로써 제어됨 ― 를 포함하는, 산화를 위한 방법.
  12. 제11항에 있어서,
    상기 산화물 막의 성장 속도를 증가시키기 위해 상기 제3 유량을 증가시키는 단계를 더 포함하는, 산화를 위한 방법.
  13. 제11항에 있어서,
    상기 산화물 막의 성장 속도를 감소시키기 위해 상기 제3 유량을 감소시키는 단계를 더 포함하는, 산화를 위한 방법.
  14. 제11항에 있어서,
    상기 제2 유량 대 상기 제3 유량(O2:Ar)의 부피 비율은 약 1:1 내지 약 5:1인, 산화를 위한 방법.
  15. 제11항에 있어서,
    상기 플라즈마를 생성하기 전에 상기 수소 가스를 상기 전구체 활성화기를 통해 상기 처리 영역 내로 유동시키는 단계를 더 포함하는, 산화를 위한 방법.
  16. 산화를 위한 방법으로서,
    기판을 처리 챔버의 처리 영역에 위치시키는 단계;
    수소 가스를 전구체 활성화기 내로 제1 유량으로 유동시키는 단계 ― 상기 전구체 활성화기는 상기 처리 영역과 유체적으로 결합됨 ―;
    산소 가스를 상기 전구체 활성화기 내로 그리고 상기 처리 영역 내로 제2 유량으로 유동시키는 단계;
    아르곤 가스를 상기 전구체 활성화기 내로 그리고 상기 처리 영역 내로 제3 유량으로 유동시키는 단계;
    상기 수소 가스를 상기 처리 영역내로 제4 유량으로 유동시키는 단계;
    상기 전구체 활성화기에서 상기 수소 가스, 상기 산소 가스 및 상기 아르곤 가스로부터 플라즈마를 생성하는 단계;
    상기 처리 영역 내로 상기 플라즈마를 유동시키는 단계; 및
    상기 기판 상에 산화물 막을 형성하기 위해 상기 기판을 상기 플라즈마에 노출시키는 단계 ― 상기 산화물 막의 성장 속도는 상기 제3 유량을 조절함으로써 제어됨 ― 를 포함하는, 산화를 위한 방법.
  17. 제16항에 있어서,
    상기 기판은 섭씨 500 도 내지 섭씨 1100 도의 온도로 유지되는, 산화를 위한 방법.
  18. 제17항에 있어서,
    상기 처리 영역은 약 0.5 Torr 내지 약 5 Torr의 압력으로 유지되는, 산화를 위한 방법.
  19. 제18항에 있어서,
    상기 아르곤 가스 및 상기 산소 가스의 전체 양에 기초한, 상기 전구체 활성화기에서의 상기 아르곤 가스의 부피 농도는 20 퍼센트 내지 80 퍼센트인, 산화를 위한 방법.
  20. 제19항에 있어서,
    상기 기판은 노출된 질화규소 표면, 노출된 폴리실리콘 표면, 노출된 알루미나 표면, 및 노출된 산화규소 표면 중 적어도 하나를 포함하는, 산화를 위한 방법.
KR1020207023240A 2018-01-15 2018-12-20 원격 플라즈마 산화에 대한 아르곤 추가 KR102455355B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227035445A KR20220143158A (ko) 2018-01-15 2018-12-20 원격 플라즈마 산화에 대한 아르곤 추가

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862617387P 2018-01-15 2018-01-15
US62/617,387 2018-01-15
PCT/US2018/066650 WO2019139761A1 (en) 2018-01-15 2018-12-20 Argon addition to remote plasma oxidation

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227035445A Division KR20220143158A (ko) 2018-01-15 2018-12-20 원격 플라즈마 산화에 대한 아르곤 추가

Publications (2)

Publication Number Publication Date
KR20200100851A KR20200100851A (ko) 2020-08-26
KR102455355B1 true KR102455355B1 (ko) 2022-10-18

Family

ID=67212623

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020207023240A KR102455355B1 (ko) 2018-01-15 2018-12-20 원격 플라즈마 산화에 대한 아르곤 추가
KR1020227035445A KR20220143158A (ko) 2018-01-15 2018-12-20 원격 플라즈마 산화에 대한 아르곤 추가
KR1020237039927A KR20230163578A (ko) 2018-01-15 2018-12-20 원격 플라즈마 산화에 대한 아르곤 추가

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020227035445A KR20220143158A (ko) 2018-01-15 2018-12-20 원격 플라즈마 산화에 대한 아르곤 추가
KR1020237039927A KR20230163578A (ko) 2018-01-15 2018-12-20 원격 플라즈마 산화에 대한 아르곤 추가

Country Status (6)

Country Link
US (2) US10636650B2 (ko)
JP (2) JP7111819B2 (ko)
KR (3) KR102455355B1 (ko)
CN (2) CN117637438A (ko)
TW (2) TWI698930B (ko)
WO (1) WO2019139761A1 (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102455355B1 (ko) * 2018-01-15 2022-10-18 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 산화에 대한 아르곤 추가
JP7170890B2 (ja) * 2019-09-12 2022-11-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、プログラム、及び基板処理装置
CN110620078B (zh) * 2019-09-16 2022-07-08 长江存储科技有限责任公司 一种沟道孔内的阻挡氧化层生成方法
CN112838093A (zh) * 2021-01-04 2021-05-25 长江存储科技有限责任公司 半导体器件的制造方法
US20220262600A1 (en) * 2021-02-12 2022-08-18 Applied Materials, Inc. Fast gas exchange apparatus, system, and method

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005294551A (ja) * 2004-03-31 2005-10-20 Toshiba Corp シリコン系被処理物の酸化処理方法、酸化処理装置および半導体装置の製造方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6743727B2 (en) * 2001-06-05 2004-06-01 International Business Machines Corporation Method of etching high aspect ratio openings
JP2004087960A (ja) * 2002-08-28 2004-03-18 Fujitsu Ltd 半導体装置の製造方法
US7501352B2 (en) 2005-03-30 2009-03-10 Tokyo Electron, Ltd. Method and system for forming an oxynitride layer
JP5078617B2 (ja) 2005-09-22 2012-11-21 東京エレクトロン株式会社 選択的プラズマ処理方法およびプラズマ処理装置
EP2259294B1 (en) * 2006-04-28 2017-10-18 Semiconductor Energy Laboratory Co, Ltd. Semiconductor device and manufacturing method thereof
JPWO2008026531A1 (ja) 2006-08-28 2010-01-21 国立大学法人名古屋大学 プラズマ酸化処理方法
US8236706B2 (en) 2008-12-12 2012-08-07 Mattson Technology, Inc. Method and apparatus for growing thin oxide films on silicon while minimizing impact on existing structures
JP2010232240A (ja) 2009-03-26 2010-10-14 Hitachi Kokusai Electric Inc 半導体装置の製造方法、及び半導体製造装置
US9431237B2 (en) * 2009-04-20 2016-08-30 Applied Materials, Inc. Post treatment methods for oxide layers on semiconductor devices
US8492292B2 (en) 2009-06-29 2013-07-23 Applied Materials, Inc. Methods of forming oxide layers on substrates
WO2011097178A2 (en) 2010-02-02 2011-08-11 Applied Materials, Inc. Methods for nitridation and oxidation
US9685320B2 (en) * 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8741785B2 (en) 2011-10-27 2014-06-03 Applied Materials, Inc. Remote plasma radical treatment of silicon oxide
US20140034632A1 (en) 2012-08-01 2014-02-06 Heng Pan Apparatus and method for selective oxidation at lower temperature using remote plasma source
SG2013083241A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9728401B2 (en) 2013-03-15 2017-08-08 Applied Materials, Inc. Methods for conformal treatment of dielectric films with low thermal budget
TWI642809B (zh) * 2013-09-09 2018-12-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
US9362111B2 (en) * 2014-02-18 2016-06-07 Applied Materials, Inc. Hermetic CVD-cap with improved step coverage in high aspect ratio structures
US10196728B2 (en) * 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
TWI656575B (zh) 2014-09-03 2019-04-11 美商應用材料股份有限公司 用於三維nand硬遮罩應用的奈米結晶鑽石碳膜
US10246772B2 (en) 2015-04-01 2019-04-02 Applied Materials, Inc. Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9922840B2 (en) 2015-07-07 2018-03-20 Applied Materials, Inc. Adjustable remote dissociation
WO2017106089A1 (en) 2015-12-18 2017-06-22 Applied Materials, Inc. Cleaning method
US10224235B2 (en) 2016-02-05 2019-03-05 Lam Research Corporation Systems and methods for creating airgap seals using atomic layer deposition and high density plasma chemical vapor deposition
US10157736B2 (en) * 2016-05-06 2018-12-18 Lam Research Corporation Methods of encapsulation
WO2017210141A1 (en) 2016-05-29 2017-12-07 Tokyo Electron Limited Method of sidewall image transfer
WO2018052476A1 (en) 2016-09-14 2018-03-22 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
KR102455355B1 (ko) * 2018-01-15 2022-10-18 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 산화에 대한 아르곤 추가

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005294551A (ja) * 2004-03-31 2005-10-20 Toshiba Corp シリコン系被処理物の酸化処理方法、酸化処理装置および半導体装置の製造方法

Also Published As

Publication number Publication date
US20190221427A1 (en) 2019-07-18
US20200251331A1 (en) 2020-08-06
CN111566780B (zh) 2023-12-01
CN117637438A (zh) 2024-03-01
WO2019139761A1 (en) 2019-07-18
US10636650B2 (en) 2020-04-28
JP7474805B2 (ja) 2024-04-25
KR20220143158A (ko) 2022-10-24
TWI756705B (zh) 2022-03-01
TW202117846A (zh) 2021-05-01
CN111566780A (zh) 2020-08-21
TWI698930B (zh) 2020-07-11
JP2021510932A (ja) 2021-04-30
JP7111819B2 (ja) 2022-08-02
KR20230163578A (ko) 2023-11-30
KR20200100851A (ko) 2020-08-26
US11081340B2 (en) 2021-08-03
JP2022163040A (ja) 2022-10-25
TW201939611A (zh) 2019-10-01

Similar Documents

Publication Publication Date Title
KR102455355B1 (ko) 원격 플라즈마 산화에 대한 아르곤 추가
US11735414B2 (en) Method of post-deposition treatment for silicon oxide film
US7645709B2 (en) Methods for low temperature oxidation of a semiconductor device
US7947561B2 (en) Methods for oxidation of a semiconductor device
WO2014168096A1 (ja) 回転型セミバッチald装置およびプロセス
KR101893471B1 (ko) 멀티존 플라즈마 생성을 위한 방법 및 장치
JP2016532313A (ja) 周期的エッチング工程を用いたエッチング停止層のエッチング方法
JP6141356B2 (ja) 半導体デバイス上に共形酸化物層を形成するための方法
JP7176106B2 (ja) 誘電体材料の堆積方法
JP2007088199A (ja) 処理装置
US11569245B2 (en) Growth of thin oxide layer with amorphous silicon and oxidation
TWI830918B (zh) 形成電子裝置結構之方法、用於施行其之系統及根據其所形成之結構
TWI442474B (zh) 用於在半導體裝置上形成共形氧化層的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant