JP2022163040A - 遠隔プラズマによる酸化へのアルゴン添加 - Google Patents

遠隔プラズマによる酸化へのアルゴン添加 Download PDF

Info

Publication number
JP2022163040A
JP2022163040A JP2022114577A JP2022114577A JP2022163040A JP 2022163040 A JP2022163040 A JP 2022163040A JP 2022114577 A JP2022114577 A JP 2022114577A JP 2022114577 A JP2022114577 A JP 2022114577A JP 2022163040 A JP2022163040 A JP 2022163040A
Authority
JP
Japan
Prior art keywords
gas
flow rate
plasma
substrate
argon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2022114577A
Other languages
English (en)
Other versions
JP7474805B2 (ja
Inventor
ヘンゼル ロー,
Lo Hansel
クリストファー エス. オルセン,
S Olsen Christopher
エリック キハラ ショウノ,
Kihara Shono Eric
ヨハネス エス. スウェンバーグ,
S Swenberg Johanes
エリカ ハンセン,
Hansen Erika
テワン キム,
Taewan Kim
ララ ハウリルチャック,
Hawrylchak Lara
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022163040A publication Critical patent/JP2022163040A/ja
Application granted granted Critical
Publication of JP7474805B2 publication Critical patent/JP7474805B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Abstract

【課題】構造のコンフォーマルなラジカル酸化のための方法を提供する。【解決手段】方法は、基板が配置されている処理チャンバに第1の流量で水素を流し、前駆体活性化装置に第2の流量で酸素を、次いで、第3の流量でアルゴンを流し、酸素及びアルゴンから前駆体活性化装置内でプラズマを生成し、プラズマを処理チャンバに流す。プラズマは、水素ガスと混合して、活性化処理ガスを生成する。方法はさらに、基板を活性化ガスに曝露して、基板に酸化膜を形成する。酸化膜の成長速度は、第3の流量を調整することにより制御する。【選択図】図2

Description

本開示の実施形態は、概して、半導体素子の作製に関し、特に、高アスペクト比構造といった構造のコンフォーマルなラジカル酸化のための方法に関する。
シリコン集積回路の生産は、チップ上の最小フューチャ(特徴)サイズを低減しながら素子の数を増やすために、作製プロセスに困難な要請を出してきた。上記要請は、異なる材料の層を難しいトポロジーで堆積させ、これらの層内に更なるフューチャをエッチングすることを含む作製プロセスにまで拡大している。次世代NANDフラッシュメモリの製造プロセスには、特に、難易度の高い素子の形状寸法及びスケールが含まれる。NANDは、データを保持するために電力を要しない一種の不揮発性ストレージ技術である。同じ物理空間内でのメモリ容量を増やすために、3次元NAND(3D NAND)設計が開発されている。このような設計によって、典型的に、基板に交互に積層された酸化物層及び窒化物層が導入される。次いで、交互になった酸化物層及び窒化物層にエッチングが施されて、基板に対して実質的に垂直に延在する1つ以上の表面を有する構造が生成する。このような設計の検討によって、例えば10:1のアスペクト比のようなアスペクト比が比較的低い構造の酸化から、例えば40:1より高いアスペクト比のような、高アスペクト比(HAR:high aspect ratio)構造へとフィールドが移ってきた。以前の作製プロセスには、HAR構造におけるギャップ及びトレンチを埋める方法が含まれていた。
3D NANDフラッシュ構造はしばしば、HAR構造においてコンフォーマルに酸化すべき窒化ケイ素(Si)層、例えばSiでコーティングされる。3D NANDフラッシュ構造は、高アスペクト比又は超高アスペクト比を有し、例えば、40:1のアスペクト比、40:1のアスペクト比と100:1のアスペクト比との間、100:1のアスペクト比、又は、100:1のアスペクト比さえ上回るアスペクト比を有しうる。新しい作製プロセスでは、単にギャップとトレンチを埋めるのではなく、HAR構造の表面へのコンフォーマルな層の堆積が期待されている。例えば、HAR構造の表面にコンフォーマルに形成することには、堆積速度がより遅くなることが伴いうる。「コンフォーマルに(conformally)」という用語は、概して、構造の表面上の層の厚さが均一及び/又は一定であることを指している。HAR構造との関連において、「コンフォーマルに(conformally)」という用語は、基板に対して実質的に垂直な構造表面上の酸化物の厚さが論じられるときに最も関連している。よりコンフォーマルな堆積によって、構造の頂部での材料の蓄積を低減することが可能である。そのような材料の蓄積によって、結果的に、隣接する構造間のトレンチの頂部が時期尚早にシールされて、トレンチ内にボイドが形成されることになりうる。残念ながら、堆積速度を遅らせることは、堆積時間を増大させることも意味しており、これにより、処理効率及び生産速度が低下する。
従って、高アスペクト比構造のコンフォーマルな酸化のための改良されたプロセスが必要とされている。
本開示の実施形態は、概して、半導体素子の作製に関し、特に、高アスペクト比構造といった構造のコンフォーマルなラジカル酸化のための方法に関する。一実施形態において、酸化のための方法が提供される。本方法は、処理チャンバの処理領域に第1の流量で水素ガスを流すことを含み、処理領域の中には基板が配置されている。本方法は、前駆体活性化装置に第2の流量で酸素ガスを流すことをさらに含む。本方法は、前駆体活性化装置に第3の流量でアルゴンガスを流すことをさらに含む。本方法は、酸素ガス及びアルゴンガスから前駆体活性化装置内でプラズマを生成することをさらに含む。本方法は、プラズマを処理領域に流すことをさらに含み、プラズマは水素ガスと混合して、活性化処理ガスを生成する。本方法は、基板を活性化ガスに曝露して基板に酸化膜を形成することをさらに含み、酸化膜の成長速度は、第3の流量を調整することにより制御される。
他の実施形態において、酸化のための方法が提供される。本方法は、処理チャンバの処理領域内に基板を配置することを含む。本方法は、前駆体活性化装置に第1の流量で水素ガスを流すことをさらに含み、前駆体活性化装置は、処理領域と流体的に結合される。本方法は、前駆体活性化装置に第2の流量で酸素ガスを流すことをさらに含む。本方法は、前駆体活性化装置に第3の流量でアルゴンガスを流すことをさらに含む。本方法は、水素ガス、酸素ガス、及びアルゴンガスから前駆体活性化装置内でプラズマを生成することをさらに含む。本方法は、処理領域にプラズマを流すことをさらに含む。本方法は、基板をプラズマに曝露して基板に酸化膜を形成することをさらに含み、酸化膜の成長速度は、第3の流量を調整することにより制御される。
更に別の実施形態において、酸化のための方法が提供される。本方法は、処理チャンバの処理領域内に基板を配置することを含む。本方法は、前駆体活性化装置に第1の流量で水素ガスを流すことをさらに含み、前駆体活性化装置は、処理領域と流体的に結合される。本方法は、前駆体活性化装置及び処理領域に、第2の流量で酸素ガスを流すことをさらに含む。本方法は、前駆体活性化装置及び処理領域に、第3の流量でアルゴンガスを流すことをさらに含む。本方法は、水素ガス、酸素ガス、及びアルゴンガスから前駆体活性化装置内でプラズマを生成することをさらに含む。本方法は、処理領域にプラズマを流すことをさらに含む。本方法は、基板をプラズマに曝露して基板に酸化膜を形成することをさらに含み、酸化膜の成長速度は、第3の流量を調整することにより制御される。
更に別の実施形態において、酸化のための方法が提供される。本方法は、処理チャンバの処理領域に第1の流量で水素ガスを流すことを含み、処理領域の中には基板が配置されている。本方法は、前駆体活性化装置に第2の流量で水素ガスを流すことをさらに含み、前駆体活性化装置は、処理領域と流体的に結合される。本方法は、前駆体活性化装置及び処理領域に、第3の流量で酸素ガスを流すことをさらに含む。本方法は、前駆体活性化装置及び処理領域に、第4の流量でアルゴンガスを流すことをさらに含む。本方法は、水素ガス、酸素ガス、及びアルゴンガスから前駆体活性化装置内でプラズマを生成することをさらに含む。本方法は、プラズマを処理領域に流すことをさらに含み、プラズマは水素ガスと混合して、活性化処理ガスを生成する。本方法は、処理領域にプラズマを流すことをさらに含む。
本開示の上記の特徴を詳しく理解し得るように、上記で簡単に要約されている本実施形態のより詳細な説明が、実施形態を参照することによって得られうる。一部の実施形態は、添付の図面に示されている。しかしながら、本開示は他の等しく有効な実施形態も許容しうるため、添付の図面は、本開示の典型的な実施形態のみを示しており、従って、本開示の範囲を限定すると見なすべきではないことに留意されたい。
本開示の1つ以上の実施形態に係る、遠隔プラズマシステムの断面図である。 本開示の1つ以上の実施形態に係る選択的酸化方法の処理フロー図である。 本開示の1つ以上の実施形態に従って処理されうる高アスペクト比フィーチャを有する膜構造の断面図を示す。 本開示の1つ以上の実施形態に従って形成されたコンフォーマルな酸化膜を有する図3Aの膜構造の断面図を示す。 本明細書に記載される実施形態に従って形成された酸化膜の成長速度及び中心から端部への均一性を示すグラフである。 水素ガスの割合及びアルゴンの有無に基づいた酸化物のコンフォマリティを示すグラフである。 アルゴンガスの割合に対する水素ガスの割合に基づいた酸化物の品質を示すグラフである。
理解が容易になるよう、可能な場合には、各図に共通する同一の要素を示すために同一の参照番号を使用した。一実施形態の要素及び特徴は、さらなる記述がなくとも、他の実施形態に有益に組み込まれ得ると想定される。
以下の開示では、シリコン膜を酸化するための方法を記載する。本開示の様々な実施形態を完全に理解させるため、特定の詳細が以下の明細書の記載及び図1~6で提示される。様々な実施形態の説明を不必要に不明確にすることを避けるため、遠隔プラズマによる酸化に関連していることが多い公知の構造及びシステムを説明する他の詳細については、以下の開示では記載しない。
図面に示す詳細、寸法、角度、及び他の特徴の多くは、具体的な実施形態の単なる例示に過ぎない。従って、他の実施形態が、本開示の思想及び範囲から逸脱することなく、他の詳細、構成要素、寸法、角度、及び特徴を有することが可能である。加えて、以下に記載の詳細のうちの幾つかがなくとも、本開示のさらなる実施形態を実施することが可能である。
本明細書に記載される実施形態は、以下では、遠隔プラズマ酸化プロセスに関して説明される。本明細書に記載された装置の説明は例示的なものであり、本明細書に記載された実装形態の範囲を制限するものとして理解又は解釈するべきではない。遠隔プラズマによる酸化を実施することが可能な他のツールも、本明細書に記載される実装形態から利点を得るよう適合されうる。
HAR構造のアスペクト比が上がり続けるにつれて、このHAR構造においてコンフォーマルな膜を成長させることがますます困難になっている。例えば、現在利用可能な遠隔プラズマ酸化プロセスによってHAR構造において形成される酸化膜は、HAR構造のコンフォマリティ(共形性、conformality)が不十分であると共に、パターンローディングが高い(例えば、裸シリコンウエハと比べた、パターニングされたウエハでの不均一性)。発明者らは、遠隔プラズマ酸化を何回か実施する間にアルゴンを添加すると、パターンローティングを改善しながら、コンフォーマルな酸化物成長を改善できることを見出した。理論に束縛されるものではないが、アルゴンガスの添加が酸素ラジカルの再結合を低減し、これにより、プラズマ酸化プロセスに利用可能な酸素ラジカルの濃度が上がると考えられる。従って、アルゴンガスを、酸化膜の成長速度を制御するために利用することが可能である。例えば、アルゴンガスの流量の増加によって、典型的に、酸化膜の成長速度の上昇がもたらされる一方、アルゴンガスの流量の減少によって、典型的に、酸化膜の成長速度の低下がもたらされる。さらに、アルゴンはイオン化エネルギーがより小さく、従ってプラズマ形成がより容易になるため、アルゴンの添加によってストライク信頼性が改善される。
本明細書に記載の方法は、プラズマ源、例えば、遠隔プラズマ源(RPS:remote plasma source)と、高アスペクト比(HAR)構造、例えば、トレンチキャパシタ誘電体、ゲート誘電体、及び、3D NANDフラッシュ構造において原子酸素ラジカル(O)の成長(例えば、コンフォーマルなラジカル酸化)を行うように設計された処理チャンバと、を使用して実行されうる。幾つかの実施形態において、プラズマ源は、アルゴンと、酸素と、任意選択で水素とのガス混合物を利用して、窒化ケイ素(Si)材料、例えばSiといったケイ素含有材料のラジカル酸化を開始する。幾つかの実施形態において、アルゴンと、酸素と、任意選択で水素とのガス混合物では、アルゴンの濃度が、約5%~約80%の範囲内、例えば約10%~約50%の範囲内にある。幾つかの実装形態において、プラズマが、シリカ(S)を形成する中間体として、例えばSiOのような酸窒化ケイ素(SiO)を形成するための反応を開始する。
幾つかの実施形態において、高温処理(例えば、摂氏500~1100度)の間に、前駆体活性化装置内のアルゴン、水素、及び酸素と、幾つかの場合に活性化装置の後での水素注入と、を組み合わせることによって、アスペクト比が非常に高い構造(例えば、40:1のアスペクト比、40:1のアスペクト比と100:1のアスペクト比との間、100:1のアスペクト比、又は、100:1のアスペクト比すら上回るアスペクト比)で、膜(例えば、アモルファスシリコン、ポリシリコン、又は窒化ケイ素)の高度にコンフォーマルな酸化物成長がもたらされる。
幾つかの実施形態において、高温処理(例えば、摂氏500~1100度)の間に、前駆体活性化装置内のアルゴン、水素、及び酸素と、幾つかの場合に活性化装置の後での水素注入と、を組み合わせることによって、改善された酸化物品質がもたらされ、及び/又は品質劣化が防止される。
図1は、本明細書で記載する方法を実行するために使用しうる基板処理システム100を示している。他の堆積チャンバも本開示の利点を得ることが可能であり、本明細書で開示するパラメータは、本明細書に記載のHAR構造を形成するために使用される特定の堆積チャンバに応じて変動しうる。例えば、他の堆積チャンバは、Applied Materials,Inc.から入手可能な堆積チャンバについて記載されるガス流量よりも大きく又は小さな流量を必要とするより大きく又はより小さな容積を有しうる。
基板処理システム100は、熱処理チャンバ102と、熱処理チャンバ102に接続しており熱処理チャンバ102の処理領域113へとプラズマのラジカルを遠隔で供給するために使用される前駆体活性化装置180と、を備える。前駆体活性化装置180は、例えば、ガスを著しくイオン化しないエネルギーをガスに加えることによって、プラズマではない活性化ガス混合物を供給するために使用することも可能である。熱処理チャンバ102は、1つ以上の側壁114(例えば、4つの側壁)及び基部115によって囲まれた処理領域113を有する。側壁114の上部は、(例えば、「O字状」リングを使用して)窓アセンブリ117にシールされうる。放射エネルギーアセンブリ118が、窓アセンブリ117の上方に配置され、当該窓アセンブリ117に結合されている。放射エネルギーアセンブリ118は複数のランプ119を有し、当該ランプ119はタングステンハロゲンランプであってよく、それぞれがレセプタクル121に取り付けられ、処理領域113内へと電磁放射を放出するよう配置されている。図1の窓アセンブリ117は、複数の光パイプ141を有するが、窓アセンブリ117は、光パイプのない平らで中実の窓を有するだけでもよい。窓アセンブリ117は、外壁116(例えば、円筒状の外壁)を有し、この外壁116は、窓アセンブリ117を、当該窓アセンブリ117の周辺の周りで囲むリムを形成する。窓アセンブリ117はまた、複数のライトパイプ141の第1の末端を覆う第1の窓120と、第1の末端とは反対側にある、複数のライトパイプ141の第2の末端を覆う第2の窓122と、を有する。第1の窓120及び第2の窓122は、窓アセンブリ117の外壁116まで延在し当該外壁116に係合して、複数のライトパイプ141を含む窓アセンブリ117の内部を囲んでシールする。このような場合に、ライトパイプが使用されたときには、外壁116を貫通する導管153を通して、複数のライトパイプ141のうちの1つを真空適用することによって、複数のライトパイプ141の中に真空を作ることが可能であり、上記複数のライトパイプ141のうちの1つは、それ自体は残りのパイプに流体的に接続されている。
基板101は、熱処理チャンバ102において、処理領域113内で支持リング162によって支持されている。支持リング162は、回転可能なシリンダ163上に取り付けられている。回転可能なシリンダ163を回転させることによって、支持リング162及び基板101が、処理の間回転させられる。熱処理チャンバ102の基部115は、処理中に基板101の裏面へとエネルギーを反射するための反射面111を有する。代替的に、別個の反射器(図示せず)が、熱処理チャンバ102の基部115と支持リング162との間に配置されうる。熱処理チャンバ102は、基板101の温度を検出するために、熱処理チャンバ102の基部115を貫通して配置された複数の温度プローブ171を含みうる。上述のように、別個の反射器が使用される場合には、温度プローブ171もまた、基板101から来る電磁放射への光学的アクセスのための別個の反射器を貫通して配置されている。
回転可能なシリンダ163は、磁気ロータ164によって支持されており、この磁気ロータ164は、棚部165を有する円筒状部材であり、両方の部材が熱処理チャンバ102内に設置されたときには、回転可能なシリンダ163が棚部165に載置される。磁気ロータ164は、棚165の下方の磁石領域166内に複数の磁石を有する。磁気ロータ164は、基部115に沿った熱処理チャンバ102の外周領域に位置する環状縦孔160内に配置されている。カバー173が、基部115の外周部に載置され、環状縦孔160の上方を、回転可能なシリンダ163及び支持リング162に向かって延在しており、カバー173と、回転可能なシリンダ163及び/又は支持リング162と、の間には公差ギャップが残されている。カバー173は、概して、処理領域113内の処理条件への曝露から、磁気ロータ164を保護する。
磁気ロータ164は、基部115の周囲に配置された磁気ステータ167からの磁気エネルギーによって回転させられる。磁気ステータ167は、複数の電磁石168を有し、この複数の電磁石168は、基板101の処理中に、回転パターンに従って給電され、磁気ロータ164を回転させるための磁気エネルギーを供給する回転磁界を形成する。磁気ステータ167は、支持体170によって、この場合はネジ回しである線形アクチュエータ169に結合されている。線形アクチュエータ169を操作することによって、磁気ステータ167が熱処理チャンバ102の軸172に沿って移動し、これによって今度は、磁気ロータ164、回転可能シリンダ163、支持リング162、及び基板101が軸172に沿って移動する。
処理ガスが、チャンバ入口175を通じて熱処理チャンバ102に供給され、紙面の外側に向けられ概してチャンバ入口175及び支持リング162と同じ平面に沿って配向されたチャンバ出口を通って排出される(図1には図示せず)。基板は、図1では後方に示される側壁114に形成されたアクセスポート174を通じて、熱処理チャンバ102を出入りする。基板搬送プロセスについては、本明細書では説明しない。
前駆体活性化装置180は、イオン、ラジカル、及び電子のプラズマ183が形成されうる内部空間184を取り囲む本体182を有する。石英又はサファイアで作られたライナ185が、プラズマによる化学的攻撃から本体182を保護する。内部空間184には、好ましくは、荷電粒子(例えば、イオン)を誘引しうる電位勾配が存在しない。ガス入口186が、本体182の第1の端部187にあり、本体182の第2の端部189に位置するガス出口188とは反対側に配置されている。前駆体活性化装置180が熱処理チャンバ102に結合されたときには、ガス出口188が、チャンバ入口175への送出線190を通じて、熱処理チャンバ102と流体連結し、これにより、内部空間184内で生成されたプラズマ183のラジカルが、熱処理チャンバ102の処理領域113に供給される。ガス出口188は、ガス入口186よりも大きな直径を有することが可能であり、励起されたラジカルが目標流量で効率良く放出されることが可能となり、ラジカルとライナ185との間の接触が最小限に抑えられる。目標とされる場合には、別個のオリフィスを、ガス出口188のライナ185の範囲内に挿入ことが可能であり、ガス出口188での内部空間184の内側寸法が縮小される。ガス出口188(又は使用される場合にはオリフィス)の直径は、処理領域113と前駆体活性化装置180との間の圧力差を提供するよう選択することが可能である。圧力差は、熱処理チャンバ102に流入するイオン、ラジカル、及び分子の組成が、熱処理チャンバ102内で実行されるプロセスに適したものとなるように選択されうる。
プラズマ処理のためのガスを供給するために、第1のガス源192が、四方弁194の第1の入力と、第1のガス源192から放出されるガスの流量を制御するために使用される弁197と、を介して、ガス入口186に結合されている。四方弁194の第2の入力が、第2のガス源198に接続されうる。四方弁の第3の入力が、第3のガス源199に接続されうる。第1のガス源192、第2のガス源198、及び第3のガス源199のそれぞれは、窒素含有ガス、酸素含有ガス、シリコン含有ガス、水素含有ガス、又はアルゴン又はヘリウムといったプラズマ形成ガスのうちの1つ以上であってよく、又は、これらを含みうる。流量コントローラ196が四方弁194に接続されており、どのプロセスが実行されるかに応じて、弁をその異なるポジションの間で切り替える。流量コントローラ196は、四方弁194の切り換えも制御する。
前駆体活性化装置180は、マイクロ波又はRF周波数を有するエネルギーといった励起エネルギーを当該前駆体活性化装置180に供給し、かつ第1のガス源192からプラズマ183へと移動する処理ガスを活性化するためのエネルギー源(図示せず)に結合されうる。窒素含有ガス、例えばNが使用される場合には、前駆体活性化装置180内でのプラズマ活性化によって、Nラジカル、N及びN といった正に帯電したイオン、及び電子が内部空間184内で生成される。前駆体活性化装置180を熱処理チャンバ102の処理領域113から離して配置することによって、イオンへの基板の曝露が最小に抑えられる。イオンは、半導体基板上の感度の高い構造に損傷を与える可能性がある一方、ラジカルは反応性であり、有益な化学反応を行うために使用することが可能である。前駆体活性化装置180といった活性化ガス源の使用によって、ラジカルへの基板101の曝露が促進され、イオンへの基板101の曝露が最小に抑えられる。
幾つかの実施形態において、第2の水素ガス源(図示せず)が、熱処理チャンバ102に流体結合される。第2の水素ガス源は、処理領域113に水素ガスを供給し、ここで、水素ガスは、前駆体活性化装置180から処理領域113へと伝達される、酸素及びアルゴンを含む遠隔プラズマによって活性化される。高いパーセンテージの水素ガスが目標とされる幾つかの実施形態において、水素ガスが、第3のガス源199及び第2の水素ガス源の両方を通じて処理領域113に供給されてよい。
幾つかの実装形態において、第2のアルゴンガス源(図示せず)が、熱処理チャンバ102と結合される。第2の水素ガス源は、処理領域113にアルゴンガスを供給し、ここで、アルゴンガスは、前駆体活性化装置180から処理領域113へと伝達される遠隔プラズマによって活性化される。高いパーセンテージのアルゴンガスが目標とされる幾つかの実施形態において、アルゴンガスが、第2のガス源198及び第2のアルゴンガス源の両方を通じて処理領域113に供給されてよい。
図2は、本開示の1つ以上の実施形態に係る酸化方法200の処理フロー図である。方法200は、例えば、アモルファスシリコン膜、ポリシリコン膜、窒化ケイ素膜、アルミナ膜、酸化ケイ素膜といった膜を酸化するために使用されうる。図3Aは、方法200に従って処理されうる高アスペクト比フィーチャを有する膜構造の断面図を示している。図3Bは、方法200に従って形成されたコンフォーマルな酸化物層を有する、図3Aの膜構造の断面図を示している。方法200を、以下では、3次元半導体素子のための膜スタックにおいて階段状構造を製造するために利用される、膜スタック上に形成されうる高アスペクト比構造体を参照しながら説明するが、方法200は、他の素子の製造用途において利点を得るためにも使用されうる。例えば、方法200は、DRAM(例えば、リセス型チャネルアレイトランジスタ(RCAT:recessed channel array transistor))について利点を得るためみも使用されうる。さらに、図2に示される工程は、同時に、及び/又は、図2に示される順序と異なる順序で実行されうることも理解されたい。さらに、方法200は、膜の選択的酸化及び非選択的酸化についての利点を得るために使用されうる。
方法200は、工程210において、図1に記載の熱処理チャンバ102といった処理チャンバ内に基板を配置することによって開始される。基板は、膜構造300が形成された基板302であってよく、又は、膜構造300の任意の部分集合であってよい。膜構造を有さない基板302(すなわち、基板302のみ)も、方法200に従って処理されうる。膜構造300には、高アスペクト比フィーチャ340が形成されている。ここで高アスペクト比フィーチャ340を画定する面は、基板302に対して実質的に垂直であるが、次第に先細りする面、角度が付いた面、傾斜面、又は曲面を有する他の種類のフィーチャが、方法200を用いて処理されうる。高アスペクト比フィーチャ340が、例えば、ガス伝達及び/又は反応物除去のために、HAR構造の面へのアクセスを提供することに留意されたい。アスペクト比が上がるにつれて、HAR構造の表面積及びフィーチャの深さも同様に増大する。アスペクト比が上がるにつれて、HAR構造の面のコンフォーマルなラジカル酸化が、特に高アスペクト比フィーチャ340の底部付近で、酸素ラジカルの枯渇によってますます妨げられる。この酸素ラジカルの枯渇によって、インキュベーション時間の増加、及びコンフォーマルな酸化膜の成長速度の対応する低下がもたらされる。本明細書に開示されるように、ラジカルプラズマ酸化プロセスへのアルゴン添加によって、高アスペクト比フィーチャ340の底部付近での酸素再結合が低減され、このことによって、コンフォーマルなラジカル酸化のための酸素ラジカルの利用可能性が向上し、コンフォーマルな酸化膜の成長速度が上がる。活性化されたアルゴンは、高アスペクト比フィーチャ340内の他の種との反応によって不活性化されうるが、活性化されたアルゴンは、高アスペクト比フィーチャ340の表面への付着によってさらに消費されることはない。その結果、活性化されたより多くのアルゴンが、高アスペクト比フィーチャ340の底部に浸透し、高アスペクト比フィーチャ340への移動中に不活性化された可能性がある気相酸素、及び水素といった他の種と反応して、これらを再活性化することが可能である。従って、活性化されたアルゴンは、高アスペクト比フィーチャ340内のガス混合物に化学ポテンシャルエネルギーを付加し、特に高アスペクト比フィーチャ340の底部において、全体的な反応性を増大させる。
図3では、1つの高アスペクト比フィーチャ340のみ示されているが、方法200は、膜構造300に形成された複数の高アスペクト比フィーチャを有する基板と共に利用されうると理解されたい。幾つかの実施形態では、膜構造300は、三次元NAND半導体用途のためのゲート構造、又は前駆体構造を含みうる。三次元NAND半導体用途の製造では、回路密度を上げるために、階段状の酸化物と窒化物の対による構造が、しばしば、高アスペクト比ゲートスタックNANDセルを形成するために利用される。
膜構造300が、基板302に形成されうる。膜構造300では、複数の材料層スタック306、306、306、306...306(総称306)が、基板302に順次形成される。複数の材料層スタック306の各材料層スタックは、第1の膜層308、308、308、308...308(総称308)、及び、その上に形成された第2の膜層310、310、310、310...310(総称310)を含むことが可能であり、これにより、膜構造300は、交互に形成された複数の第1の膜層308及び第2の膜層310を含む。幾つかの実施形態において、複数の第1の膜層308は、酸化ケイ素層であり、複数の第2の膜層310は、窒化ケイ素層である。複数の材料層スタック306が、プラズマ処理チャンバ内でPECVD堆積技術によって形成されうる。
さらなる実施形態において、第1の材料層スタック/第2の材料層スタックは、酸化物/シリコン、シリコン/ドープされたシリコン、又は、シリコン/窒化物でありうる。これらの材料の組み合わせの全てが、ビットコストスケーラブル(BiCS:Bit-Cost Scalable)、テラビットセルアレイトランジスタ(TCAT:Terabit Cell Array Transistor)、DRAM、及び他の3Dメモリ構造で使用することが可能である。他の実施形態において、第1の材料層スタック及び第2の材料層スタックは、他の材料の組合せでありうる。基板302上の第1の膜層308及び第2の膜層310の堆積順序は、逆にすることも可能である。
層の数は、作製されるメモリデバイスに依存しうる。幾つかの実施形態において、スタック数は8x、又は16x、又は24xであってよく、又はそれより大きくてよく、ここで、8個、16個、24個、32個、64個、128個、又はそれより多くの層による各スタックが、1つのメモリデバイスに対応する。異なる材料の2つの層が各スタックを形成し、従って、8xのスタック数について対応する層の数が16となり、16xのスタック数は32個の層を有し、24xのスタック数が48個の層を有し、より大きなスタック数が、各より大きな数による層を有するということが可能である。
幾つかの実施形態において、基板302は、実質的に平坦な表面、平坦でない表面、又は、構造が形成された実質的に平坦な表面を有しうる。基板302は、結晶シリコン(例えばSi<100>又はSi<111>)、酸化ケイ素、ストレインドシリコン、シリコンゲルマニウム、ドープされた又はドープされていないポリシリコン、ドープされた又はドープされていないシリコンウエハ及びパターニングされた又はパターニングされていないウエハのシリコンオンインシュレータ(SOI)、炭素がドープされた酸化ケイ素、窒化ケイ素、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、又はサファイアといった、材料でありうる。基板302は、直径200又は300mmのウエハ及び矩形又は方形のパネルといった、様々な形状及び寸法を有しうる。特に断りがない限り、本明細書に記載される実施形態及び実施例は、直径が300mmの基板を指している。幾つかの実施形態において、基板302は、結晶シリコン基板(例えば、単結晶シリコン又は多結晶シリコン)でありうる。
高アスペクト比フィーチャ340は、開口部350を有する。高アスペクト比は、底面360及び側壁370によって定められる。幾つかの実施形態において、底面360は、露出したシリコン表面又はシリコンを含有する表面(単結晶シリコン表面など)である。幾つかの実施形態において、底面360は、露出したゲルマニウム表面又はゲルマニウムを含有する表面である。幾つかの実施形態において、底面360は、基板302の露出した表面によって画定される。高アスペクト比フィーチャ340が基板302の表面まで延在しない幾つかの実施形態において、底面360は、材料層スタック306又は基層(存在する場合)によって画定されうる。側壁370は、複数の材料層スタック306によって画定される。
本明細書に記載の方法によって、少なくとも約5:1以上(例えば、6:1以上、7:1以上、8:1以上、9:1以上、10:1以上、11:1以上、12:1以上、20:1以上、50:1以上、100:1以上、16:7以上、又は、約10:1~約20:1、又は、約30:1~約50:1の範囲内、又は、約40:1~約100:1の範囲内、又は、約70:1~約100:1の範囲内)のアスペクト比(裸孔の高さを孔の幅で割った比率)で、アスペクト比フィーチャ340において形成された層のコンフォマリティが改善される。例示的なフィーチャ定義には、半導体素子、ソーラーデバイス、又は、高比率コンタクトプラグといった他の電子機器で利用される、チャネル、ビア、トレンチ、ギャップ、ライン、コンタクト孔、貫通孔、又は他のフィーチャ定義が含まれる。
幾つかの実施形態において、基板302が、非反応性雰囲気下で処理領域113内に配置され、温度及び圧力上昇プロセスにかけられる。非反応性と見做されるガスには、窒素ガス(N)、ヘリウム(He)、アルゴン(Ar)、ネオン(Ne)、及びキセノン(Xe)が含まれるが、これらに限定されない。水素、アルゴン、及び/又は酸素を含有するガスが、温度及び圧力の上昇前及び/又は上昇中に、処理領域113に供給されうる。水素、アルゴン、及び/又は酸素を含有するガスは、処理領域113に直接導入することが可能である。幾つかの実施形態において、水素、アルゴン、及び/又は酸素を含有するガスを、前駆体活性化装置180を介して処理領域113に導入することが可能である。幾つかの実施形態において、非反応性ガスをプロセスチャンバに流し及びプロセスチャンバから流すことによって、非反応性雰囲気が上記上昇中に維持されうる。温度及び圧力は、目標とする所定のプロセス条件まで、同時又は連続的に任意のパターンで上げられうる。
幾つかの実施形態において、本明細書に記載の方法が、処理領域113内の圧力を、20Torr未満、例えば、約1Torrと約10Torrとの間(例えば、約2Torrと約8Torrとの間、約2Torrと約3Torrとの間、又は、約2.5Torrと約3Torrとの間)に維持することで実施される。幾つかの実施形態において、本明細書に記載の方法が、基板温度を摂氏約500度と約1100度との間、例えば、摂氏約600度と約1100度との間、摂氏約700度と約800度との間、又は、摂氏約750度と約800度との間に維持することで実施される。
幾つかの実施形態において、処理中に、チャンバ、基板、又はその両方が、摂氏約700度と約800度と間の温度、及び約2Torrと約3Torrとの間のチャンバ圧力で維持される。
工程220において、方法200は、処理領域113に水素ガスを流すことをさらに含むことが可能である。幾つかの実施形態において、水素ガスが基板処理113に直接導入される。幾つかの実施形態において、水素ガスが、前駆体活性化装置180を介して処理領域113に導入される。幾つかの実施形態において、水素ガスが、直接的に及び前駆体活性化装置180を介して、処理領域113に導入される。水素ガスは、温度及び圧力を上げている間に処理チャンバに供給されてよく、又は、より良好なプロセス制御のために、設定温度に達した後に流されてよい。幾つかの実施形態において、設定温度は、上述の基板温度を含む。水素(H2)ガスが使用されるが、アンモニア(NH)などの他のガスが使用されてよい。
適切な大きさのチャンバ内にある300mmの基板について、Hの流量は、300mmの基板の場合、約0.01slmから約20slm(例えば、約1slmから約10slm)とすることが可能である。約0.01Torrから約10Torr(例えば、約0.5Torrと約8Torrとの間、約0.5Torrと約5Torrとの間、約2Torrと約3Torrとの間、又は、約2.5Torrと約3Torrとの間)の総チャンバ圧力を維持するために、水素をチャンバに流すことが可能である。幾つかの実施形態において、基板の温度は、摂氏約800度など、摂氏約500度と約1100度との間まで上げることが可能である。
幾つかの実施形態において、工程230において、プラズマ前駆体ガス混合物からプラズマが生成される前に、酸素及びアルゴンの少なくとも1つが処理領域113に導入される。酸素及び/又はアルゴンは、処理領域113に直接導入することが可能である。代替的に、酸素及び/又はアルゴンは、前駆体活性化装置180を介して処理領域113に導入することが可能である。幾つかの実施形態において、酸素及び/又はアルゴンは、約5秒と約30秒との間の期間、例えば300mmの基板について約15秒間、処理領域113に導入される。プラズマ種の導入前に酸素及び/又はアルゴンのガス混合物を流すことは、処理領域113の連続的な熱的安定化及び圧力安定化をもたらすと考えられる。上記安定化プロセスは、工程230の前に実行されてよく、又は、工程230と重なってよい。
工程230では、方法200は、少なくとも酸素ガス、アルゴンガス、及び任意選択で水素ガスを含むプラズマ前駆体ガス混合物から、遠隔プラズマを生成するステップをさらに含む。酸素(O)ガスが使用されているが、亜酸化窒素(NO)といった他のガスが使用されてよい。幾つかの実施形態において、酸素ガス、アルゴンガス、及び任意選択で水素ガスの流量が、温度、圧力、及び流量制御が反応の開始に応じうるように設定点まで上げられる。理論に束縛されるものではないが、プラズマ前駆体ガス混合物中に水素を含有させると、HAR構造における酸化物のコンフォマリティがさらに改善されると共に、酸化膜の成長速度が上がると考えられる。幾つかの実施形態において、遠隔プラズマが、前駆体活性化装置180内で生成される。酸素が、第1のガス源192によって前駆体活性化装置180に供給され、アルゴンガスが、第2のガス源198によって前駆体活性化装置180に供給され、水素ガスが、第3のガス源199によって前駆体活性化装置180に供給される。
工程230において、酸素ガスが、300ミリメートルの基板について約0.01slmから約15slm(例えば、300ミリメートルの基板について約1slmから約10slm)により、前駆体活性化装置180に流される。酸素ガスをアルゴンガス及び水素ガスと混合して、プラズマ前駆体ガス混合物を形成することが可能である。幾つかの実施形態において、アルゴンガスが、300ミリメートルの基板について約0.01slmから約15slm(例えば、300ミリメートルの基板について約1slmから約10slm)により、前駆体活性化装置180に流される。幾つかの実施形態において、水素ガスが、約0.01slmから約20slm(例えば、300ミリメートルの基板について約1slmから約10slm)により、前駆体活性化装置180に流される。幾つかの実施形態において、プラズマ前駆体ガス混合物は、追加的な不活性ガスを含む。追加的な不活性ガスは、ヘリウム又はクリプトンといったガスを含むことが可能である。その後、プラズマ前駆体ガス混合物を、エネルギー源を用いてプラズマに変換することが可能である。エネルギー源は、RPS、マグネトロン型プラズマ源、変形マグネトロン型(MMT:Modified Magnetron Typed)プラズマ源、遠隔プラズマ酸化(RPO:Remote Plasma Oxidation)源、容量結合プラズマ(CCP:Capcitively Coupled Plasma)源、誘導結合プラズマ(ICP:Inductively Coupled Plasma)源、マイクロ波源、紫外線源、又はトロイダルプラズマ源とすることが可能である。
水素がプラズマに含まれずに処理領域113に直接供給される幾つかの実施形態において、プラズマ前駆体ガス混合物は、酸素(O)及びアルゴン(Ar)を含み、約55パーセントまでのアルゴン濃度によって、膜成長速度及びコンフォマリティに有益な効果がもたらされる。約55パーセントを超えると、有益な効果はより小さな規模で実現されうる。酸素及びアルゴンの総量に対するアルゴン濃度は、少なくとも0.5パーセントから55パーセントまで、例えば20パーセントから50パーセントまで、又は、30パーセントから40パーセントまで、例えば35パーセントである。このような場合、プラズマ前駆体ガス中の酸素濃度は、少なくとも19.5パーセントから95.5パーセントまで、例えば45パーセントから95.5パーセントまで、例えば50パーセントから80パーセントまで、又は、60パーセントから70パーセントまで、例えば65パーセントである。
幾つかの実施形態において、プラズマ前駆体ガス混合物が酸素(O)、アルゴン(Ar)、及び水素(H)を含む場合、酸素、アルゴン、及び水素の総量に対するアルゴン濃度は、少なくとも0.5パーセントから80パーセントまで、例えば20パーセントから50パーセントまで、又は、30パーセントから40パーセントまで、例えば35パーセントである。このような場合、プラズマ前駆体ガス中の酸素濃度は、少なくとも20パーセントから95.5パーセントまで、例えば45.5パーセントから90パーセントまで、又は、50パーセントから80パーセント、又は、60パーセントから70パーセント、例えば60パーセントである。さらに、このような場合、酸素、アルゴン、及び水素の総量に対する水素濃度は、少なくとも0.5パーセントから80パーセントまで、例えば5パーセントから50パーセント、又は、10パーセントから40パーセント、又は、20パーセントから30パーセント、例えば5パーセントである。
幾つかの実施形態において、酸素濃度(O/(H+O)%)は、約20パーセント以上である。
プラズマ前駆体ガス混合物は、上記パーセンテージの範囲内で、約1,000sccmと50,000sccmとの間(例えば、約6,000sccmと約15,000sccmとの間、又は、約10,000sccmと約35,000sccmとの間、又は、約25,000sccmと約35,000sccmとの間)の総流量により供給される。例えば、酸素(O)及びアルゴン(Ar)の両方が供給されるときには、酸素(O)及びアルゴン(Ar)は、上記パーセンテージの範囲内で、約10,000sccmと約50,000sccmとの間、特に、約25,000sccmと約35,000sccmとの間、又は、約30,000sccmの総流量により供給される。プラズマ形成ガスが、酸素(O)、アルゴン(Ar)、及び水素(H)を含む場合には、酸素(O)、アルゴン(Ar)、及び水素(H)は、上記パーセンテージの範囲内で、約10,000sccmと約50,000sccmとの間(例えば、約10,000sccmと約35,000sccmとの間、又は、約25,000sccmと約35,000sccmとの間)の総流量により供給される。
本明細書に記載の工程についてのガス流を、比率によって制御することが可能である。ガス混合物中の酸素とアルゴンとの比率は、本明細書に記載のプロセスにおいて形成される層のコンフォマリティ及び成長速度に影響を与え、様々な比率によって、様々なプロセスにおいて最も有益な結果が得られうる。本明細書に記載のプロセスについて、酸素ガス対アルゴンガスのガス流量比(O:Ar)は、1:4と50:1との間(例えば、1:1と20:1との間、1:1と5:1との間、又は、5:1と10:1との間)にある。
図1の基板処理システム100を用いて、プラズマ前駆体ガス混合物が、RF電力に曝露されることにより活性化される。RF電力への曝露によって、プラズマ前駆体ガス混合物の少なくとも一部がイオン化され、プラズマが形成される。約10kHzと約14MHzとの間の周波数によるRF電力が、約1,000Wと約5,000Wとの間(例えば、約2,000Wと約3,000Wとの間、又は、約2,500W)の電力レベルで印加されて、プラズマが生成される。一例では、13.56MHzの周波数が使用される。他の例では、400kHzのより低い周波数が使用される。代替的に、酸素とアルゴンとのガス混合物が、マイクロ波源、例えば、2.45GHzマイクロ波源への曝露によって、活性化されうる。マイクロ波源は、マイクロ波源を通るガス流量及び活性化の程度に従って、およそ1,000Wと5,000Wとの間、例えば、3,000Wの電力レベルで操作されうる。
工程240において、方法200は、遠隔プラズマを処理チャンバに流すことをさらに含む。水素ガスが処理領域113内に存在する幾つかの実施形態では、遠隔プラズマが水素ガスと混合して、活性化処理ガスが生成される。プラズマは、基板の上方で水素と混合されて、H、O及びOH種が生成される。水素がプラズマ前駆体ガスの一部である幾つかの実施形態では、遠隔プラズマは、活性化処理ガスとして機能する。プラズマを使用するときには、プラズマが処理領域113に到達する前に、内部空間184及び送出ライン190におけるプラズマの滞留時間、及び、活性化の程度が、目標とする量のクエンチング(急冷)を提供するために選択されうる。ガス滞留時間が所与の活性化レベルで延びるにつれて、より高度なプラズマクエンチングが実現され、より少量の活性ガスが処理領域113に供給される。同様に、ガス滞留時間が短くなるにつれて、より低いクエンチングが実現される。
幾つかの実施形態において、活性化ガスを形成する前に、チャンバが、不活性ガス又は水素ガスで洗浄される。上記の洗浄は、酸素及びアルゴンのプラズマの形成と同時に行われうる。同様に、水素が、酸素及びアルゴンのプラズマが遠隔プラズマ源から流される前にチャンバに流されてもよく、又は、水素が同時に流されて、基板の上方で酸素及びアルゴンのプラズマと混合されうる。
動作250において、方法200は、図3Bに示すように、基板を活性化ガスに曝露して基板表面を酸化させて、酸化ケイ素層380といった酸化膜を形成することをさらに含む。幾つかの実施形態において、酸化ケイ素層は、コンフォーマルな酸化ケイ素層である。
工程260において、アルゴンの流量が、酸化物の堆積速度を上げ又は下げるよう制御される。発明者らは、遠隔プラズマ源又はチャンバスリットバルブのいずれかにアルゴンを流すと、高エネルギーのアルゴン種が生成され、この高エネルギーのアルゴン種によって、酸素ラジカルの再結合が防止されることを見出した。従って、遠隔プラズマ源へのアルゴンガスの流量を増やすことで、酸化膜の成長速度を上げることが可能であり、このことによって、酸素ラジカル再結合が低減され、酸化物形成のための酸素ラジカルの濃度が上がる。さらに、酸化膜の成長速度を落とすことが適切である幾つかの実施形態において、遠隔プラズマ源へのアルゴンガスの流量を低減することで、酸素ラジカル再結合が増加し、このことによって、酸素種の濃度が上がったにも関わらず、酸化膜成長に利用可能な酸素ラジカルの量が下がり、成長速度が落ちる。従って、アルゴンは、遠隔プラズマ酸化プロセスについて酸化膜の成長速度を上げ又は下げる独立したつまみを提供する。さらに、Ar添加は、他のパラメータ(圧力、流量、温度など)とは独立して、酸化のウエハ均一性の範囲内で調整することが可能である。
図4は、本明細書に記載の実施形態に従って形成された酸化膜の成長速度、及び、当該酸化膜の中心から端部までの均一性を示すグラフ400である。図4に示すように、成長速度は、遠隔プラズマ中のアルゴンが50%を超えると下がり始める。図4がさらに示すように、アルゴンが約15%の場合の酸化物の成長速度は、アルゴンを使用するとき処理チャンバ内の反応前駆体ガスが明らかに希釈されるにもかかわらず、H/(H+O)が10%である場合について、アルゴン無しで成長した酸化膜よりも約3%速い。理論に束縛されるものではないが、活性種の不活性化が、アルゴン濃度が下がるにつれて、(アルゴンといった非反応種とは対照的な)反応種の全体的な濃度よりも速く強まり、これにより、アルゴン濃度が下がるにつれて膜成長速度の全体的な低下がもたらされるようである。従って、本明細書に記載の方法では、膜成長速度が、アルゴン種プラス酸素種の総量に基づき約55パーセントのアルゴンに至るまでは、アルゴンの流量と逆の関係にあり、ここで、他の全ての条件は等しい。約55パーセントを超えると、より低度の利点が実現される。さらに、堆積される膜のコンフォマリティが、アルゴン流量と直接的に関係している。というのは、より多くのアルゴンによって、高アスペクト比フィーチャ340といったHARフィーチャにおける活性種の濃度勾配が下がるからである。
図5は、水素ガスのパーセント、及び、アルゴンの有無に基づく酸化物のコンフォマリティを示すグラフ500である。「無し(No)」とラベル付けされたグラフ500の部分は、水素ガス及び酸素ガスのみを用いて行われた。グラフ500の「有り(Yes)」とラベル付けされた部分は、水素ガス、酸素ガス、及びアルゴンガスを用いて行われた。グラフ500に示されるように、アルゴンが存在する水素ガスの、或る特定のパーセンテージによってのみ、堆積直後の酸化膜のコンフォマリティの改善がもたらされる。
図6は、アルゴンガスの割合に対する水素ガスの割合に基づく酸化物の品質を示すグラフ600である。グラフ600は、アルゴンが無いと、或るパーセンテージの水素ガスが酸化物の品質を落としうることを立証している。アルゴンガスを十分に添加することによって、アルゴンガス無しの状態の酸化物の品質の低下を解消又は低減することが可能である。
要約すると、本明細書に記載される幾つかの実施形態によって、HAR構造におけるコンフォ-マルな酸化膜(例えば、酸化ケイ素)の成長が可能となる。発明者らは、遠隔プラズマ酸化を何回か実施する間にアルゴンを添加すると、パターンローティングを改善しながら、コンフォーマルな酸化物成長を改善できることを見出した。理論に束縛されるものではないが、アルゴンガスの添加が酸素ラジカルの再結合を低減し、これにより、プラズマ酸化プロセスに利用可能な酸素ラジカルの濃度が上がると考えられる。従って、アルゴンガスは、酸化膜の成長速度を制御するために利用することが可能である。例えば、アルゴンガスの流量の増加によって、典型的に、酸化膜の成長速度の上昇がもたらされる一方、アルゴンガスの流量の減少によって、典型的に、酸化膜の成長速度の低下がもたらされる。
本開示の要素または本開示の実施形態の例示的態様を紹介する際の冠詞“a”、“an”、“the”、及び“said”は、要素が1つまたは1つよりも多く存在することを意味することを意図している。
「備える(comprising)」、「含む(including)」、及び「有する(having)」という語は、包括的であることが意図されており、列挙された要素以外にも追加の要素がありうることを意味する。
以上の記述は本開示の実施形態を対象としているが、本開示の基本的な範囲から逸脱することなく本開示の他の実施形態及びさらなる実施形態が考案されてよく、本開示の範囲は、下記の特許請求の範囲によって定められる。

Claims (15)

  1. 酸化のための方法であって、
    処理チャンバの処理領域に第1の流量で水素ガスを流すことであって、前記処理領域の中には基板が配置されている、処理チャンバの処理領域に第1の流量で水素ガスを流すことと、
    前駆体活性化装置に第2の流量で酸素ガスを流すことと、
    前記前駆体活性化装置に第3の流量でアルゴンガスを流すことと、
    前記酸素ガス及び前記アルゴンガスから前記前駆体活性装置内でプラズマを生成することと、
    前記プラズマを前記処理領域に流すことであって、前記プラズマが前記水素ガスと混合して活性化処理ガスを生成する、前記プラズマを前記処理領域に流すことと、
    前記基板を前記活性化処理ガスに曝露して前記基板に酸化膜を形成することであって、前記酸化膜の成長速度は、前記第3の流量を調整することにより制御される、前記基板を前記活性化処理ガスに曝露して前記基板に酸化膜を形成すること
    を含む、酸化のための方法。
  2. 前記酸化膜の前記成長速度を上げるために、前記第3の流量を増加させることをさらに含む、請求項1に記載の方法。
  3. 前記酸化膜の前記成長速度を下げるために、前記第3の流量を減少させることをさらに含む、請求項1に記載の方法。
  4. 前記第2の流量と前記第3の流量との比率(O:Ar)が、約1:1と約5:1との間である、請求項1に記載の方法。
  5. 前記プラズマを前記処理領域に流す前に、酸素ガス及び/又はアルゴンガスを前記処理領域に流すことをさらに含む、請求項1に記載の方法。
  6. 前記基板が、摂氏約500度と摂氏約1100度との間の温度で維持される、請求項5に記載の方法。
  7. 前記処理領域が、約0.5Torrと約5Torrとの間の圧力で維持される、請求項6に記載の方法。
  8. アルゴンガス及び酸素ガスの総量に基づく、前記前駆体活性化装置内のアルゴンガスの濃度は、20パーセントと50パーセントとの間である、請求項5に記載の方法。
  9. 前記プラズマを生成する前に、前記前駆体活性化装置に第4の流量で水素ガスを流すことをさらに含む、請求項1に記載の方法。
  10. 前記基板が、露出した窒化ケイ素表面、露出したポリシリコン表面、露出したアルミナ表面、及び露出した酸化ケイ素表面のうちの少なくとも1つを含む、請求項1に記載の方法。
  11. 酸化のための方法であって、
    処理チャンバの処理領域内に基板を配置することと、
    前駆体活性化装置に第1の流量で水素ガスを流すことであって、前記前駆体活性化装置は前記処理領域と流体的に結合される、前駆体活性化装置に第1の流量で水素ガスを流すことと、
    前記前駆体活性化装置に第2の流量で酸素ガスを流すことと、
    前記前駆体活性化装置に第3の流量でアルゴンガスを流すことと、
    前記水素ガス、前記酸素ガス、及び前記アルゴンガスから前記前駆体活性化装置内でプラズマを生成することと、
    前記プラズマを前記処理領域に流すことと、
    前記基板を前記プラズマに曝露して前記基板に酸化膜を形成することであって、前記酸化膜の成長速度は前記第3の流量を調整することにより制御される、前記基板を前記プラズマに曝露して前記基板に酸化膜を形成すること
    を含む、酸化のための方法。
  12. 前記酸化膜の前記成長速度を上げるために、前記第3の流量を増加させることをさらに含む、請求項11に記載の方法。
  13. 前記酸化膜の前記成長速度を下げるために、前記第3の流量を減少させることをさらに含む、請求項11に記載の方法。
  14. 前記第2の流量と前記第3の流量との比率(O:Ar)が、約1:1と約5:1との間である、請求項11に記載の方法。
  15. 前記プラズマを生成する前に、前記前駆体活性化装置を通して前記処理領域に水素ガスを流すことをさらに含む、請求項11に記載の方法。
JP2022114577A 2018-01-15 2022-07-19 遠隔プラズマによる酸化へのアルゴン添加 Active JP7474805B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862617387P 2018-01-15 2018-01-15
US62/617,387 2018-01-15
PCT/US2018/066650 WO2019139761A1 (en) 2018-01-15 2018-12-20 Argon addition to remote plasma oxidation
JP2020538078A JP7111819B2 (ja) 2018-01-15 2018-12-20 遠隔プラズマによる酸化へのアルゴン添加

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2020538078A Division JP7111819B2 (ja) 2018-01-15 2018-12-20 遠隔プラズマによる酸化へのアルゴン添加

Publications (2)

Publication Number Publication Date
JP2022163040A true JP2022163040A (ja) 2022-10-25
JP7474805B2 JP7474805B2 (ja) 2024-04-25

Family

ID=67212623

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020538078A Active JP7111819B2 (ja) 2018-01-15 2018-12-20 遠隔プラズマによる酸化へのアルゴン添加
JP2022114577A Active JP7474805B2 (ja) 2018-01-15 2022-07-19 遠隔プラズマによる酸化へのアルゴン添加

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2020538078A Active JP7111819B2 (ja) 2018-01-15 2018-12-20 遠隔プラズマによる酸化へのアルゴン添加

Country Status (6)

Country Link
US (2) US10636650B2 (ja)
JP (2) JP7111819B2 (ja)
KR (3) KR20220143158A (ja)
CN (2) CN111566780B (ja)
TW (2) TWI698930B (ja)
WO (1) WO2019139761A1 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220143158A (ko) * 2018-01-15 2022-10-24 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 산화에 대한 아르곤 추가
WO2021049343A1 (ja) * 2019-09-12 2021-03-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
CN110620078B (zh) * 2019-09-16 2022-07-08 长江存储科技有限责任公司 一种沟道孔内的阻挡氧化层生成方法
CN112838093A (zh) * 2021-01-04 2021-05-25 长江存储科技有限责任公司 半导体器件的制造方法
US20220262600A1 (en) * 2021-02-12 2022-08-18 Applied Materials, Inc. Fast gas exchange apparatus, system, and method

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002367960A (ja) * 2001-06-05 2002-12-20 Internatl Business Mach Corp <Ibm> 高アスペクト比の開口をエッチングする方法
JP2004087960A (ja) * 2002-08-28 2004-03-18 Fujitsu Ltd 半導体装置の製造方法
JP2005294551A (ja) * 2004-03-31 2005-10-20 Toshiba Corp シリコン系被処理物の酸化処理方法、酸化処理装置および半導体装置の製造方法
WO2007034871A1 (ja) * 2005-09-22 2007-03-29 Tokyo Electron Limited 選択的プラズマ処理方法
WO2008026531A1 (fr) * 2006-08-28 2008-03-06 National University Corporation Nagoya University Procédé de traitement d'oxydation par plasma
JP2010232240A (ja) * 2009-03-26 2010-10-14 Hitachi Kokusai Electric Inc 半導体装置の製造方法、及び半導体製造装置
JP2015526903A (ja) * 2012-08-01 2015-09-10 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 遠隔プラズマ源を使用する低温での選択的な酸化のための装置及び方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7501352B2 (en) * 2005-03-30 2009-03-10 Tokyo Electron, Ltd. Method and system for forming an oxynitride layer
EP2259294B1 (en) * 2006-04-28 2017-10-18 Semiconductor Energy Laboratory Co, Ltd. Semiconductor device and manufacturing method thereof
US8236706B2 (en) 2008-12-12 2012-08-07 Mattson Technology, Inc. Method and apparatus for growing thin oxide films on silicon while minimizing impact on existing structures
US9431237B2 (en) * 2009-04-20 2016-08-30 Applied Materials, Inc. Post treatment methods for oxide layers on semiconductor devices
US8492292B2 (en) 2009-06-29 2013-07-23 Applied Materials, Inc. Methods of forming oxide layers on substrates
WO2011097178A2 (en) 2010-02-02 2011-08-11 Applied Materials, Inc. Methods for nitridation and oxidation
US9685320B2 (en) * 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8741785B2 (en) 2011-10-27 2014-06-03 Applied Materials, Inc. Remote plasma radical treatment of silicon oxide
SG2013083241A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9728401B2 (en) * 2013-03-15 2017-08-08 Applied Materials, Inc. Methods for conformal treatment of dielectric films with low thermal budget
TWI612182B (zh) * 2013-09-09 2018-01-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
WO2015126590A1 (en) * 2014-02-18 2015-08-27 Applied Materials, Inc. Hermetic cvd-cap with improved step coverage in high aspect ratio structures
US10196728B2 (en) * 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
TWI670831B (zh) 2014-09-03 2019-09-01 美商應用材料股份有限公司 用於三維nand硬遮罩應用的奈米結晶鑽石碳膜
US10246772B2 (en) 2015-04-01 2019-04-02 Applied Materials, Inc. Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9922840B2 (en) 2015-07-07 2018-03-20 Applied Materials, Inc. Adjustable remote dissociation
US10861693B2 (en) 2015-12-18 2020-12-08 Applied Materials, Inc. Cleaning method
US10224235B2 (en) * 2016-02-05 2019-03-05 Lam Research Corporation Systems and methods for creating airgap seals using atomic layer deposition and high density plasma chemical vapor deposition
US20170323785A1 (en) * 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
JP6928810B2 (ja) * 2016-05-29 2021-09-01 東京エレクトロン株式会社 側壁イメージ転写の方法
WO2018052476A1 (en) 2016-09-14 2018-03-22 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
KR20220143158A (ko) * 2018-01-15 2022-10-24 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 산화에 대한 아르곤 추가

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002367960A (ja) * 2001-06-05 2002-12-20 Internatl Business Mach Corp <Ibm> 高アスペクト比の開口をエッチングする方法
JP2004087960A (ja) * 2002-08-28 2004-03-18 Fujitsu Ltd 半導体装置の製造方法
JP2005294551A (ja) * 2004-03-31 2005-10-20 Toshiba Corp シリコン系被処理物の酸化処理方法、酸化処理装置および半導体装置の製造方法
WO2007034871A1 (ja) * 2005-09-22 2007-03-29 Tokyo Electron Limited 選択的プラズマ処理方法
WO2008026531A1 (fr) * 2006-08-28 2008-03-06 National University Corporation Nagoya University Procédé de traitement d'oxydation par plasma
JP2010232240A (ja) * 2009-03-26 2010-10-14 Hitachi Kokusai Electric Inc 半導体装置の製造方法、及び半導体製造装置
JP2015526903A (ja) * 2012-08-01 2015-09-10 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 遠隔プラズマ源を使用する低温での選択的な酸化のための装置及び方法

Also Published As

Publication number Publication date
US10636650B2 (en) 2020-04-28
TW201939611A (zh) 2019-10-01
KR20200100851A (ko) 2020-08-26
JP7474805B2 (ja) 2024-04-25
CN111566780A (zh) 2020-08-21
KR20230163578A (ko) 2023-11-30
JP7111819B2 (ja) 2022-08-02
TWI756705B (zh) 2022-03-01
US20190221427A1 (en) 2019-07-18
JP2021510932A (ja) 2021-04-30
US11081340B2 (en) 2021-08-03
KR20220143158A (ko) 2022-10-24
KR102455355B1 (ko) 2022-10-18
TW202117846A (zh) 2021-05-01
US20200251331A1 (en) 2020-08-06
CN117637438A (zh) 2024-03-01
CN111566780B (zh) 2023-12-01
TWI698930B (zh) 2020-07-11
WO2019139761A1 (en) 2019-07-18

Similar Documents

Publication Publication Date Title
JP7111819B2 (ja) 遠隔プラズマによる酸化へのアルゴン添加
US7947561B2 (en) Methods for oxidation of a semiconductor device
KR20200143254A (ko) 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US7645709B2 (en) Methods for low temperature oxidation of a semiconductor device
JP2016532313A (ja) 周期的エッチング工程を用いたエッチング停止層のエッチング方法
US11756828B2 (en) Cluster processing system for forming a transition metal material
US9263283B2 (en) Etching method and apparatus
US8435906B2 (en) Methods for forming conformal oxide layers on semiconductor devices
US20210202233A1 (en) Substrate processing method and substrate processing apparatus
JP2007088199A (ja) 処理装置
US11569245B2 (en) Growth of thin oxide layer with amorphous silicon and oxidation
TWI442474B (zh) 用於在半導體裝置上形成共形氧化層的方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220818

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220818

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230606

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230905

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231206

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240213

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20240314

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240415