CN111566780B - 添加氩至远程等离子体氧化 - Google Patents

添加氩至远程等离子体氧化 Download PDF

Info

Publication number
CN111566780B
CN111566780B CN201880085736.XA CN201880085736A CN111566780B CN 111566780 B CN111566780 B CN 111566780B CN 201880085736 A CN201880085736 A CN 201880085736A CN 111566780 B CN111566780 B CN 111566780B
Authority
CN
China
Prior art keywords
argon
flow rate
plasma
substrate
precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880085736.XA
Other languages
English (en)
Other versions
CN111566780A (zh
Inventor
汉瑟·劳
克里斯托弗·S·奥尔森
埃里克·克哈雷·施诺
约翰内斯·S·斯温伯格
埃里卡·汉森
泰万·基姆
劳拉·哈夫雷查克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN202311527074.6A priority Critical patent/CN117637438A/zh
Publication of CN111566780A publication Critical patent/CN111566780A/zh
Application granted granted Critical
Publication of CN111566780B publication Critical patent/CN111566780B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Abstract

提供结构的共形自由基氧化的方法。在一个实施方式中,方法包括以第一流率将氢流入处理腔室,其中处理腔室具有定位在其中的基板。方法进一步包括以第二流率将氧流入前驱物活化器。方法进一步包括以第三流率将氩流入前驱物活化器。方法进一步包括在前驱活化器中从氧和氩产生等离子体。方法进一步包括将等离子体流入处理腔室,其中等离子体与氢气混合以创造活化处理气体。方法进一步包括使基板暴露于活化气体以在基板上形成氧化物膜。通过调整第三流率而控制氧化物膜的生长速率。

Description

添加氩至远程等离子体氧化
技术领域
本公开内容的实施方式一般涉及半导体装置制造,特别是涉及用于诸如高深宽比结构的结构的共形自由基氧化的方法。
背景技术
生产硅集成电路已经定出制造处理上的困难要求以增加装置数目,同时减少芯片上的最小特征尺寸。这些要求已经延展到包括在困难布局(topology)上沉积不同材料层并在那些层中进一步蚀刻特征的制造处理。下一代NAND闪存的制造处理涉及特别具挑战性的装置几何与尺度。NAND为一种非易失性存储技术,不需要电源以保持数据。为了在相同实体空间内增加存储器容量,已经发展出三维NAND(3D NAND)设计。此种设计通常采用沉积在基板上的交替氧化物层与氮化物层。交替氧化物层与氮化物层接着被蚀刻产生具有一个或多个表面的结构,其实质上延伸垂直于基板。此种设计考虑已经从相对低深宽比结构(例如10:1深宽比)的氧化的领域移动至高深宽比(HAR)结构(例如40:1或更大深宽比)。现有制造处理已经包括填充在HAR结构中的间隙与沟槽的方法。
3D NAND闪存结构涂布带有氮化硅(SixNy)层,例如,Si3N4,其将被共形地氧化在HAR结构中。3D NAND闪存结构可具有高或极高深宽比,例如40:1深宽比、40:1与100:1之间深宽比、100:1深宽比、或甚至大于100:1深宽比。寻找新颖制造处理以在HAR结构的表面上共形沉积层,而非简单地填充间隙与沟槽。例如,在HAR结构的表面上共形地形成层可涉及较慢沉积速率。“共形地”通常指称在结构的表面上均匀和/或一致厚度的层。在HAR结构的环境中,当讨论实质上垂直于基板的结构表面上氧化的厚度时,“共形地”会是最贴切的。较共形的沉积可降低建构在结构的顶部处的材料。此种材料建构会造成材料过早地密封相邻结构之间的沟槽的顶部,在沟槽中形成空隙。遗憾地,减慢沉积速率也意指增加沉积时间,这降低处理效率和生产速率。
因此,需要用于高深宽比结构的共形氧化的改良处理。
发明内容
本公开内容的实施方式一般涉及半导体装置制造,与特别涉及用于诸如高深宽比结构的结构的共形自由基氧化的方法。在一个实施方式中,提供用于氧化的方法。此方法包含以第一流率将氢气流入处理腔室的处理区,其中处理区具有定位其中的基板。此方法进一步包含以第二流率将氧气流入前驱物活化器。此方法进一步包含以第三流率将氩气流入前驱物活化器。此方法进一步包含在前驱物活化器中从氧气与氩气产生等离子体。此方法进一步包含将等离子体流入处理区,其中等离子体与氢气混合以创造活化处理气体。此方法进一步包含使基板暴露于活化气体以在基板上形成氧化物膜,其中通过调整第三流率来控制氧化物膜的生长速率。
在另一实施方式中,提供用于氧化的方法。此方法包含在处理腔室的处理区中定位基板。此方法进一步包含以第一流率将氢气流入前驱物活化器,其中前驱物活化器与处理区流体地耦接。此方法进一步包含以第二流率将氧气流入前驱物活化器。此方法进一步包含以第三流率将氩气流入前驱物活化器。此方法进一步包含在前驱物活化器中从氢气、氧气与氩气产生等离子体。此方法进一步包含将等离子体流入处理区。此方法进一步包含使基板暴露于等离子体以在基板上形成氧化物膜,其中通过调整第三流率来控制氧化物膜的生长速率。
在又一实施方式中,提供用于氧化的方法。此方法包含在处理腔室的处理区中定位基板。此方法进一步包含以第一流率将氢气流入前驱物活化器,其中前驱物活化器与处理区流体地耦接。此方法进一步包含以第二流率将氧气流入前驱物活化器与流入处理区。此方法进一步包含以第三流率将氩气流入前驱物活化器与流入处理区。此方法进一步包含在前驱物活化器中从氢气、氧气与氩气产生等离子体。此方法进一步包含将等离子体流入处理区。此方法进一步包含使基板暴露于等离子体以在基板上形成氧化物膜,其中通过调整第三流率来控制氧化物膜的生长速率。
在又一实施方式中,提供用于氧化的方法。此方法包含以第一流率将氢气流入处理腔室的处理区,其中处理区具有定位其中的基板。此方法进一步包含以第二流率将氢气流入前驱物活化器,其中前驱物活化器与处理区流体地耦接。此方法进一步包含以第三流率将氧气流入前驱物活化器与流入处理区。此方法进一步包含以第四流率将氩气流入前驱物活化器与流入处理区。此方法进一步包含在前驱物活化器中从氢气、氧气与氩气产生等离子体。此方法进一步包含将等离子体流入处理区,其中等离子体与氢气混合以创造活化处理气体。此方法进一步包含使等离子体流入处理区。
附图说明
为了可详细地理解本公开内容的上述特征,可通过参照实施方式,一些实施方式绘示在随附附图中,而获得简短总结于上的实施方式更明确的说明。然而将注意到随附附图仅绘示本公开内容的典型实施方式,且因而不被认为限制本公开内容的范围,由于本公开内容可容许其他等效的实施方式。
图1为根据本公开内容的一个或多个实施方式的远程等离子体系统的截面视图;
图2为根据本公开内容的一个或多个实施方式的选择性氧化的方法的处理流程图;
图3A描绘可根据本公开内容的一个或多个实施方式处理的具有高深宽比特征的膜结构的截面视图;
图3B描绘具有根据本公开内容的一个或多个实施方式形成的共形氧化物层的图3A的膜结构的截面视图;
图4为描绘根据本文所述实施方式形成的氧化物膜的生长速率与中心至边缘的均匀度的图表;
图5为描绘基于氢气的百分比与氩的存在与否的氧化物保形性的图表;和
图6为描绘基于氢气的百分比相对于氩气的百分比的氧化物质量的图表。
为了易于理解,已经尽可能地使用相同的附图标记指示附图中共通的相同元件。预期一个实施方式的元件和特征可有利地并入其他实施方式中而不必进一步说明。
具体实施方式
接下来的说明描述用于将硅膜氧化的方法。某些细节在接下来的说明书与图1-6中说明以提供彻底理解本公开内容的各种实施方式。不在接下来的说明书中说明描述通常关于远程等离子体氧化的众所周知结构与系统的其他细节以避免不必要地混淆各种实施方式的说明。
显示在附图中的许多细节、尺寸、角度与其他特征仅为特定实施方式的示例。因此,在不背离本公开内容的精神或范围的情况下,其他实施方式可具有其他细节、部件、尺寸、角度与特征。此外,在没有下述的某些细节下,可实行本公开内容的进一步实施方式。
本文所述的实施方式将参照远程等离子体氧化处理而在下方说明。本文所述的设备说明为示例性且不应解释或理解为限制本文所述实施方式的范围。可采用能够执行远程等离子体氧化处理的其他工具而由本文所述的实施方式得益。
随着HAR结构的深宽比持续增加,变得难以在这些HAR结构内生长共形膜。例如,通过现行可得的远程等离子体氧化处理在HAR结构中形成的氧化物膜具有对于HAR结构不充足的保形性和高图案负载(例如,相较于裸露硅晶片的图案化晶片上的不均匀性)。发明人已发现在远程等离子体氧化的一些实施方式期间添加氩可改善共形氧化生长,同时改善图案负载。不被理论所约束,相信氩气的添加降低氧自由基的再结合,其增加可用于等离子体氧化处理的氧自由基浓度。因此,氩气可用于控制氧化物膜的生长速率。例如,增加氩气的流量通常会产生氧化物膜的生长速率的增加,然而减少氩气的流量通常会产生氧化物膜的生长速率的减少。此外,氩添加改善触发可靠性,由于氩具有较低游离能且因此造成更容易的等离子体形成。
可使用等离子体源与处理腔室执行本文所述方法,等离子体源例如为远程等离子体源(RPS),处理腔室设计以在高深宽比(HAR)结构(例如,沟槽电容电介质、栅极电介质和3D NAND闪存结构)中执行原子氧自由基(O)生长(例如,共形自由基氧化)。在一些实施方式中,等离子体源利用氩、氧与可选地氢的气体混合物以启动含硅材料(诸如,氮化硅(SixNy)材料,例如Si3N4)的自由基氧化。在一些实施方式中,氩、氧、与可选地氢的气体混合物具有氩的浓度在约5%至约80%范围中,例如,在约10%至约50%范围中。在一些实施方式中,等离子体启动反应以形成氮氧化硅(SiOxNy),例如,Si2N2O,作为形成氧化硅(SiO2)的中介物。
在一些实施方式中,在前驱物活化器中氩、氢与氧的结合(一些情况中具有后活化器氢注入)在高温处理(例如,~500至1100摄氏度)期间实现在非常高深宽比(例如,40:1深宽比、40:1与100:1之间深宽比、100:1深宽比、或甚至大于100:1的深宽比)结构中的膜(例如,非晶硅、多晶硅或氮化硅)的高度共形氧化生长。
在一些实施方式中,在前驱物活化器中氩、氢与氧的结合(一些情况中具有后活化器氢注入)在高温处理(例如,500至1100摄氏度)期间实现改善的氧化物质量和/或避免降级质量。
图1绘示可用于执行本文所述方法的基板处理系统100。其他沉积腔室也可从本公开内容得益,并且本文公开的参数可根据用于形成本文所述的HAR结构的特定沉积腔室而改变。例如,其他沉积腔室可具有较大或较小空间,需要大于或小于描述对于可由应用材料公司获得的沉积腔室的气体流率的气体流率。
基板处理系统100包括热处理腔室102与前驱物活化器180,前驱物活化器180耦接至热处理腔室102并用于远程地提供等离子体的自由基至热处理腔室102的处理区113。前驱物活化器180也可用于提供非等离子体的活化气体混合物,例如通过施加能量至气体,其不显着地离子化气体。热处理腔室102具有由一或多个侧壁114(例如,四个侧壁)与基底115围住的处理区113。侧壁114的上部分可被密封于窗组件117(例如,使用“O”环)。辐射能组件118定位在窗组件117上方并耦接至窗组件117。辐射能组件118具有多个灯119,其可为钨丝卤素灯,各自安装进入插座121并定位以放射电磁辐射进入处理区113。图1的窗组件117具有多个光导管141,但窗组件117可只具有平坦实心窗而没有光导管。窗组件117具有外壁116(例如,圆柱外壁),其形成围住窗组件117并环绕其周围的边框。窗组件117也具有覆盖多个光导管141的第一端的第一窗120与覆盖多个光导管141的第二端的第二窗122,第二端相对于第一端。第一窗120与第二窗122延伸于并啮合于窗组件117的外壁116以包围并密封窗组件117的内部,内部包括多个光导管141。在此种情况,当使用光导管时,通过透过导管153穿过外壁116施加真空至多个光导管141的一个,其依序地流体地连接至剩下的光导管,而可在多个光导管141中产生真空。
基板101由处理区113内的支撑环162被支撑在热处理腔室102中。支撑环162安装在可旋转圆柱163上。通过旋转可旋转圆柱163,致使支撑环162与基板101在处理期间旋转。热处理腔室102的基底115具有用于在处理期间反射能量至基板101的背侧上的反射表面111。或者,分开的反射器(未示出)可定位在热处理腔室102的基底115与支撑环162之间。热处理腔室102可包括多个温度探针171,设置穿过热处理腔室102的基底115以检测基板101的温度。在如上述使用分开的反射器的情况中,温度探针171也设置穿过用于光学近接于来自基板101的电磁辐射的分开的反射器。
可旋转圆柱163由磁性转子164支持,当可旋转圆柱163与磁性转子164两者安装在热处理腔室102时,磁性转子164为具有其上安放可旋转圆柱163的壁架(ledge)165的圆柱构件。磁性转子164具有在壁架165之下的磁性区166中的多个磁铁。磁性转子164设置在环形壁160中,环形壁160位于沿着基底115的热处理腔室102的周围区。盖体173安放在基底115的周围部分上并在环形壁160上方延伸朝向可旋转圆柱163与支撑环162,留下在盖体173与可旋转圆柱163和/或支撑环162之间的公差间隙。盖体173通常保护磁性转子164免于暴露至处理区113中的处理环境。
磁性转子164由来自设置环绕基底115的磁性定子167的磁能而旋转。磁性定子167具有多个电磁铁168,其在基板101的处理期间按照旋转图案被赋能,以形成提供磁能以旋转磁性转子164的旋转磁场。磁性定子167由支撑件170耦接至线性致动器169(在此情况中为螺旋传动)。操作线性致动器169沿着热处理腔室102的轴172移动磁性定子167,其依序地沿着轴172移动磁性转子164、可旋转圆柱163、支撑环162和基板101。
处理气体透过腔室入口175提供至热处理腔室102,且透过定位在页面外且通常沿着如腔室入口175与支撑环162的相同平面的腔室出口(未在图1示出)而排空。基板透过形成在侧壁114中且显示在图1的背面处的进出口174而进入或离开热处理腔室102。不在本文中说明基板传输处理。
前驱物活化器180具有环绕内部空间184的主体182,离子、自由基和电子的等离子体183可形成在内部空间184。石英或蓝宝石的衬垫185保护主体182免于等离子体的化学腐蚀。内部空间184较佳地不具有会吸引带电粒子(例如,离子)的任何电势梯度存在。气体入口186设置在主体182的第一端187并相对于位在主体182的第二端189的气体出口188。当前驱物活化器180耦接于热处理腔室102时,气体出口188通过至腔室入口175的输送线190与热处理腔室102流体连通,使得产生在内部空间184内的等离子体183的自由基供给至热处理腔室102的处理区113。气体出口188可具有大于气体入口186的直径以允许将激发的自由基以目标流率有效率地排出,并最小化自由基与衬垫185之间的接触。若达到目标,分开的孔口可嵌入在气体出口188处的衬垫185内,以减少在气体出口188处内部空间184的内部尺寸。气体出口188(或孔口,若被使用)的直径可选定以提供处理区113与前驱物活化器180之间的压差。可选定压差以产生流进热处理腔室102的离子、自由基和分子的组成物,其适以在热处理腔室102中执行的处理。
为了提供用于等离子体处理的气体,第一气体源192经由四通阀194的第一输入端与阀197而耦接至气体入口186,阀197用于控制由第一气体源192释放的气体的流率。四通阀194的第二输入端可耦接于第二气体源198。四通阀的第三输入端可耦接于第三气体源199。第一气体源192、第二气体源198和第三气体源199的每一者可为或包括含氮气体、含氧气体、含硅气体、含氢气体、或诸如氩或氦的等离子体形成气体的一种或多种。流量控制器196连接至四通阀194以取决于将执行的处理将阀切换于不同位置之间。流量控制器196也控制四通阀194的切换。
前驱物活化器180可耦接至能量源(未示出)以提供激发能(诸如具有微波或RF频率的能量)至前驱物活化器180以活化由第一气体源192行进的处理气体成为等离子体183。在使用含氮气体(例如,N2)的情况下,前驱物活化器180中的等离子体活化产生N*自由基、诸如N+与N2 +的正电离子及内部空间184中的电子。通过将前驱物活化器180定位在热处理腔室102的处理区113的远程,可最小化暴露于离子的基板。离子会损害半导体基板上的敏感结构,然而自由基是反应性的且可用于执行有利的化学反应。使用诸如前驱物活化器180的活化气体源促使基板101暴露于自由基并最小化暴露于离子的基板101。
在一些实施方式中,第二氢气源(未示出)与热处理腔室102流体耦接。第二氢气源输送氢气至处理区113,其中通过包含从前驱物活化器180输送至处理区113的氧与氩的远程等离子体来活化氢气。在目标为高百分比氢气的一些实施方式中,可通过第三气体源199与第二氢气源两者将氢气供给至处理区113。
在一些实施方式中,第二氩气源(未示出)与热处理腔室102耦接。第二氩气源将氩气输送至处理区113,其中由从前驱物活化器180输送至处理区113的远程等离子体来活化氩气。在目标为高百分比氩气的一些实施方式中,可通过第二气体源198与第二氩气源两者将氩气供给至处理区113。
图2为根据本公开内容的一个或多个实施方式的氧化的方法200的处理流程图。方法200可用于使膜氧化,诸如,例如非晶硅膜、多晶硅膜、氮化硅膜、氧化铝膜、氧化硅膜和类似物。图3A描绘可根据方法200处理的具有高深宽比特征的膜结构的截面视图。图3B描绘根据方法200形成的具有共形氧化物层的图3A的膜结构的截面视图。虽然在下方参照可形成在利用于制造用于三维半导体装置的膜堆叠中阶梯状结构的膜堆叠之上的高深宽比结构来说明方法200,方法200也可用于有利于其他装置制造应用。例如,方法200也可用于有利于DRAM(例如,凹陷沟道阵列晶体管“RCAT”)。此外,应理解到图2描述的操作可同时地执行和/或以与图2所述次序为不同的次序而执行。此外,方法200可用于有利于膜的选择性氧化与非选择性氧化。
方法200开始于操作210,通过将基板定位进处理腔室中,诸如图1所绘的热处理腔室102。基板可为其上形成具有膜结构300或膜结构300的任何子集的基板302。没有膜结构的基板302(即,仅为基板302)也可根据方法200来处理。膜结构300可具有形成于其中的高深宽比特征340。限定高深宽比特征340的表面在此实质上垂直于基板302,但具有锥形、斜角、倾斜、或弯曲表面的其他类型特征可使用方法200来处理。注意到高深宽比特征340提供进出口至HAR结构的表面,例如用于气体传递和/或反应物移除。随着深宽比增加,HAR结构的表面积与特征的深度同样地增加。随着深宽比增加,由于氧自由基耗乏而渐增地阻碍HAR结构的表面的共形自由基氧化,特别是接近高深宽比特征340的底部。此种氧自由基耗乏造成培养时间增加及相应地减少共形氧化物膜的生长速率。如本文所述,氩添加至自由基等离子体氧化处理降低接近高深宽比特征340底部的氧再结合,其增加用于共形自由基氧化的氧自由基的可用性,造成共形氧化物膜的增加的生长速率。尽管活化氩可通过与高深宽比特征340中的其他物种反应而去活化,活化氩通过附接于高深宽比特征340的表面而不被进一步消耗。因此,更多活化氩可渗透至高深宽比特征340底部以反应与再活化气相氧,及诸如氢的其他物种,其会在至高深宽比特征340的传送中已去活化。活化氩因此添加化学势能至高深宽比特征340中的气体混合物,增加整体反应性,特别是在高深宽比特征340底部处。
虽然只有一个高深宽比特征340显示在图3中,应理解到方法200可用于具有形成在膜结构300中多个高深宽比特征的基板。在一些实施方式中,膜结构300可包括栅极结构、或前驱物结构,用于三维NAND半导体应用。在制造三维NAND半导体应用中,结构的阶梯状氧化物-氮化物对通常用于形成高深宽比栅极堆叠NAND单元以增加电路密度。
膜结构300可形成在基板302上。膜结构300具有连续地形成在基板302上的多个材料层堆叠3061、3062、3063、3064…306n(统称306)。多个材料层堆叠306的各材料层堆叠可包括第一膜层3081、3082、3083、3084…308n(统称308)及形成于第一膜层308上的第二膜层3101、3102、3103、3104…310n(统称310),使得膜结构300包括交替形成的多个第一膜层308与第二膜层310。在一些实施方式中,多个第一膜层308是氧化硅层而多个第二膜层310是氮化硅层。多个材料层堆叠306可通过等离子体处理腔室中的PECVD沉积技术来形成。
在进一步实施方式中,第一材料层/第二材料层堆叠可为氧化物/硅、硅/掺杂硅、或硅/氮化物。所有的这些材料组合可用于位-成本可扩展(Bit-Cost Scalable;BiCS)、兆位单元阵列晶体管(Terabit Cell Array Transistor;TCAT)、DRAM和其他3D存储器结构。在其他实施方式中,第一材料层与第二材料层堆叠可为其他材料组合。基板302上第一膜层308与第二膜层310的沉积顺序也可颠倒。
层的数目可取决于制造的存储器装置。在一些实施方式中,堆叠数可为8x、或16x、或24x、或甚至更高,其中8、16、24、32、64、128或更多层的各堆叠对应于一个存储器装置。不同材料的两层形成每一个堆叠,所以对于8x堆叠数的层的对应数目可为16,16x堆叠数可具有32层,24x堆叠数可具有48层,并且更高堆叠数可具有分别地更高的层数目。
在一些实施方式中,基板302可具有实质上平面表面、不平坦表面、或具有形成于其上的结构的实质上平面表面。基板302可为材料,诸如晶体硅(例如,Si<100>或Si<111>)、氧化硅、应变硅、硅锗、掺杂或未掺杂多晶硅、掺杂或未掺杂硅晶片及图案化或未图案化晶片、绝缘体上硅(SOI)、碳掺杂氧化硅、氮化硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石。基板302可具有各种形状与尺寸,诸如200mm或300mm直径晶片与矩形或方形面板。除非另外提出,本文所述实施方式与实例指称基板具有300mm直径。在一些实施方式中,基板302可为晶体硅基板(例如,单晶硅或多晶硅)。
高深宽比特征340具有开口350。由底表面360与侧壁370限定高深宽比。在一些实施方式中,底表面360为暴露硅或含硅表面(例如,单晶硅表面)。在一些实施方式中,底表面360为暴露锗或含锗表面。在一些实施方式中,由基板302的暴露表面限定底表面360。在高深宽比特征340不延伸至基板302的表面的一些实施方式中,可由材料层堆叠306或基底层(若存在)来限定底表面360。由多个材料层堆叠306限定侧壁370。
本文所述方法改善形成在高深宽比特征340中的层的保形性,高深宽比特征340在深宽比(裸露孔的高度除以孔的宽度的比率)为至少约5:1或更大(例如,深宽比为6:1或更大、7:1或更大、8:1或更大、9:1或更大、10:1或更大、11:1或更大、12:1或更大、20:1或更大、50:1或更大、100:1或更大、16:7或更大、或约10:1至约20:1、或在范围为约30:1至约50:1;或在范围为约40:1至约100:1;或在范围为约70:1至约100:1)。特征定义的实例包括沟道、通孔、沟槽、间隙、线路、触点孔、穿孔或半导体、太阳能、或其他电子装置中使用的其他特征定义,诸如高深宽比接触插头。
在一些实施方式中,基板302定位在非反应性气氛下的处理区113中并经受温度与压力渐升处理。当作非反应性的气体包括但不限于氮气(N2)、氦(He)、氩(Ar)、氖(Ne)和氙(Xe)。在温度与压力逐步上升之前和/或在温度与压力逐步上升期间,含氢、氩和/或氧气体可供给进入处理区113。含氢、氩和/或氧气体可直接导入处理区113。在一些实施方式中,含氢、氩和/或氧气体可经由前驱物活化器180而导入处理区113。在一些实施方式中,在逐步上升期间,通过将非反应性气体流入或流出处理腔室可维持非反应性气氛。温度与压力可以任何形式(同步或连续地)上升至目标的预定处理条件。
在一些实施方式中,通过维持处理区113中的压力小于20托而执行本文所述方法,例如,约1托至约10托之间(例如,约2托与约8托之间;约2托与约3托之间、或约2.5托与约3托之间)。在一些实施方式中,通过将基板温度维持在约500摄氏度与约1100摄氏度之间来执行本文所述方法,例如约600摄氏度至约1100摄氏度之间;约700摄氏度至约800摄氏度之间;或约750摄氏度至约800摄氏度之间。
在一些实施方式中,在处理期间,腔室、基板、或此两者维持在约700摄氏度至约800摄氏度之间的温度及腔室压力在约2托与约3托之间。
在操作200,方法200可进一步包括将氢气流入处理区113。在一些实施方式中,氢气直接地导入处理区113。在一些实施方式中,氢气经由前驱物活化器180导入处理区113。在一些实施方式中,氢气直接地及经由前驱物活化器180导入处理区113。氢气可在温度与压力逐步上升期间供给至处理腔室或在达到设定温度之后被流动以用于较佳处理控制。在一些实施方式中,设定温度包括上述的基板温度。虽然使用氢气(H2),也可使用诸如氨(NH3)的其他气体。
对于在合适尺寸腔室中的300mm基板,用于300毫米基板的H2的流率可从约0.01slm至约20slm(例如,从约1slm至约10slm)。氢可流入腔室以维持整体腔室压力为约0.01托至约10托(例如,约0.5托与约8托之间;约0.5托与约5托之间;约2托与约3托之间;或约2.5托与约3托之间)。在一些实施方式中,基板温度可逐步上升至约500摄氏度与约1100摄氏度之间,诸如约800摄氏度。
在一些实施方式中,在操作230的从等离子体前驱物气体混合物产生等离子体之前,将氧与氩的至少一者导入处理区113。氧和/或氩可直接地导入处理区113。或者,氧和/或氩可经由前驱物活化器180导入处理区113。在一些实施方式中,将氧和/或氩导入处理区113持续约5秒与约30秒之间的期间,例如对于300mm基板为约15秒。相信在导入等离子体物种之前的氧和/或氩气体混合物的流动以提供处理区113连续的热和压力稳定。稳定处理可在操作230之前执行,或可与操作230部分重叠。
在操作230,方法200进一步包括产生来自至少包含氧气、氩气和可选地氢气的等离子体前驱物气体混合物的远程等离子体。虽然使用氧(O2)气,可使用诸如一氧化二氮(N2O)的其他气体。在一些实施方式中,氧气、氩气和可选地氢气的流率逐步上升至设定点以允许温度、压力和流量控制以响应反应起始。不局限于理论,相信等离子体前驱物气体混合物中包括的氢进一步改善HAR结构中氧化的保形性及增加氧化物膜的生长速率。在一些实施方式中,远程等离子体产生在前驱物活化器180中。由第一气源192将氧供给至前驱物活化器180,由第二气源198将氩气供给至前驱物活化器180,并且由第三气源199将氢气供给至前驱物活化器180。
在操作230中,对于300毫米基板,氧气以从约0.01slm至约15slm流入前驱物活化器180(例如,从约1slm至约10slm用于300毫米基板)。氧气可与氩气及氢气混合以形成等离子体前驱物气体混合物。在一些实施方式中,氩气以从约0.01slm至约15slm流入前驱物活化器180用于300毫米基板(例如,从约1slm至约10slm用于300毫米基板)。在一些实施方式中,氢气以从约0.01slm至约20slm流入前驱物活化器180(例如,从约1slm至约10slm用于300毫米基板)。在一些实施方式中,等离子体前驱物气体混合物包括额外惰性气体。额外惰性气体可包括诸如氦或氪的气体。等离子体前驱物气体混合物可接着使用能量源而转换为等离子体。能量源可为RPS、磁控管型等离子体源、改质磁控管型(MMT)等离子体源、远程等离子体氧化(RPO)源、电容耦合等离子体(CCP)源、电感耦合等离子体(ICP)源、微波源、紫外线辐射源、或环状等离子体源。
在等离子体中不包括氢但直接地提供氢至处理区113的一些实施方式中,等离子体前驱物气体混合物包括氧(O2)及氩(Ar),及至多约55%的氩浓度提供有益效果于膜生长速率和保形性。超过约55%,有益效果会实现成较小程度。相对于氧与氩的总体的氩浓度为至少0.5%到至多55%,诸如20%至50%、或30%至40%、例如35%。在此种情况,等离子体前驱物气体中氧浓度为至少19.5%到至多95.5%,诸如45%到至多95.5%,诸如50%至80%、或60%至70%、例如65%。
在一些实施方式中,其中等离子体前驱物气体混合物包括氧(O2)、氩(Ar)和氢(H2),相对于氧、氩和氢的总体的氩浓度为至少0.5%到至多80%,诸如20%至50%、或30%至40%、例如35%。在此种情况,等离子体前驱物气体中氧浓度为至少20%到至多95.5%,诸如45.5%至90%、或50%至80%、或60%至70%、例如60%。还在此种情况下,相对于氧、氩和氢的总体的氢浓度为至少0.5%到至多80%,诸如5%至50%、或10%至40%、或20%至30%、例如5%。
在一些实施方式中,氧浓度(O2/(H2+O2)%)为约20%或更多。
在上述百分比范围中以总流率为约1,000sccm与50,000sccm之间(例如,约6,000sccm与约15,000sccm之间;或约10,000sccm与约35,000sccm之间;或约25,000sccm与约35,000sccm之间)提供等离子体前驱物气体混合物。例如,当提供氧(O2)与氩(Ar)两者时,在上述百分比范围中以总流率为约10,000sccm与约50,000sccm之间,特别是约25,000sccm与约35,000sccm之间,或约30,000sccm提供氧(O2)与氩(Ar)。在等离子体形成气体包括氧(O2)、氩(Ar)和氢(H2)情况下,在上述百分比范围中以总流率为约10,000sccm与约50,000sccm之间(例如,约10,000sccm与约35,000sccm之间;或约25,000sccm与约35,000sccm之间)提供氧(O2)、氩(Ar)和氢(H2)。
用于本文所述操作的气流可通过比率而控制。气体混合物中氩对氧的比率影响形成在本文所述处理中的层的保形性与生长速率,且不同比率在不同处理中可实现最有利的结果。对于本文所述处理,使用氧气对于氩气的气流比率(O2:Ar)为1:4与50:1之间(例如,1:1至20:1;1:1至5:1;或5:1至10:1)。
使用图1的基板处理系统100,等离子体前驱物气体混合物通过暴露至RF功率而活化。暴露至RF功率将等离子体前驱物气体混合物的至少一部分游离化,形成等离子体。在约10kHz与约14MHz之间频率的RF功率以约1,000W与约5,000W之间功率电平(例如,约2,000W与约3,000W之间,或约2,500W)施加以创造等离子体。在一个实例中,使用13.56MHz频率。在另一实例中,使用较低的400kHz频率。或者,氧与氩气体混合物可通过暴露至微波源而活化,例如2.45GHz微波源。取决于通过微波源的气体流率与活化程度,微波源可以以约1,000W与约5,000W之间的功率电平操作,例如,3000W。
在操作240,方法200进一步包括将远程等离子体流入处理腔室。在氢气存在于处理区113中的一些实施方式中,远程等离子体与氢气混合以创造活化处理气体。等离子体与氢在基板上方混合,创造H、O和OH物种。在氢是等离子体前驱物气体的一部分的一些实施方式中,远程等离子体作为活化处理气体。当使用等离子体时,可选定在内部空间184与输送线190中等离子体的滞留时间及活化程度,以在等离子体到达处理区113之前提供目标量的淬火(quenching)。随着在给定活化水平的气体滞留时间增加,实现较高的等离子体淬火,且较少活性气体被提供至处理区113。类似地,当气体滞留时间减少,实现较少淬火。
在一些实施方式中,在形成活化气体之前,以惰性气体或氢气净化腔室。净化可与氧及氩等离子体的形成同时发生。同样地,氢可在氧及氩等离子体从远程等离子体源流动之前被流入腔室或氢可与氧及氩等离子体同时流动以在基板上方与氧及氩等离子体混合。
在操作250,方法200进一步包括将基板暴露至活化气体以氧化基板表面而形成氧化物膜,诸如如图3B所示的氧化硅层380。在一些实施方式中,氧化硅层是共形氧化硅层。
在操作260,控制氩的流率以增加或减少氧化物沉积速率。发明人已发现将氩流入远程等离子体源或腔室狭缝阀产生高能量氩物种,且这些高能量氩物种防止氧自由基的再结合。因此,氧化物膜的生长速率可通过增加氩气流入远程等离子体源而增加,其降低氧自由基再结合且提供增加的氧自由基浓度用于氧化物形成。此外,在适于降低氧化物膜的生长速率的一些实施方式中,减少氩气流入远程等离子体源增加氧自由基再结合,尽管氧物种浓度增加,其降低可用于氧化物膜生长的氧自由基量,造成减少的生长速率。因此,氩提供用于远程等离子体氧化处理的增加或减少氧化物膜生长速率的独立旋钮。此外,Ar添加独立于其他参数(压力、流量、温度、等等)可调整晶片内氧化均匀度。
图4为描绘根据本文所述实施方式形成的氧化物膜的生长速率与中心至边缘均匀度的图表400。如图4所绘,在远程等离子体中超过50%的氩,生长速率开始减少。如图4中进一步所绘,对于10%的H2/(H2+O2),尽管当使用氩时在处理腔室中反应前驱物气体的明显稀释,以约15%的氩的氧化物生长速率大于无氩而生长的氧化物膜约3%。不局限于理论,显示出当氩浓度减少时,活化物种的去活化增加地比反应物种(如相对于诸如氩的非反应物种)的整体浓度还快,造成随着氩浓度减少的膜生长速率中的整体降低。因此,在基于所有氩加上氧物种的至多约55%氩而其他所有条件相等的情况,本文所述方法中的膜生长速率具有与氩流率的逆关系。超过约55%,较少益处被实现。此外,沉积膜的保形性具有与氩流率的直接关系,因为较多的氩降低诸如高深宽比特征340的HAR特征中的活性物种浓度梯度。
图5为描绘基于氢气的百分比与氩的存在与否的氧化物保形性的图表500。标示为“否”的图表500部分为仅以氢气与氧气执行。标示为“是”的图表500部分为仅以氢气、氧气和氩气执行。如图表500所绘,只有在氩存在下氢气的某些百分比会产生被沉积氧化物膜的保形性的改善。
图6为描绘基于氢气的百分比相对于氩气的百分比的氧化物质量的图表600。图表600证明在无氩下氢气的某些百分比会降级氧化物质量。添加足够的氩气可消除或降低在无氩气下存在的氧化物质量的下降。
总结而言,本文所述的一些实施方式能够在HAR结构内生长共形氧化物膜(例如,硅氧化物)。发明人已经发现在远程等离子体氧化的一些实施方式期间的氩添加可改善共形氧化生长,同时改善图案负载。不局限于理论,但相信氩气的添加降低氧自由基的再结合,其增加可用于等离子体氧化处理的氧自由基浓度。因此,氩气可用于控制氧化物膜的生长速率。例如,增加氩气的流量通常会产生氧化物膜的生长速率增加,而减少氩气的流量通常会产生氧化物膜的生长速率减少。
当介绍本公开内容或其示例态样或实施方式的元件时,冠词“一(a)”、“一(an)”、“该(the)”和“该(said)”意指有着一个或多个此元件。
用语“包含”、“包括”和“具有”意为兼容性的且意指可有着所列出元件之外的额外元件。
尽管前述内容涉及本公开内容的实施方式,但在不背离本公开内容的基本范围的情况下可构思本公开内容的其他与进一步实施方式,且本公开内容的范围由随附权利要求书的范围所决定。

Claims (18)

1.一种用于氧化的方法,包含以下步骤:
以第一流率将氢气流入处理腔室的处理区,其中所述处理区具有定位在所述处理区中的基板;
以第二流率将氧气流入前驱物活化器;
以第三流率将氩气流入所述前驱物活化器;
在所述前驱物活化器中从所述氧气与氩气产生等离子体;
将所述等离子体流入所述处理区,其中所述等离子体与所述氢气混合以创造活化处理气体;和
使所述基板暴露于所述活化处理气体以在所述基板上形成氧化物膜,其中通过调整所述第三流率来控制所述氧化物膜的生长速率,
其中所述前驱物活化器中基于氩气与氧气的总量的氩气的浓度为20%与50%之间。
2.如权利要求1所述的方法,进一步包含以下步骤:增加所述第三流率以增加所述氧化物膜的生长速率。
3.如权利要求1所述的方法,进一步包含以下步骤:减少所述第三流率以减少所述氧化物膜的生长速率。
4.如权利要求1所述的方法,其中所述第二流率对于所述第三流率的比率(O2:Ar)在1:1与5:1之间。
5.如权利要求1所述的方法,进一步包含以下步骤:在将所述等离子体流入所述处理区之前,将氧气和/或氩气流入所述处理区。
6.如权利要求5所述的方法,其中所述基板保持在500摄氏度与1100摄氏度之间的温度。
7.如权利要求6所述的方法,其中所述处理区保持在0.5托与5托之间的压力。
8.如权利要求1所述的方法,进一步包含以下步骤:在产生所述等离子体之前,以第四流率将氢气流入所述前驱物活化器。
9.如权利要求1所述的方法,其中所述基板包含暴露的氮化硅表面、暴露的多晶硅表面、暴露的氧化铝表面和暴露的氧化硅表面的至少一个。
10.一种用于氧化的方法,包含以下步骤:
将基板定位在处理腔室的处理区中;
以第一流率将氢气流入前驱物活化器,其中所述前驱物活化器与所述处理区流体地耦接;
以第二流率将氧气流入所述前驱物活化器;
以第三流率将氩气流入所述前驱物活化器;
在所述前驱物活化器中从所述氢气、氧气与氩气产生等离子体;
将所述等离子体流入所述处理区;和
使所述基板暴露于所述等离子体以在所述基板上形成氧化物膜,其中通过调整所述第三流率来控制所述氧化物膜的生长速率,
其中所述前驱物活化器中基于氩气与氧气的总量的氩气的浓度为20%与50%之间。
11.如权利要求10所述的方法,进一步包含以下步骤:增加所述第三流率以增加所述氧化物膜的生长速率。
12.如权利要求10所述的方法,进一步包含以下步骤:减少所述第三流率以减少所述氧化物膜的生长速率。
13.如权利要求10所述的方法,其中所述第二流率对于所述第三流率的比率(O2:Ar)在1:1与5:1之间。
14.如权利要求10所述的方法,进一步包含以下步骤:在产生所述等离子体之前,将氢气流通过所述前驱物活化器并流进入所述处理区。
15.一种用于氧化的方法,包含以下步骤:
将基板定位在处理腔室的处理区中;
以第一流率将氢气流入前驱物活化器,其中所述前驱物活化器与所述处理区流体地耦接;
以第二流率将氧气流入所述前驱物活化器与流入所述处理区;
以第三流率将氩气流入所述前驱物活化器与流入所述处理区;
在所述前驱物活化器中从所述氢气、氧气与氩气产生等离子体;
将所述等离子体流入所述处理区;和
使所述基板暴露于所述等离子体以在所述基板上形成氧化物膜,其中通过调整所述第三流率来控制该所述氧化物膜的生长速率,
其中所述前驱物活化器中基于氩气与氧气的总量的氩气的浓度为20%与50%之间。
16.如权利要求15所述的方法,其中所述基板保持在500摄氏度与1100摄氏度之间的温度。
17.如权利要求16所述的方法,其中所述处理区保持在0.5托与5托之间的压力。
18.如权利要求17所述的方法,其中所述基板包含暴露的氮化硅表面、暴露的多晶硅表面、暴露的氧化铝表面和暴露的氧化硅表面的至少一个。
CN201880085736.XA 2018-01-15 2018-12-20 添加氩至远程等离子体氧化 Active CN111566780B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202311527074.6A CN117637438A (zh) 2018-01-15 2018-12-20 添加氩至远程等离子体氧化

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862617387P 2018-01-15 2018-01-15
US62/617,387 2018-01-15
PCT/US2018/066650 WO2019139761A1 (en) 2018-01-15 2018-12-20 Argon addition to remote plasma oxidation

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202311527074.6A Division CN117637438A (zh) 2018-01-15 2018-12-20 添加氩至远程等离子体氧化

Publications (2)

Publication Number Publication Date
CN111566780A CN111566780A (zh) 2020-08-21
CN111566780B true CN111566780B (zh) 2023-12-01

Family

ID=67212623

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201880085736.XA Active CN111566780B (zh) 2018-01-15 2018-12-20 添加氩至远程等离子体氧化
CN202311527074.6A Pending CN117637438A (zh) 2018-01-15 2018-12-20 添加氩至远程等离子体氧化

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202311527074.6A Pending CN117637438A (zh) 2018-01-15 2018-12-20 添加氩至远程等离子体氧化

Country Status (6)

Country Link
US (2) US10636650B2 (zh)
JP (2) JP7111819B2 (zh)
KR (3) KR20220143158A (zh)
CN (2) CN111566780B (zh)
TW (2) TWI698930B (zh)
WO (1) WO2019139761A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220143158A (ko) * 2018-01-15 2022-10-24 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 산화에 대한 아르곤 추가
KR20220018051A (ko) * 2019-09-12 2022-02-14 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 방법, 기록 매체 및 기판 처리 장치
CN110620078B (zh) * 2019-09-16 2022-07-08 长江存储科技有限责任公司 一种沟道孔内的阻挡氧化层生成方法
CN112838093A (zh) * 2021-01-04 2021-05-25 长江存储科技有限责任公司 半导体器件的制造方法
US20220262600A1 (en) * 2021-02-12 2022-08-18 Applied Materials, Inc. Fast gas exchange apparatus, system, and method

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005294551A (ja) * 2004-03-31 2005-10-20 Toshiba Corp シリコン系被処理物の酸化処理方法、酸化処理装置および半導体装置の製造方法
KR20150036768A (ko) * 2012-08-01 2015-04-07 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 소스를 이용한 저온에서의 선택적 산화를 위한 장치 및 방법
CN105431926A (zh) * 2014-05-16 2016-03-23 应用材料公司 使用相与应力控制的等离子体喷涂涂覆设计
CN106057637A (zh) * 2015-04-03 2016-10-26 朗姆研究公司 通过原子层沉积和原子层蚀刻沉积共形膜
TW201700766A (zh) * 2015-04-01 2017-01-01 應用材料股份有限公司 在3d nand存放裝置中用於提高豎直蝕刻性能的膜的電漿增強化學氣相沉積
TW201739038A (zh) * 2014-09-03 2017-11-01 應用材料股份有限公司 用於三維nand硬遮罩應用的奈米結晶鑽石碳膜
CN107393809A (zh) * 2016-05-06 2017-11-24 朗姆研究公司 使用pecvd沉积保形和低湿蚀刻速率的封装层的方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6743727B2 (en) 2001-06-05 2004-06-01 International Business Machines Corporation Method of etching high aspect ratio openings
JP2004087960A (ja) 2002-08-28 2004-03-18 Fujitsu Ltd 半導体装置の製造方法
US7501352B2 (en) 2005-03-30 2009-03-10 Tokyo Electron, Ltd. Method and system for forming an oxynitride layer
CN100587923C (zh) * 2005-09-22 2010-02-03 东京毅力科创株式会社 选择性等离子体处理方法和等离子体处理装置
EP1850374A3 (en) * 2006-04-28 2007-11-21 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
CN101405846B (zh) * 2006-08-28 2010-09-29 国立大学法人名古屋大学 等离子体氧化处理方法及装置
US8236706B2 (en) 2008-12-12 2012-08-07 Mattson Technology, Inc. Method and apparatus for growing thin oxide films on silicon while minimizing impact on existing structures
JP2010232240A (ja) * 2009-03-26 2010-10-14 Hitachi Kokusai Electric Inc 半導体装置の製造方法、及び半導体製造装置
US9431237B2 (en) * 2009-04-20 2016-08-30 Applied Materials, Inc. Post treatment methods for oxide layers on semiconductor devices
US8492292B2 (en) 2009-06-29 2013-07-23 Applied Materials, Inc. Methods of forming oxide layers on substrates
WO2011097178A2 (en) 2010-02-02 2011-08-11 Applied Materials, Inc. Methods for nitridation and oxidation
US9685320B2 (en) * 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8741785B2 (en) 2011-10-27 2014-06-03 Applied Materials, Inc. Remote plasma radical treatment of silicon oxide
SG2013083241A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9728401B2 (en) 2013-03-15 2017-08-08 Applied Materials, Inc. Methods for conformal treatment of dielectric films with low thermal budget
TWI612182B (zh) * 2013-09-09 2018-01-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
US9362111B2 (en) * 2014-02-18 2016-06-07 Applied Materials, Inc. Hermetic CVD-cap with improved step coverage in high aspect ratio structures
US9922840B2 (en) 2015-07-07 2018-03-20 Applied Materials, Inc. Adjustable remote dissociation
WO2017106089A1 (en) * 2015-12-18 2017-06-22 Applied Materials, Inc. Cleaning method
US10224235B2 (en) 2016-02-05 2019-03-05 Lam Research Corporation Systems and methods for creating airgap seals using atomic layer deposition and high density plasma chemical vapor deposition
JP6928810B2 (ja) 2016-05-29 2021-09-01 東京エレクトロン株式会社 側壁イメージ転写の方法
US20180076026A1 (en) 2016-09-14 2018-03-15 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
KR20220143158A (ko) * 2018-01-15 2022-10-24 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 산화에 대한 아르곤 추가

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005294551A (ja) * 2004-03-31 2005-10-20 Toshiba Corp シリコン系被処理物の酸化処理方法、酸化処理装置および半導体装置の製造方法
KR20150036768A (ko) * 2012-08-01 2015-04-07 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 소스를 이용한 저온에서의 선택적 산화를 위한 장치 및 방법
JP2015526903A (ja) * 2012-08-01 2015-09-10 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 遠隔プラズマ源を使用する低温での選択的な酸化のための装置及び方法
CN105431926A (zh) * 2014-05-16 2016-03-23 应用材料公司 使用相与应力控制的等离子体喷涂涂覆设计
TW201739038A (zh) * 2014-09-03 2017-11-01 應用材料股份有限公司 用於三維nand硬遮罩應用的奈米結晶鑽石碳膜
TW201700766A (zh) * 2015-04-01 2017-01-01 應用材料股份有限公司 在3d nand存放裝置中用於提高豎直蝕刻性能的膜的電漿增強化學氣相沉積
CN106057637A (zh) * 2015-04-03 2016-10-26 朗姆研究公司 通过原子层沉积和原子层蚀刻沉积共形膜
CN107393809A (zh) * 2016-05-06 2017-11-24 朗姆研究公司 使用pecvd沉积保形和低湿蚀刻速率的封装层的方法

Also Published As

Publication number Publication date
JP7474805B2 (ja) 2024-04-25
TW201939611A (zh) 2019-10-01
JP7111819B2 (ja) 2022-08-02
US20200251331A1 (en) 2020-08-06
US20190221427A1 (en) 2019-07-18
CN117637438A (zh) 2024-03-01
CN111566780A (zh) 2020-08-21
KR20220143158A (ko) 2022-10-24
KR102455355B1 (ko) 2022-10-18
TWI698930B (zh) 2020-07-11
WO2019139761A1 (en) 2019-07-18
TW202117846A (zh) 2021-05-01
TWI756705B (zh) 2022-03-01
US10636650B2 (en) 2020-04-28
KR20230163578A (ko) 2023-11-30
US11081340B2 (en) 2021-08-03
JP2022163040A (ja) 2022-10-25
KR20200100851A (ko) 2020-08-26
JP2021510932A (ja) 2021-04-30

Similar Documents

Publication Publication Date Title
CN111566780B (zh) 添加氩至远程等离子体氧化
US7645709B2 (en) Methods for low temperature oxidation of a semiconductor device
US7947561B2 (en) Methods for oxidation of a semiconductor device
WO2014168096A1 (ja) 回転型セミバッチald装置およびプロセス
US8546273B2 (en) Methods and apparatus for forming nitrogen-containing layers
US20100151694A1 (en) Method and apparatus for growing thin oxide films on silicon while minimizing impact on existing structures
SG176700A1 (en) Methods of forming oxide layers on substrates
US8435906B2 (en) Methods for forming conformal oxide layers on semiconductor devices
TW202027198A (zh) 用於形成過渡金屬材料的群集處理系統
US11569245B2 (en) Growth of thin oxide layer with amorphous silicon and oxidation
TWI442474B (zh) 用於在半導體裝置上形成共形氧化層的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant