KR100936694B1 - 플라즈마 발생부를 구비하는 원자층 증착 장치 - Google Patents

플라즈마 발생부를 구비하는 원자층 증착 장치 Download PDF

Info

Publication number
KR100936694B1
KR100936694B1 KR1020070139185A KR20070139185A KR100936694B1 KR 100936694 B1 KR100936694 B1 KR 100936694B1 KR 1020070139185 A KR1020070139185 A KR 1020070139185A KR 20070139185 A KR20070139185 A KR 20070139185A KR 100936694 B1 KR100936694 B1 KR 100936694B1
Authority
KR
South Korea
Prior art keywords
plasma
chamber
atomic layer
exhaust
layer deposition
Prior art date
Application number
KR1020070139185A
Other languages
English (en)
Other versions
KR20090071002A (ko
Inventor
신인철
김형일
Original Assignee
주식회사 케이씨텍
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 케이씨텍 filed Critical 주식회사 케이씨텍
Priority to KR1020070139185A priority Critical patent/KR100936694B1/ko
Publication of KR20090071002A publication Critical patent/KR20090071002A/ko
Application granted granted Critical
Publication of KR100936694B1 publication Critical patent/KR100936694B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

유도결합 플라즈마(inductively coupled plasma, ICP) 방식의 플라즈마 발생부를 구비하는 원자층 증착 장치가 개시된다. 원자층 증착 장치는 웨이퍼 상부에 구비되어 상기 웨이퍼로 서로 다른 복수의 소스가스를 제공하되, 상기 각 소스가스가 서로 독립적으로 분사되는 복수의 분사영역이 구비된 샤워헤드와 상기 샤워헤드에 구비되어 상기 프로세스 챔버 내의 배기가스를 배기시키는 배기부 및 상기 적어도 하나의 분사영역 상에 구비되어 해당 분사영역의 소스가스를 플라즈마화시키되 분사되기 전의 상기 소스가스를 유도 결합 방식으로 플라즈마화시키는 플라즈마 발생부를 포함한다. 따라서, 플라즈마 발생 효율이 우수하여 소스가스의 반응성을 향상시킬 수 있어서, 증착 속도와 효율을 향상시키고, 우수한 막질을 갖는 박막을 형성할 수 있다. 또한, 원격 방식으로 플라즈마를 발생시키므로 플라즈마의 이온 충돌로 인한 웨이퍼의 손상을 방지할 수 있다.
원자층 증착 장치, ALD, ICP, 원격 플라즈마

Description

플라즈마 발생부를 구비하는 원자층 증착 장치{ATOMIC LAYER DEPOSITION APPARATUS HAVING PALASMA GENERATING PORTION}
본 발명은 원자층 증착 장치에 관한 것으로서, 보다 상세하게는 하나의 소스가스를 플라즈마화시킴으로써 증착효율과 품질을 향상시키는 플라즈마 발생부를 구비하는 원자층 증착 장치에 관한 것이다.
최근 반도체 제조 공정에서 반도체 소자의 집적도가 높아짐에 따라 미세가공의 요구가 증가하고 있다. 즉, 미세 패턴을 형성하고, 하나의 칩 상에 셀들을 고도로 집적시키기 위해서는 박막 두께 감소 및 고유전율을 갖는 새로운 물질개발 등을 이루어져야 한다.
특히, 웨이퍼 표면에 단차가 형성되어 있는 경우 표면을 원만하게 덮어주는 단차도포성(step coverage)과 단차도포성 및 웨이퍼 내 균일성(within wafer uniformity)의 확보는 매우 중요하다. 이와 같은 요구사항을 충족시키기 위해 원자층 단위의 미소한 두께를 가지는 박막을 형성하는 방법인 원자층 증착(atomic layer deposition, ALD) 방법이 제안되고 있다.
원자층 증착 공정은 웨이퍼 표면에서 반응물질의 표면 포화 반응(surface saturated reaction)에 의한 화학적 흡착(chemisorption)과 탈착(desorption) 과정을 이용하여 단원자층을 형성하는 방법으로, 원자층 수준에서 막 두께의 제어가 가능한 박막 증착 방법이다.
원자층 증착 공정은 두 가지 이상의 소스가스를 각각 교대로 유입시키고, 각 소스가스의 유입 사이에 불활성 기체인 퍼지가스를 유입시킴으로써 웨이퍼 표면에서 상기 소스가스들이 반응하여 소정의 박막이 형성된다. 즉, 하나의 소스가스가 웨이퍼 표면에 화학적으로 흡착(chemical adsorption)된 상태에서 후속하여 다른 하나의 소스가스가 제공되면, 상기 웨이퍼 표면에서 상기 두 가지 소스가스가 화학적으로 반응함으로써 상기 웨이퍼 표면에 한층의 원자층이 생성된다. 그리고, 이와 같은 공정을 한 주기로 하여 원하는 두께의 박막이 형성될 때까지 반복함으로써 소정 두께의 박막이 형성된다.
그러나, 기존의 원자층 증착 공정은 소스가스의 반응성이 약하여 다양한 종류의 물질을 이용하여 박막을 형성하기가 어려운 문제점이 있다.
이와 같은 문제점을 해결하기 위하여, 플라즈마 또는 열처리를 통하여 소스가스의 반응성을 향상시키는 방법이 있다. 그러나, 열처리를 이용하는 경우 고온에 의해 웨이퍼가 손상되는 문제점이 있으며, 플라즈마를 이용하는 경우에는 플라즈마 입자가 웨이퍼에 직접 충돌함으로써 웨이퍼를 손상시키는 문제점이 있다.
그런데, 원격 플라즈마 방식은 웨이퍼가 수용된 프로세스 챔버와 격리 형성된 플라즈마 챔버 내에서 플라즈마를 발생시키고, 이와 같이 발생된 플라즈마에서 라디칼만을 웨이퍼로 제공하는 방식이다. 통상적으로 플라즈마를 발생시키면 비교 적 수명이 길고 에너지가 작은 전기적으로 중성인 라디칼(활성종)과 비교적 수명이 짧고 에너지가 큰 하전(荷電)된 이온 등이 동시에 발생한다. 여기서, 원격 플라즈마 방식에서는 상기 플라즈마 챔버에서 상기 웨이퍼로 플라즈마가 제공되는 과정에서 수명이 짧은 이온은 모두 소멸되어 라디칼만 상기 웨이퍼로 제공된다. 따라서, 반응성이 큰 이온이 직접 웨이퍼에 충돌함으로써 상기 웨이퍼가 손상되는 문제점을 해결할 수 있다.
한편, 종래의 원격 플라즈마 방식은 플라즈마 챔버가 프로세스 챔버의 외부에 위치하여 있어서, 라디칼을 제공하는 동안 재결합으로 인해 플라즈마 효율이 감소되는 문제점이 있다.
그러나, 원격 플라즈마 방식에서 처리능력을 높이기 위해서 전극에 인가되는 전원의 세기를 증가시키면 상기 플라즈마 챔버 뿐만 아니라 상기 프로세스 챔버 전체에 대해 플라즈마가 생성되는 문제점이 있다. 이로 인해 라디칼 뿐만 아니라 이온도 상기 웨이퍼에 도달하게 됨으로써, 상기 이온에 의한 상기 웨이퍼의 손상이 발생하는 문제점이 있다.
본 발명은 상기한 종래의 문제점을 해결하기 위한 것으로서, 원자층 증착 효율을 향상시키기 위한 플라즈마 발생부를 구비하는 원자층 증착 장치를 제공하기 위한 것이다.
또한, 본 발명은 플라즈마에 의한 처리 효율은 향상시키면서도 플라즈마에 의한 웨이퍼의 손상은 방지하는 플라즈마 발생부를 구비하는 원자층 증착 장치를 제공하기 위한 것이다.
상술한 본 발명의 목적을 달성하기 위한 본 발명의 실시예들에 따르면, ICP 방식의 원격 플라즈마 발생부를 구비하는 원자층 증착 장치가 개시된다. 상기 원자층 증착 장치는 웨이퍼로 서로 다른 복수의 소스가스를 제공하는 샤워헤드와 상기 샤워헤드 상에서 적어도 하나 이상의 소스가스를 플라즈마화시키도록 구비되되, 분사되기 전의 소스가스를 유도 결합 방식(inductively coupled plasma, ICP)으로 플라즈마화시키고, 상기 프로세스 챔버와 분리된 플라즈마 챔버에서 플라즈마를 발생시키는 플라즈마 발생부를 구비한다.
여기서, 상기 샤워헤드에는 프로세스 챔버 내의 배기가스를 배기시키는 배기부가 구비되고, 상기 배기부는 상기 플라즈마 발생부와 일부 연결되어 상기 플라즈마 발생부의 압력을 강하시키는 역할을 한다.
실시예에서, 상기 플라즈마 발생부는 상기 소스가스의 공급 유로 상에 구비 되어 상기 소스가스를 수용하고 플라즈마를 발생시키는 소정의 공간을 제공하는 플라즈마 챔버를 구비한다. 그리고, 상기 플라즈마 발생부는 상기 소스가스를 플라즈마로 여기시키기 위한 전기장을 형성하는 플라즈마 안테나가 구비되고, 상기 플라즈마 안테나에 고주파 전원을 인가하는 전원공급부가 구비된다. 그리고, 상기 플라즈마 안테나에 의한 자기장에 의한 영향이 상기 프로세스 챔버로 미치는 것을 방지하기 위해 상기 플라즈마 챔버 내에는 절연부재가 구비된다.
실시예에서, 상기 배기부는 상기 프로세스 챔버와 연통되는 복수의 배기홀이 형성되고, 내부에 부압이 형성되어 상기 프로세스 챔버 내의 배기가스를 배기시키기 위한 소정의 공간을 제공하는 배기챔버와 상기 배기챔버에 부압을 제공하는 배기라인을 포함한다. 그리고, 상기 배기챔버의 일측과 상기 플라즈마 발생부가 연결되어 상기 플라즈마 챔버의 압력을 강하시키는 압력조절부가 구비된다.
실시에에서, 상기 플라즈마 발생부 하부에는 상기 플라즈마를 상기 프로세스 챔버로 분사하기 위한 복수의 분사홀이 형성된 배플 플레이트가 구비된다. 그리고, 상기 압력조절부는 상기 플라즈마 발생부와 상기 배플 플레이트 사이로 연결된다.
본 발명에 따르면, 첫째, 플라즈마 발생부를 구비하므로 상기 플라즈마 발생부가 소스가스를 플라즈마화시켜 제공함으로써 소스가스의 반응성을 향상시키고, 박막의 증착 속도를 향상시킨다.
둘째, 프로세스 챔버와 분리된 플라즈마 챔버를 구비하는 플라즈마 발생부를 구비하여 플라즈마에서 라디칼만을 제공하는 원격 방식으로 플라즈마를 발생시킨다. 더불어, 상기 플라즈마 발생부는 유도 결합 방식으로 플라즈마를 발생시킴으로써 플라즈마 발생효율은 향상시키고 플라즈마의 이온 충격에 의한 웨이퍼의 손상은 방지할 수 있다.
셋째, 저온 및 저압하에서 플라즈마를 발생시키고, 원자층 증착 공정이 수행되므로 박막의 막질을 향상시킨다.
상술한 바와 같이, 본 발명의 바람직한 실시예를 참조하여 설명하였지만 해당 기술분야의 숙련된 당업자라면 하기의 청구범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.
이하 첨부된 도면들을 참조하여 본 발명의 바람직한 실시예를 상세하게 설명하지만, 본 발명이 실시예에 의해 제한되거나 한정되는 것은 아니다.
도 1은 본 발명의 일 실시예에 따른 원자층 증착 장치를 설명하기 위한 사시도이고, 도 2는 도1의 원자층 증착 장치의 측단면도이다. 도 3은 도 1의 원자층 증착 장치에서 샤워헤드를 저면에서 보여주는 사시도이다.
이하, 도 1 내지 도 3을 참조하여, 본 발명의 일 실시예에 따른 원자층 증착 장치에 대해 상세하게 설명한다.
도면을 참조하면, 원자층 증착 장치(100)는 프로세스 챔버(20), 서셉터(30), 배기부(140), 샤워헤드(10) 및 플라즈마 발생부(150)를 포함하여 이루어진다.
상기 프로세스 챔버(20)는 웨이퍼(W)를 수용하고, 상기 웨이퍼(W)에 대한 원자층 증착 공정이 수행되는 소정 체적의 공간을 제공한다.
상기 프로세스 챔버(20) 내에서 미반응 소스가스(S1, S2)와 원자층 증착 공정에서 발생할 수 있는 반응 부산물 등과 같은 배기가스를 배기시키기 위한 배기부(140)가 구비된다. 예를 들어, 상기 배기부(140)는 상기 샤워헤드(10)의 중앙 부분에 구비된다.
상세하게는, 상기 배기부(140)는 상기 프로세스 챔버(20)와 연통되는 복수의 배기홀(142)이 형성된 배기챔버(141)와 상기 배기챔버(141) 내에 부압을 제공하여 상기 프로세스 챔버(20) 내의 배기가스를 상기 배기챔버(141) 내로 흡입시키는 배기라인(145)을 포함한다.
상기 서셉터(30)는 상기 프로세스 챔버(20) 내에 구비되어 상기 웨이퍼(W)를 지지한다. 예를 들어, 상기 서셉터(30)는 복수의 웨이퍼(W)가 동시에 안착되어, 상기 복수의 웨이퍼(W)에 대해 동시에 원자층 증착 공정이 수행될 수 있다.
여기서, 상기 서셉터(30)는 상기 복수의 웨이퍼(W)가 동일한 평면 상에 배치되도록 상기 웨이퍼(W)가 안착된다. 즉, 상기 웨이퍼(W)는 박막이 증착될 면이 상부를 향하도록 상기 서셉터(30) 상에 그 이면이 안착되고, 상기 복수의 웨이퍼(W)가 상기 서셉터(30) 상에 방사상으로 배치된다.
참고적으로, 도면에서 미설명된 도면부호 35는 상기 서셉터(30)의 회전을 위 한 구동력을 전달하는 회전 구동부(35)이다.
상기 샤워헤드(10)는 상기 프로세스 챔버(20) 상부에 구비되어 상기 웨이퍼(W)로 소정의 소스가스(S1, S2)를 제공한다.
예를 들어, 상기 소스가스(S1, S2)는 상기 웨이퍼(W) 상에 형성하고자 하는 박막을 조성하는 원료 물질을 포함하는 가스이다. 특히, 원자층 증착 공정은 서로 다른 복수의 소스가스(S1, S2)를 제공하고 상기 웨이퍼(W) 표면에서 상기 소스가스(S1, S2)들을 화학적으로 반응시킴으로써, 상기 웨이퍼(W) 상에 소정의 박막을 형성하게 된다. 그리고, 원자층 증착 공정에서는 상기 소스가스(S1, S2)가 제공되는 사이사이에는 상기 프로세스 챔버(20) 내에 잔류하는 미반응 소스가스(S1, S2)를 퍼지시키기 위한 소정의 퍼지가스(PG)가 제공된다.
이하, 본 실시예에서는 편의상 서로 다른 2 종류의 소스가스(S1, S2)와 1 종류의 퍼지가스(PG)를 제공하는 원자층 증착 장치를 예로 들어 설명한다.
상기 소스가스(S1, S2)는 상기 웨이퍼(W)의 종류 또는 증착하고자 하는 박막의 종류에 따라 달라질 수 있다. 예를 들어, 제1 소스가스(S1)로는 알루미늄(Al), 규소(Si), 티타늄(Ti), 갈륨(Ga), 게르마늄(Ge) 등을 포함하는 가스 중 어느 하나의 가스 또는 둘 이상 혼합된 가스를 사용할 수 있다. 그리고, 제2 소스가스(S2)는 상기 제1 소스가스(S1)와 화학적으로 반응하여 박막을 구성하는 다른 물질을 포함하는 가스로서, 예를 들어, 상기 제2 소스가스(S2)로는 산소 가스(O2) 또는 수증기(H2O)를 사용할 수 있다.
그리고, 상기 퍼지가스(PG)는 미반응 소스가스(S1, S2)와 증착 공정에서 발 생하는 부산물을 퍼지시키기 위한 가스로서, 상기 소스가스(S1, S2) 및 상기 웨이퍼(W)와 화학적으로 반응이 발생하지 않는 가스를 사용한다. 예를 들어, 상기 퍼지가스(PG)로는 아르곤(Ar) 또는 질소 가스(N2)와 같은 불활성 가스를 사용할 수 있다.
한편, 본 실시예에서는 상기 샤워헤드(10)에서 상기 소스가스(S1, S2)와 상기 퍼지가스(PG)가 서로 혼합되지 않도록 각각 독립적으로 분사되는 분사영역이 형성된다.
상세하게는, 상기 분사영역은 제1 소스가스(S1)가 분사되는 제1 소스영역(110)과 제2 소스가스(S2)가 분사되는 제2 소스영역(120) 및 상기 퍼지가스(PG)가 분사되는 퍼지영역(130)이 각각 형성된다.
상기 샤워헤드(10)에는 상기 소스영역(110, 120)으로 상기 소스가스(S1, S2)를 제공하는 소스라인(115, 125)과 상기 퍼지영역(130)으로 상기 퍼지가스(PG)를 제공하는 퍼지라인(135)이 각각 연결된다. 그리고, 상기 각 소스영역(110, 120)과 상기 퍼지영역(130)에서 상기 프로세스 챔버(20) 내측에 구비된 부분에는 상기 소스가스(S1, S2)와 상기 퍼지가스(PG)를 균일하게 분사하기 위한 복수의 분사홀(106)이 형성된 배플 플레이트(105)가 각각 구비된다.
한편, 상기 샤워헤드(10)에서 상기 소스가스(S1, S2)가 기체 상태에서 혼합되는 것을 방지하기 위해서, 상기 소스영역(110, 120)의 사이사이에는 상기 퍼지영역(130)이 배치되어, 상기 소스영역(110, 120)을 분리시킬 수 있다.
예를 들어, 상기 샤워헤드(10)에는 4개의 분사영역이 형성되고, 상기 제1 소 스영역(110), 제1 퍼지영역(131), 제2 소스영역(120) 및 제2 퍼지영역(132)이 교대로 형성되되, 상기 4 개의 분사영역이 상기 샤워헤드(10) 상에서 방사상으로 배치될 수 있다.
상기 서셉터(30)와 상기 샤워헤드(10)는 서로에 대해 회전 가능하게 구비된다. 본 실시예에서는 상기 서셉터(30)가 회전 가능하게 구비된다. 그러나, 본 발명이 이에 한정되는 것은 아니며, 상기 샤워헤드(10)가 회전하도록 구성하는 것도 가능할 것이다.
즉, 상기 서셉터(30)가 회전함에 따라 상기 웨이퍼(W)가 상기 분사영역들을 순차적으로 통과하게 되고, 상기 웨이퍼(W)가 상기 분사영역들을 모두 통과하면 상기 웨이퍼(W) 상에 한 층의 원자층이 증착된다. 그리고, 이와 같이 상기 웨이퍼(W)를 지속적으로 회전시킴으로써 상기 웨이퍼(W) 상에 소정 두께를 갖는 박막을 증착시킬 수 있다.
한편, 상기 샤워헤드(10)에서 적어도 하나의 소스영역(110, 120) 상에는 상기 소스가스(S1, S2)를 플라즈마화시키는 플라즈마 발생부(150)가 구비된다. 상기 플라즈마 발생부(150)는 상기 소스영역(110, 120) 상에 구비되어 상기 소스가스(S1, S2)를 플라즈마화 시킴으로써, 상기 소스가스(S1, S2)의 반응성을 향상시키고, 상기 프로세스 챔버(20) 내의 플라즈마 밀도를 증가시킴으로써, 박막의 증착 속도를 증가시키고, 막질을 향상시킨다.
예를 들어, 상기 플라즈마 발생부(150)는 상기 제2 소스영역(120) 상에 구비되어 상기 제2 소스가스(S2)를 플라즈마화시킨다.
그리고, 상기 플라즈마 발생부(150)는 유도 결합 방식(inductively coupled plasma, ICP, 이하, ICP라 한다)으로 플라즈마(P)를 발생시킨다.
이하, 도 2 내지 도 4를 참조하여 본 발명의 일 실시예에 따른 플라즈마 발생부(150)에 대해 상세하게 설명한다.
도면을 참조하면, 상기 ICP 방식의 플라즈마 발생부(150)는 상기 제2 소스가스(S2)의 공급 유로 상에 제공되어 플라즈마(P)의 발생 공간을 제공하는 플라즈마 챔버(151)와, 상기 플라즈마 챔버(151) 내에 구비되어 고주파 전원이 인가되면 상기 플라즈마 챔버(151) 내에 전기장을 형성하는 플라즈마 안테나(152)를 포함한다. 또한, 상기 플라즈마 안테나(152)에 고주파 전원을 인가하는 전원공급부(155)가 구비된다.
그리고, 상기 플라즈마 안테나(152)에 의한 영향이 상기 프로세스 챔버(20) 내에 미치는 것을 방지할 수 있도록 상기 플라즈마 챔버(151) 내에는 절연부재(156)가 구비될 수 있다. 예를 들어, 상기 절연부재(156)는 상기 플라즈마 챔버(151) 내를 둘러싸도록 구비된 유전체 플레이트이다. 또한, 상기 절연부재(156)은 상기 플라즈마 안테나(152) 하부에도 구비될 수 있다.
상기 플라즈마 안테나(152)는 상기 플라즈마 챔버(151) 내에 소정의 전기장을 형성하여 상기 제2 소스가스(S2)를 플라즈마(P)화 시킨다. 특히, 상기 플라즈마 안테나(152)는 상기 라디칼(P1)을 상기 프로세스 챔버(20) 쪽으로 가속시키는 방향으로 전기장을 형성하도록 구비된다. 예를 들어, 상기 플라즈마 챔버(151) 내측 상부에는 플라즈마 안테나(152)가 구비되고, 상기 플라즈마 챔버(151) 상부에 상기 제2 소스라인(125)이 연결되어 상기 플라즈마 챔버(151) 상부에서 하부로 상기 제2 소스가스(S2)가 제공된다. 그리고, 상기 플라즈마 챔버(151) 하부에 배치된 배플 플레이트(105)는 접지되어 상기 플라즈마 안테나(152)에 대한 하부 전극의 역할을 할 수 있다. 여기서, 상기 배플 플레이트(105)에도 또 다른 고주파 전원이 인가될 수 있다.
상기 플라즈마 챔버(151)는 상기 제2 소스가스(S2)를 수용하여 플라즈마(P)를 발생시키고, 상기와 같이 발생된 플라즈마(P)에서 라디칼(P1)을 상기 웨이퍼(W)로 제공할 수 있도록, 상기 제2 소스라인(125)이 상기 플라즈마 챔버(151)에 연결된다. 그리고, 상기 플라즈마 챔버(151) 하부는 상기 배플 플레이트(105)를 통해 상기 프로세스 챔버(20) 내부와 연통된다.
즉, 상기 제2 소스가스(S2)는 상기 플라즈마 챔버(151)를 통과하는 동안 플라즈마(P) 상태로 여기되고, 상기 플라즈마(P) 입자 중 중성 입자인 라디칼(P1)은 상기 배플 플레이트(105)의 분사홀(106)을 통과하여 상기 웨이퍼(W)로 제공되는 반면, 하전된 이온(P2)은 상기 플라즈마 챔버(151) 내에 형성된 전기장에 의해 전극인 상기 배플 플레이트(105)를 통과하기 전에 소멸된다. 따라서, 본 실시예에 의하면, 상기 플라즈마 발생부(150)는 상기 프로세스 챔버(20)와 분리된 플라즈마 챔버(151)에서 플라즈마(P)를 발생시키고, 상기와 같이 발생된 플라즈마(P)에서 라디칼(P1)만을 상기 웨이퍼(W)로 제공하므로, 상기 이온(P2)의 충돌로 인한 상기 웨이퍼(W)의 손상을 방지할 수 있다. 또한, 상기 플라즈마 발생부(150)는 프로세스 챔버(20)와 연통되어 상기 웨이퍼(W)에 플라즈마(P)를 제공하는 직접 플라즈마 발생 방식이며, ICP 방식으로서 비교적 높은 효율로 플라즈마(P)를 발생시키므로, 상기 소스가스의 반응성을 높여서 상기 웨이퍼(W)의 증착 속도와 효율을 향상시킨다.
한편, ICP 방식으로 플라즈마(P)를 발생시키기 위해서 상기 플라즈마 챔버(151)는 비교적 낮은 압력이 요구된다. 즉, 상기 플라즈마 챔버(151) 내부는 비교적 낮은 압력이 형성되며, 예를 들어, 상기 플라즈마 챔버(151) 내부는 5 내지 100 mTorr정도의 압력이 형성된다.
그러나, 상기 프로세스 챔버(20)는 상기 플라즈마 챔버(151)에 비해 높은 내부압 조건에서도 원자층 증착 공정을 수행하는 것이 가능하다. 예를 들어, 상기 프로세스 챔버(20)는 상압에 가까운 내부압이 형성될 수 있다.
여기서, 상기 플라즈마 챔버(151) 내부의 압력은 상기 프로세스 챔버(20) 내부의 압력과 동일할 필요는 없다. 특히, 상기 플라즈마 챔버(151)와 상기 프로세스 챔버(20)가 직접 연통되어 있으므로, 상기 플라즈마 챔버(151)의 내부압과 상기 프로세스 챔버(20)의 내부압이 너무 크게 차이가 나는 경우에는 상기 플라즈마 발생부(150)의 동작에 영향을 미칠 수 있다.
이에 본 실시예에서는 상기 프로세스 챔버(20)의 내부압을 상기 플라즈마 챔버(151)의 내부압에 유사한 정도로 형성하였다. 예를 들어, 상기 프로세스 챔버(20)의 내부압은 100 내지 400 mTorr이다.
상기 플라즈마 발생부(150)의 압력을 조절하기 위한 압력조절부(143)가 구비된다. 특히, 상기 압력조절부(143)는 상기 배기부(140)와 연결된다.
상기 압력조절부(143)는 상기 플라즈마 챔버(151) 내의 압력을 강하시킬 수 있도록 상기 플라즈마 챔버(151)와 상기 배기챔버(141)를 연결시킨다. 상세하게는, 상기 압력조절부(143)는 상기 배플 플레이트(105)와 인접한 하부에 연결되어, 상기 배플 플레이트(105) 하부에 압력을 강하시킴으로써, 상기 플라즈마 챔버(151) 내의 압력을 강하시킨다.
본 실시예에 따르면 상기와 같은 ICP 방식의 플라즈마 발생부(150)는 낮은 압력 조건에서 플라즈마(P)를 발생시키고, 공급되는 전력 대비 플라즈마(P)의 발생효율이 높은 장점을 갖는다. 그리고, 상기 ICP 방식은 낮은 이온에너지를 가지므로 이온 충격으로 인한 상기 웨이퍼(W)의 손상을 방지할 수 있다. 따라서, 상기 ICP 방식의 플라즈마 발생부(150)는 플라즈마(P) 발생 밀도가 높고, 낮은 공정압력에서 플라즈마(P)가 발생되므로 상기 웨이퍼(W) 상에 증착된 박막의 막질이 우수한 장점이 있다.
이하, 도 5와 도 6을 참조하여 본 발명의 일 실시예에 따른 플라즈마 발생부를 구비하는 원자층 증착 장치의 성능에 대해 설명한다.
도 5와 도 6은 본 발명의 일 실시예에 따른 원자층 증착 장치의 성능을 설명하기 위한 그래프들로서, 웨이퍼 상에 형성된 박막에 대한 X선 회절 분석 결과를 도시하였다.
여기서, 도 5와 도 6은 서로 다른 여러 가지 경우의 플라즈마 발생부를 구비하는 원자층 증착 장치를 이용하여 웨이퍼 상에 TiN 박막을 형성한 결과를 보여준다.
도 5와 도 6에서 ‘실시예’는 본 발명의 일 실시예에 따른 ICP 방식의 플라즈마 발생부가 구비된 원자층 증착 장치를 이용하여 TiN 박막을 형성하고, 이와 같이 TiN 박막이 형성된 웨이퍼에 대한 X선 회절 분석을 실시한 결과를 보여준다.
여기서, 도 5의 그래프 상에 도시된 ‘①’은 ‘비교예 1’을 나타내고, ‘②’는 ‘비교예 2’, ‘③’은 ‘실시예’, ‘④’는 ‘비교예 3’, 그리고 ‘⑤’는 ‘비교예 4’를 각각 나타낸다.
그리고, ‘비교예 1’ TiN 박막이 형성된 웨이퍼로서 기준 웨이퍼에 대한 X선 회절 분석 결과를 보여준다.
‘비교예 2’는 별도의 플라즈마 발생부를 구비하지 않는 원자층 증착 장치를 이용하여 원자층 증착 공정을 수행한 후 웨이퍼 상에 형성된 박막에 대한 X선 회절 분석 결과를 보여준다.
‘비교예 3’은 원격 플라즈마 방식으로서, 용량 결합성(capacitively coupled plasma)의 플라즈마 발생부를 구비하는 원자층 증착 장치를 이용하여 원자층 증착 공정을 수행한 후 웨이퍼 상에 형성된 박막에 대한 X선 회절 분석 결과를 보여준다.
그리고, ‘비교예 4’는 직접 플라즈마 방식으로서 용량 결합성 플라즈마 발생부를 구비하는 원자층 증착 장치를 이용하여 원자층 증착 공정을 수행한 후 웨이퍼 상에 형성된 박막에 대한 X선 회절 분석 결과를 보여준다.
여기서, 실시예와 비교예들은 플라즈마 발생 조건을 제외한 나머지 조건을 동일하게 설정한 상태에서 TiN 박막을 형성 공정을 수행하였다.
도 5를 참조하면, 상기 비교예 1을 통해 TiN 결정화가 양호하게 이루어져서 TiN 박막이 형성된 경우, X선 회절 분석을 통해 약 78 내지 79°에서 피크가 나타남을 알 수 있다.
그리고, 상기 실시예와 상기 비교예 2 내지 상기 비교예 4를 비교하여 보면, 상기 비교예 1에서와 같이 78 내지 79°에서 피크가 나타나는 것은 상기 실시예뿐인 것을 알 수 있다. 즉, 실시예에서는 TiN이 결정화가 이루어져서 TiN 박막이 형성되었음을 보여준다.
한편, 상기 비교예 2에서 나타나는 피크는 TiO 박막이 형성되었음을 보여주는 것으로서, TiN 박막은 형성되지 않았음을 보여준다.
그리고, 상기 비교예 3에서 나타나는 피크는 Ti2N 박막과 TiO 박막 및 Ti 성분을 나타내는 피크가 나타난다. 이는 상기 비교예 2에서는 일부 TiN 박막이 형성되었음을 보여준다.
다음으로, 상기 비교예 4에서는 도 5의 그래프 상에서 전혀 피크가 나타나지 않는다.
도 6은 상기 비교예 4에 대해서 X선 회절 분석에서 X축의 범위를 변경한 그래프로서, 도 6을 참조하면, 상기 비교예 4에서 나타나는 피크는 TiO 박막이 형성되었음을 보여준다. 즉, 상기 비교예 4에서도 TiN 박막이 형성되지 않았음을 알 수 있다.
상술한 바와 같이 X선 회절 분석 결과를 통해, 용량 결합성 플라즈마를 원격 방식으로 설치하였을 경우에는 Ti2N 피크가 나타나므로 일부 결정화가 이루어진 것을 알 수 있으나, 나머지 경우에 대해서는 TiN 박막이 형성되지 않았음을 알 수 있다. 그러나, 본 실시예에서와 같이, 유도 결합성 플라즈마를 원격 방식으로 설치하는 경우에는 결정화가 효과적으로 발생하며, TiN 박막이 효과적으로 형성됨을 알 수 있다.
도 1은 본 발명의 일 실시예에 따른 원자층 증착 장치를 설명하기 위한 사시도;
도 2는 도 1의 원자층 증착 장치의 단면도;
도 3은 도 1의 원자층 증착 장치에서 샤워헤드를 설명하기 위한 사시도;
도 4는 도 1의 원자층 증착 장치에서 플라즈마 발생부를 설명하기 위한 단면도;
도 5는 본 발명의 일 실시예에 따른 원자층 증착 장치의 박막 증착 결과를 설명하기 위한 그래프로서, 웨이퍼의 X선 회절 분석 결과를 보여주는 그래프;
도 6은 도 5에서 용량 결합성 플라즈마 방식을 이용하여 박막을 증착시킨 웨이퍼의 X선 회절 분석 결과를 보여주는 그래프이다.
<도면의 주요 부분에 대한 부호의 설명>
10: 샤워헤드 20: 프로세스 챔버
30: 서셉터 35: 회전 구동부
100: 원자층 증착 장치 105: 배플 플레이트
110, 120: 소스영역 115, 125: 소스라인
130, 131, 132: 퍼지영역 135: 퍼지라인
140: 배기부 141: 배기챔버
142: 배기홀 143: 압력조절부
145: 배기라인 150: 플라즈마 발생부
151: 플라즈마 챔버 152: 플라즈마 안테나
155: 전원공급부 156: 절연부재
P: 플라즈마 P1: 라디칼
P2: 이온 S1, S2: 소스가스
W: 웨이퍼

Claims (5)

  1. 프로세스 챔버;
    상기 프로세스 챔버 내에 구비되어 복수의 웨이퍼를 지지하는 서셉터;
    상기 웨이퍼 상부에 구비되어 상기 웨이퍼로 서로 다른 복수의 소스가스를 제공하되, 상기 각 소스가스가 서로 독립적으로 분사되는 복수의 분사영역이 구비된 샤워헤드;
    상기 샤워헤드에 구비되어 상기 프로세스 챔버 내의 배기가스를 배기시키는 배기부; 및
    상기 샤워헤드에서 상기 적어도 하나의 분사영역 상에 구비되어 해당 분사영역에서 분사되기 전의 상기 소스가스를 유도 결합 방식(inductively coupled plasma, ICP)으로 플라즈마화시키는 플라즈마 발생부;
    를 포함하고,
    상기 플라즈마 발생부는,
    상기 소스가스를 수용하여 플라즈마를 발생시키는 플라즈마 챔버;
    상기 플라즈마 챔버 내에 전기장을 형성하는 플라즈마 안테나; 및
    상기 플라즈마 안테나에 고주파 전원을 인가하는 전원공급부;
    를 포함하는 것을 특징으로 하는 플라즈마 발생부를 구비하는 원자층 증착 장치.
  2. 삭제
  3. 제1항에 있어서,
    상기 배기부는,
    상기 프로세스 챔버와 연통시키는 복수의 배기홀이 형성된 배기챔버;
    상기 배기챔버에 부압을 제공하는 배기라인; 및
    상기 배기챔버의 일측과 상기 플라즈마 발생부의 하부를 연결시키도록 형성되어 상기 플라즈마 챔버의 압력을 강하시키는 압력조절부;
    를 포함하는 것을 특징으로 하는 플라즈마 발생부를 구비하는 원자층 증착 장치.
  4. 제3항에 있어서,
    상기 플라즈마 발생부 하부에는 상기 플라즈마를 상기 프로세스 챔버로 분사하기 위한 복수의 분사홀이 형성된 배플 플레이트가 구비되고, 상기 압력조절부는 상기 배플 플레이트 하부로 연결된 것을 특징으로 하는 플라즈마 발생부를 구비하는 원자층 증착 장치.
  5. 제1항에 있어서,
    상기 서셉터 및 상기 샤워헤드 중 적어도 하나는 서로에 대해 회전 가능하게 형성된 것을 특징으로 하는 플라즈마 발생부를 구비하는 원자층 증착 장치.
KR1020070139185A 2007-12-27 2007-12-27 플라즈마 발생부를 구비하는 원자층 증착 장치 KR100936694B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020070139185A KR100936694B1 (ko) 2007-12-27 2007-12-27 플라즈마 발생부를 구비하는 원자층 증착 장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070139185A KR100936694B1 (ko) 2007-12-27 2007-12-27 플라즈마 발생부를 구비하는 원자층 증착 장치

Publications (2)

Publication Number Publication Date
KR20090071002A KR20090071002A (ko) 2009-07-01
KR100936694B1 true KR100936694B1 (ko) 2010-01-13

Family

ID=41322472

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070139185A KR100936694B1 (ko) 2007-12-27 2007-12-27 플라즈마 발생부를 구비하는 원자층 증착 장치

Country Status (1)

Country Link
KR (1) KR100936694B1 (ko)

Cited By (224)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8955547B2 (en) 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9109754B2 (en) 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US9514933B2 (en) 2014-01-05 2016-12-06 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
US9631277B2 (en) 2011-03-01 2017-04-25 Applied Materials, Inc. Atomic layer deposition carousel with continuous rotation and methods of use
US9748125B2 (en) 2012-01-31 2017-08-29 Applied Materials, Inc. Continuous substrate processing system
US9831109B2 (en) 2013-03-11 2017-11-28 Applied Materials, Inc. High temperature process chamber lid
KR20190069863A (ko) * 2017-12-12 2019-06-20 삼성전자주식회사 반도체 공정 챔버
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US12027365B2 (en) 2021-11-19 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR200458194Y1 (ko) * 2009-12-24 2012-01-30 주식회사 케이씨텍 웨이퍼 고정 서셉터 및 이를 구비하는 원자층 증착장치
KR101388222B1 (ko) * 2012-02-13 2014-04-23 주식회사 케이씨텍 균일한 플라즈마 형성을 위한 원자층 증착장치
KR101388223B1 (ko) * 2012-02-13 2014-04-23 주식회사 케이씨텍 균일한 플라즈마 형성을 위한 원자층 증착장치
KR101526861B1 (ko) * 2013-08-16 2015-06-09 주식회사 테스 가스공급부 및 이를 구비한 박막증착장치

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040025791A (ko) * 2002-09-17 2004-03-26 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
KR20040080593A (ko) * 2003-03-12 2004-09-20 주식회사 하이닉스반도체 플라즈마 어시스티브 배치 타입 원자층증착 장치
KR20050015931A (ko) * 2003-08-05 2005-02-21 주성엔지니어링(주) 균일한 막 증착을 위한 챔버 및 샤워 헤드
KR20070011692A (ko) * 2005-07-21 2007-01-25 한양대학교 산학협력단 플라즈마 처리장치

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040025791A (ko) * 2002-09-17 2004-03-26 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
KR20040080593A (ko) * 2003-03-12 2004-09-20 주식회사 하이닉스반도체 플라즈마 어시스티브 배치 타입 원자층증착 장치
KR20050015931A (ko) * 2003-08-05 2005-02-21 주성엔지니어링(주) 균일한 막 증착을 위한 챔버 및 샤워 헤드
KR20070011692A (ko) * 2005-07-21 2007-01-25 한양대학교 산학협력단 플라즈마 처리장치

Cited By (267)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9631277B2 (en) 2011-03-01 2017-04-25 Applied Materials, Inc. Atomic layer deposition carousel with continuous rotation and methods of use
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US9109754B2 (en) 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
USRE48994E1 (en) 2011-10-19 2022-03-29 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US8955547B2 (en) 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
USRE47440E1 (en) 2011-10-19 2019-06-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US10236198B2 (en) 2012-01-31 2019-03-19 Applied Materials, Inc. Methods for the continuous processing of substrates
US9748125B2 (en) 2012-01-31 2017-08-29 Applied Materials, Inc. Continuous substrate processing system
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US9831109B2 (en) 2013-03-11 2017-11-28 Applied Materials, Inc. High temperature process chamber lid
US10879090B2 (en) 2013-03-11 2020-12-29 Applied Materials, Inc. High temperature process chamber lid
US10400335B2 (en) 2013-12-20 2019-09-03 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US9765432B2 (en) 2013-12-20 2017-09-19 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US9514933B2 (en) 2014-01-05 2016-12-06 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US12000042B2 (en) 2016-12-15 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
KR102452830B1 (ko) 2017-12-12 2022-10-12 삼성전자주식회사 반도체 공정 챔버
KR20190069863A (ko) * 2017-12-12 2019-06-20 삼성전자주식회사 반도체 공정 챔버
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US12020938B2 (en) 2018-03-27 2024-06-25 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US12025484B2 (en) 2019-04-29 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US12033885B2 (en) 2021-01-04 2024-07-09 Asm Ip Holding B.V. Channeled lift pin
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US12033861B2 (en) 2021-06-07 2024-07-09 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12027365B2 (en) 2021-11-19 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US12033849B2 (en) 2022-12-08 2024-07-09 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by PEALD using bis(diethylamino)silane

Also Published As

Publication number Publication date
KR20090071002A (ko) 2009-07-01

Similar Documents

Publication Publication Date Title
KR100936694B1 (ko) 플라즈마 발생부를 구비하는 원자층 증착 장치
TWI835295B (zh) 氧化矽薄膜的沉積後處理之方法
US9627221B1 (en) Continuous process incorporating atomic layer etching
KR102608585B1 (ko) Ale (atomic layer etch) 리셋을 사용한 선택적인 증착
US10074543B2 (en) High dry etch rate materials for semiconductor patterning applications
KR101885411B1 (ko) 기판 처리 방법 및 기판 처리 장치
JP6415035B2 (ja) ギャップフィルのための共形膜蒸着
KR101661021B1 (ko) 질화막을 형성하는 방법
US20140220260A1 (en) Substrate processing apparatus and method of depositing a film
US10900121B2 (en) Method of manufacturing semiconductor device and apparatus of manufacturing semiconductor device
KR20100132779A (ko) 박막 형성 방법 및 이의 제조 장치
KR20170092462A (ko) 성막 방법
CN109103089B (zh) 等离子体处理方法和等离子体处理装置
JP7008629B2 (ja) 基板処理装置
US20240038539A1 (en) Selective processing with etch residue-based inhibitors
US20210025060A1 (en) Apparatus for processing substrate
KR20190110039A (ko) 성막 방법 및 성막 장치
CN114512398A (zh) 基板处理方法和基板处理系统
US20170130333A1 (en) Plasma processing method and plasma processing apparatus
JP2024069245A (ja) プラズマ処理方法、プラズマ処理装置及び制御装置
KR20130139651A (ko) 박막 제조방법 및 기판 처리 장치
KR20190065934A (ko) 실리콘 질화막의 성막 방법 및 성막 장치
KR100517550B1 (ko) 원자층 증착 장치
KR102046391B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR102567720B1 (ko) 기판 처리 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121206

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20131115

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20141215

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160104

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170102

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20180103

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20190103

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20200102

Year of fee payment: 11