TWI586826B - 碳化鈦膜之矽烷與硼烷處理 - Google Patents

碳化鈦膜之矽烷與硼烷處理 Download PDF

Info

Publication number
TWI586826B
TWI586826B TW103108278A TW103108278A TWI586826B TW I586826 B TWI586826 B TW I586826B TW 103108278 A TW103108278 A TW 103108278A TW 103108278 A TW103108278 A TW 103108278A TW I586826 B TWI586826 B TW I586826B
Authority
TW
Taiwan
Prior art keywords
carbon
film
decane
substrate
titanium
Prior art date
Application number
TW103108278A
Other languages
English (en)
Other versions
TW201435128A (zh
Inventor
傑利 陳
弗拉基米爾 馬高特森
布倫南 米利根
詹姆 威廉 梅茲
蘇維 賀加
艾立克 雪洛
湯姆E 布倫堡
東 李
Original Assignee
Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Ip控股公司 filed Critical Asm Ip控股公司
Publication of TW201435128A publication Critical patent/TW201435128A/zh
Application granted granted Critical
Publication of TWI586826B publication Critical patent/TWI586826B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/2807Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being Si or Ge or C and their alloys except Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Composite Materials (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

碳化鈦膜之矽烷與硼烷處理
本發明大體上是有關於藉由原子層沈積在基板上製造金屬碳化物薄膜之製程。在一些實施例中,本文所揭露的藉由原子層沈積(atomic layer deposition,ALD)製程所製造的碳化鈦膜可應用於金氧半場效電晶體(metal oxide semiconductor field effect transistor,MOSFET)(例如n通道MOSFET(NMOS))中的金屬閘極以及金屬電極。
原子層沈積(ALD)一般為自限制製程(self-limiting process),藉由反應前驅物的交替脈衝使基板表面飽和,並且每次脈衝留下不超過約一個材料單層。沈積條件及前驅物經過選擇,以提供自飽和反應(self-saturating reaction),以使在一次脈衝中之吸附層留下表面終端(surface termination),此表面終端不與同一脈衝之氣相反應物反應。接下來的不同反應物脈衝與先前的終端反應,以使沈積能繼續進行。因此,交替脈衝的每個循環留下不超 過約一個所需材料分子層。ALD型製程之原理已由T.Suntola例如呈現於Handbook of Crystal Growth 3,Thin Films and Epitaxy,第B部分:Growth Mechanisms and Dynamics,第14章,Atomic Layer Epitaxy,第601-663頁,Elsevier Science B.V.1994中,所述文獻之公開內容均以參考方式併入本案。
在沈積薄膜的典型ALD製程中,一個沈積循環包括將基板暴露於第一前驅物,並自反應空間中移除未反應的第一反應物以及反應副產物,將基板暴露於第二前驅物,接著進行第二移除步驟。通常,鹵化物前驅物(例如TiCl4及HfCl4)在ALD沈積中是用來作為前驅物,因為這些前驅物成本低且相對穩定,但同時對不同類型的表面基團起反應。H2O及NH3分別在氧化物以及氮化物的沈積中被廣泛用來作為第二前驅物。
相較於化學氣相沈積(chemical vapor deposition,CVD)製程,在同一沈積溫度下ALD製程通常製造具有較低雜質含量的薄膜。儘管在ALD膜中雜質含量較低,但是在ALD膜中的雜質含量仍可能是個問題。對於在藉由ALD所沈積的薄膜中存在的雜質,有幾種可能的原因。在某些情況下,半導體製程流程必然限制了最大的沈積溫度,以在膜中留下一些殘基。在相對較低的溫度下,自氯化物或其他含鹵化物的前驅物(例如WF6)所沈積的ALD膜可包括相對較高程度的鹵化物殘基。鹵化物雜質主要存在於界面,這也可能導致問題。在某些情況下,如同來自含鹵化物前驅物的過渡金屬氮化物及過渡金屬碳化物的低溫沈積,在一些積體 電路(integrated circuit,IC)應用中雜質含量可能在可接受的極限以上。在另一實例中,在一些應用中需要限制生長溫度的非晶膜。
在一些ALD製程中,污染物(諸如水及空氣)可使包括Ti、Al及C的沈積層發生不期望的氧化。在NMOS應用中,此種層或薄膜的氧化可能導致功函數偏移,例如從N型至P型。
根據本發明的一些實施例,有機矽烷、有機硼烷、矽烷或硼烷(本文通常稱為「矽烷/硼烷試劑」)被使用在原子層沈積(ALD)製程,以自含鹵化物的前驅物沈積包括金屬碳化物的含硼膜或含矽膜,或者是被使用在處理包括金屬碳化物的沈積膜。可在沈積循環期間或之後脈衝矽烷/硼烷試劑,或者在完成一些或所有循環之後可將矽烷/硼烷試劑應用於薄膜。在一些實施例中,矽烷/硼烷試劑可用於還原金屬膜的氧化部分。在一些實施例中,矽烷/硼烷試劑可形成阻隔層,以至少部分避免膜本身的進一步氧化或在經處理的膜上後續沈積的膜的進一步氧化。在一些實施例中,矽烷/硼烷試劑可幫助從膜內深處吸氧,例如來自後續空氣暴露的氧。
在一些實施例中,矽烷/硼烷處理可形成包括矽或硼的頂蓋層。在一些實施例中,頂蓋層可包括有包括矽或硼的金屬碳化物層的一部分。在一些實施例中,頂蓋層直接形成在金屬碳化物層上。在一些實施例中,頂蓋層包括有包括矽或硼的金屬碳化物 膜的一部分以及在金屬碳化物層上所形成的包括矽或硼的層。
在某些情況下,矽烷/硼烷試劑處理的阻隔效果亦可避免或限制在金屬碳化物膜的矽烷/硼烷處理之後所沈積的一或多層的氧化。舉例來說,在金屬碳化物膜(例如碳化鈦膜)的形成或處理中,使用矽烷/硼烷試劑可限制在碳化鈦膜上所沈積的第二膜(例如氮化膜(諸如TiN))的氧化,即使第二膜本身未用矽烷/硼烷試劑處理。藉由金屬碳化物層的矽烷/硼烷試劑處理亦可保護後續沈積的膜以避免氧化。
然而,在一些實施例中,用矽烷/硼烷試劑處理在金屬碳化物之後所沈積的額外的膜(例如氮化鈦膜、氧化鉿膜、矽或氧化矽膜、或鎢膜),以實現經處理的金屬碳化物膜所享有的至少一些優點。
可控制矽烷/硼烷試劑暴露的時段,以實現所需的效果。舉例來說,暴露的時段可根據與金屬膜交互作用的所需程度、以及擴散或滲透至膜中的所需深度。在一些實施例中,控制暴露的時段,以形成所需厚度及/或組成的頂蓋層。
在一些實施例中,矽烷/硼烷由以下所組成的族群中選出:有機矽烷及有機硼烷、單矽烷、二矽烷、三矽烷、硼烷、二硼烷以及三硼烷。可在每個ALD循環中、在沈積製程期間之間隔中、或在完成一些或所有循環之後,提供矽烷/硼烷試劑。在一些實施例中,矽烷/硼烷試劑可以蒸汽形式提供至基板。在一些實施例中,矽烷/硼烷試劑(例如三矽烷)可以液體形式應用於基板。
在一些實施例中,揭露了用於形成碳化鈦薄膜的ALD製程。所述製程可包括使在反應空間中的基板接觸鈦源化學物(包括至少一鹵化物配體)、第二源化學物(包括金屬及碳)以及第三源化學物的交替且連續脈衝,其中第三源化學物為矽烷/硼烷。如下文中更詳細地討論,第三源化學物可用作每個沈積循環的一部分、用作僅一些循環的一部分、或用在完成所有循環之後。第二源化學物可包括有機配體,且在一些實施例中,第二源化學物可為三甲基鋁(trimethylaluminum,TMA)或三乙基鋁(triethylaluminum,TEA)。在一些實施例中,第二源化學物為二甲基氫化鋁(dimethylaluminumhydride,DMAH)或三(第三丁基)鋁(tris(tertbutyl)aluminum,TTBA)。
在一些實施例中,揭露了用於形成碳化鈦薄膜的ALD製程,其中在多個沈積循環中提供反應物的交替且自飽和脈衝。每個循環較佳包括使在反應空間中的基板接觸鈦源化學物(較佳為鹵化鈦化合物)、碳源化學物、以及矽烷或硼烷源化學物的交替且連續脈衝。矽烷或硼烷源化學物可由以下化合物中選出:單矽烷、二矽烷、三矽烷、硼烷及二硼烷、有機矽烷、以及有機硼烷,且在一實施例中為三矽烷。
在本發明的另一態樣中,揭露了半導體元件結構。所述結構包括基板以及覆蓋在基板上的薄膜層,其中藉由ALD形成薄膜層,其中所述ALD是藉由使基板接觸金屬源化學物、碳源化學物以及矽烷/硼烷試劑的交替且連續脈衝。
102、104、106、108、110、112‧‧‧步驟
105‧‧‧第一階段
109‧‧‧第二階段
113‧‧‧第三階段
200、210、220‧‧‧層或薄膜
將根據意謂說明而非限制本發明之較佳實施例之詳細描述及附圖更好地理解本發明,且在這些附圖中:
圖1為根據本發明的一些實施例大體上繪示的藉由ALD形成二元化合物的方法之流程圖,其中矽烷/硼烷試劑的供應跟隨著過量第二反應物及副產物的移除。
圖2為根據本發明的一些實施例的電極結構的剖面示意圖,其中電極結構包括導電金屬碳化物的層。
本揭露提出了用於形成金屬碳化物薄膜(例如碳化鈦薄膜)之原子層沈積(atomic layer deposition,ALD)方法。如下文中更詳細地討論,儘管以碳化鈦薄膜為主要描述,但亦可用本揭露的方法沈積及/或處理其他類型的薄膜,例如碳化鈮膜。
ALD方法可包括將膜暴露於矽烷或硼烷,以減少或實質上避免碳化鈦膜的氧化以及在碳化鈦膜與上覆層(overlying layer)的界面處所伴隨的氧的聚集以及可能有氧擴散超出在碳化鈦膜與下層(underlying layer)之間的界面。如上所述,此氧化聚集可能導致薄膜的功函數偏移。在一些實施例中,可使用矽烷或硼烷處理,以降低薄碳化鈦膜的電阻率(resistivity)。可在每一碳化鈦沈積循環期間、在特定次數的碳化鈦沈積循環之後、或者在完成所有碳 化鈦沈積循環之後,提供矽烷或硼烷。在一些較佳實施例中,矽烷或硼烷試劑為硼烷或有機硼烷,例如二硼烷。或者是,矽烷或硼烷試劑為矽烷或有機磺酸鈉(organosilane),例如矽烷、二矽烷或三矽烷。
定義
在本揭露中所使用的術語「ALD製程」是根據本領域中常用的含義,且「ALD製程」包括藉由自飽和化學反應(self-saturating chemical reaction)在基板上以分子層接分子層的形式產生薄膜的製程。ALD的一般原理例如揭露於美國專利第4,058,430號和第5,711,811號中,其公開內容以參考方式併入本案。在ALD製程中,氣態反應物(即前驅物)被導入ALD型反應器的反應室內,在此其接觸位於腔室中的基板以提供表面反應。將反應室的壓力和溫度調節至避免前驅物的物理吸附(即氣體的物理吸附或凝結)及熱分解的範圍。因此,在每個脈衝循環(pulsing cycle)期間,每次僅沈積至多約一單層(即原子層或分子層)的材料。薄膜的實際生長速率(其通常被表示為Å/脈衝循環)例如取決於表面上可用的反應性表面位點(sites)或活性位點的數量以及化學吸附分子的膨脹度(bulkiness)。由於前驅物脈衝在時間上彼此分開並且在前驅物脈衝之間利用不活潑氣體(諸如氮氣或氬氣)來淨化(purge)反應室及/或使用諸如泵來抽空(evacuate)反應室以自腔室中移除多餘的氣態反應物以及反應副產物,因此抑制了前驅體之間的氣相反應以及副產物的任何不希望的反應。
在本揭露中所使用的術語「反應空間」是根據本領域中常用的含義,且「反應空間」包括反應器或反應室、或者是其中任意定義的體積,其中可調節條件以影響藉由ALD生長的薄膜。通常,反應空間包括在正常操作期間經受所有反應氣體脈衝的表面,氣體或微粒藉由夾帶流(entrained flow)或擴散而從氣體脈衝流到基板。反應空間例如可以是位於單晶圓(single-wafer)ALD反應器或者成批ALD反應器中,其中在成批ALD反應器中同時在多個基板上發生沈積。
在本揭露中所使用的術語「吸附」是根據本領域中常用的含義,且「吸附」包括原子或分子化學附著在表面上。
在本揭露中所使用的術語「浸泡」是描述將薄膜(例如碳化鈦膜)暴露於諸如矽烷/硼烷試劑的化學物約10秒至約600秒,較佳約30秒至約300秒,且更佳約45秒至約180秒。在某些情況下,在ALD循環中,浸泡的時段長於鈦或碳反應物脈衝的時段。可調節浸泡的時段以獲得在金屬碳化物膜中所需的矽量。舉例來說,可調節浸泡的時段以決定在金屬碳化物膜中滲透的深度或擴散的程度。在一些實施例中,Si不一定要滲透到膜中,當它在膜的表面上時可用作氧氣或氧化阻隔層(或氮氣阻隔層,例如在經處理的膜上沈積諸如TiN的後續層)。
在本揭露中所使用的術語「薄膜」是根據本領域中常用的含義,且「薄膜」包括由以獨立的離子、原子或分子透過真空、氣相或液相方式從來源輸送到基板的元素或化合物而生長的薄 膜。薄膜的厚度取決於實際的應用,且厚度可以在大範圍內變化,較佳從一原子層到1,000奈米(nm)或更多。在一些實施例中,薄膜的厚度小於約20nm,甚至更佳小於約10nm,且最佳小於約5nm或小於約3nm。
下標「x」以及「y」用來表示不一定化學計量的物種,其具有大範圍的金屬/氧、金屬/碳、金屬/氮、或金屬/碳/氮比率變化之相。
ALD方法
本文所提出的方法允許在基板表面上沈積共形的金屬碳化物薄膜。在一些實施例中,薄膜是由含鹵素的化學物沈積。由於在ALD製程中的表面反應的自限制本質,因此也可能具有在幾何上挑戰性的應用。
根據一些實施例,ALD型製程被用來在基板(諸如積體電路工件)上形成碳化鈦薄膜。其上沈積有薄碳化鈦(TiC)膜的表面可採用多種形式。實例包括但不限於矽、氧化矽(SiO2)、經塗佈之矽(coated silicon)、介電材料、低k材料、金屬(諸如銅及鋁)、金屬合金,金屬氧化物以及多種氮化物(例如過渡金屬氮化物及氮化矽)或上述材料的組合。在一些實施例中,基板包括氮化鈦。在一些實施例中,基板包括氧化鉿。
在一些實施例中,將基板或工件放置在反應室中,且經受反覆交替的表面反應。特定言之,藉由重複ALD循環來形成薄膜。每個ALD循環通常是自限制性的。在化合物金屬薄膜沈積的 情況下,交替地使用至少兩種不同的源化學物。一種反應物將在基板表面上形成不超過約一單層,且包括在正在沈積之層中所需的金屬物種。此反應物(在本文中亦稱為「金屬反應物」)較佳是鹵化鈦(例如TiCl4)或鹵化鈮(例如NbCl5),並因此以鹵化物配體終止所沈積之單層。
第二反應物較佳將碳提供給正在生長的膜。在一些實施例中,第二反應物包括金屬及碳,例如TMA或TEA。在一些實施例中,第二反應物為包括至少一配體之含金屬的源化學物,例如金屬有機化合物。此外,在一些實施例中,第二反應物亦可在正在沈積的膜中留下一定量的金屬。舉例來說,在TMA或TEA的情況下,取決於特定的反應條件可使一定量的鋁被留在膜中。在一些實施例中,AlxCy形式的碳化鋁的形成亦可提供保護以防止氧化。
在根據本揭露的一些實施例中,在每個循環中、在特定數量的循環之後、或在完成金屬碳化物膜的沈積之後,提供第三反應物。第三反應物可為矽化合物或硼化合物,較佳為強還原劑(reducer)。在一些實施例中,第三反應物包括矽烷/硼烷試劑。相較於金屬碳化物膜的金屬(例如鈦及/或鈮),矽烷/硼烷試劑對氧更具反應性,因此能夠減少在膜中金屬氧化物的量。在某些情況下,實際上很少或沒有氧自薄膜中移除;然而,矽烷/硼烷試劑藉由破壞鈦與氧之間的鍵結以使鈦回至其純碳化鈦的形式而起到還原金屬氧化物(例如氧化鈦)的作用。在此情況下,儘管實際上未自膜中 移除氧,但是藉由矽烷/硼烷試劑必然不妨礙薄膜的功函數。因此,也可以說,與膜中TiOC之量相比,應用矽烷/硼烷試劑會增加TiC之量。此外,在一些實施例中,第三反應物亦提供在薄膜中所需的物種,例如矽、硼或碳。然而,應指出的是,在一些實施例中,在沈積製程期間很少或沒有氧與矽烷/硼烷試劑鍵結。在此情況下,如果當TiC膜暴露於氧氣時(例如當工件從一腔室移動至另一腔室時),與TiC薄膜沈積的矽或硼可用作氧的阻隔層。舉例來說,當將碳化鈦層移動至另一反應器以進行後續製程(例如上覆的氮化鈦層(overlying titanium nitride layer)的沈積)時,在沈積期間碳化鈦層的處理可減少或避免碳化鈦層的氧化。
矽烷/硼烷試劑可由以下所組成的族群中選出:單矽烷、二矽烷、三矽烷、有機矽烷、硼烷、二硼烷、有機硼烷、或者容易與氧反應以還原在金屬碳化物中的鈦、鈮或其他金屬的任何其他合適的材料。矽烷/硼烷試劑可以蒸汽或液體形式供應,且可在沈積製程中以每個循環相對短的脈衝之方式應用或間歇地應用,或以相對較久之浸泡的方式應用於部分或完全形成之碳化鈦層。
可在每個ALD循環中、在沈積製程期間之間隔中、或在完成沈積製程之後,提供矽烷/硼烷試劑。舉例來說,在一些實施例中,每一個至四個ALD循環提供矽烷/硼烷試劑。在一些實施例中,在提供矽烷/硼烷試劑時,在最近ALD循環中的膜生長較佳為足夠薄的,以使矽烷/硼烷試劑可滲透膜。在一些實施例中,例如在暴露於矽烷/硼烷試劑之前已完成一個以上沈積循環的情況下, 可由所用試劑之量或濃度或暴露之時段來控制膜中矽烷/硼烷的滲透量。
矽烷/硼烷試劑可以一或多個循環的一部分提供、或者可在完成一或多個循環之後應用。因此,在一些實施例中,將沈積金屬碳化物膜(諸如TiC)視為ALD製程中獨立於應用矽烷/硼烷試劑之循環。在此情況下,根據需要將循環重複多次,且在一些或所有循環之後應用矽烷/硼烷處理。然而,在一些實施例中,在一或多個循環期間(作為ALD循環的一部分)以及在一或多個循環之後(與ALD循環分開)應用矽烷/硼烷試劑。
在ALD循環的一個階段(phase)(「金屬階段」,例如「鈦階段」或「第一階段」)中,將包括鈦(或其他金屬,例如鈮)的反應物或源化學物供應至反應室並使其化學吸附至基板表面。對在此階段中供應之反應物進行選擇,以使在較佳條件下,藉由可用結合位點之數目及化學吸附之物種(包括配體)的實體尺寸來決定可結合於表面之反應物的量。由鈦反應物之脈衝所留下的化學吸附層以不與此脈衝之剩餘化學物質反應之表面自終止(self-terminated)。這種現象在本文中稱為「自飽和」。本領域中具通常知識者將認識到這個階段的自限制本質使得整個ALD循環自限制。例如藉由用惰性氣體淨化及/或抽空自反應空間中移除過量的反應物以及反應副產物(若有的話)。
在每個自限制脈衝中,當金屬源化學物分子的化學吸附不超過約單一分子層時,工件表面上達到最大的階梯覆蓋(step coverage)及共形。受到化學吸附物種尺寸和反應性位點數量的影響,在每個金屬反應物脈衝中,可能沈積略少於一單層。然而,使用一些反應物(例如TEA或TMA)可導致超過單層,因為在沈積溫度下它們可至少部份地自分解。自分解的程度可以是脈衝時間的函數。
在循環的下一階段,提供第二源反應物的脈衝,其可與前面脈衝在基板表面上所留下的分子反應。在一些實施例中,源化學物較佳包括將要併入薄膜中的碳。藉由源化學物與金屬反應物所留下的單層的相互作用,使碳併入薄膜中。此階段在本文中被稱為「第二階段」或「供碳階段」。在一些實施例中,第二源化學物為含碳化合物,且其與化學吸附金屬物種的反應會在基板上產生金屬碳化物層。在一些實施例中,第二源化學物也包括第二金屬(例如鋁),且第二金屬連同碳一起併入正在生長的膜中。在一些實施例中,供物種的源化學物包括金屬及碳,例如可為TMA或TEA。
藉由淨化及/或抽空,自反應空間中移除過量的第二源化學物以及反應副產物(若有的話)。
在一些實施例中,ALD循環的第三階段包括提供矽烷/硼烷試劑。矽烷/硼烷試劑可包括可併入薄膜中的物種,例如硼或矽。此階段被稱為「第三階段」或「氧隔離階段」。
儘管稱為「第一階段」、「第二階段」以及「第三階段」,但這些標記是為方便起見而不表明每個ALD循環中之階段的實際 順序。因此,起始ALD循環可由上述三個階段中之任何階段開始。然而,本領域中具通常知識者將認識到若起始ALD循環不是從金屬反應物階段開始,則沈積約一單層的所需金屬碳化物薄膜通常至少需要兩個ALD循環才能完成。
另外,可改變階段的順序。亦即,在一些實施例中矽烷/硼烷試劑可為在第二反應物之後所提供的下一個反應物,而在其他實施例中矽烷/硼烷試劑可為在第一金屬源反應物之後所提供的下一個反應物。又在一些實施例中,可僅在一些循環之後或者在完成所有循環之後,供應矽烷/硼烷試劑。舉例來說,在一些實施例中,第三階段(矽烷/硼烷試劑的供應)可緊跟隨著第一階段(包括金屬物種的反應物的供應),而其後又跟隨著供碳階段。又在一些實施例中,在完全形成薄膜之後,第三階段可用作蒸汽「浸泡」。亦即,將經沈積的膜暴露至蒸汽形式的矽烷或硼烷持續一段時間。若僅插入淨化或其他移除反應物的步驟,則一階段通常被視為緊跟隨著另一階段。
在一些實施例中,並不在每個ALD循環中均提供矽烷/硼烷試劑。相反地,可用矽烷/硼烷試劑處理部分或完全沈積的碳化鈦膜。舉例來說,此可為以下情況:已用TiCl4及TEA形成第一膜,但是所產生的TiAlC膜已被水、空氣或一些其他污染源氧化而實質上形成TiAlOC的層。可將矽烷/硼烷試劑應用於第一膜,以將TiAlOC層實質上還原回TiAlC,僅存在少量雜質。
在一實施例中,ALD循環包括: 1.將鹵化鈦提供至反應空間;2.實質上淨化及/或抽空過量的鹵化鈦以及反應副產物;3.將供碳反應物提供至反應空間,諸如TEA或TMA;4.實質上淨化及/或抽空過量的第二反應物以及反應副產物;以及5.將矽烷/硼烷試劑提供至反應空間。
可在每個ALD循環中包括步驟5,或者可在引入步驟5之前將步驟1-4重複若干次。在一些實施例中,在包括步驟5之前,將步驟1-4重複至多10次。在其他實施例中,在包括步驟5之前,將步驟1-4重複至多100次或甚至1000次或更多。在一些實施例中,在步驟5之前,沈積具有所需厚度之完整膜。
請參照圖1,在本發明的一實施例中,在起始的表面終止之後,若有需要,將第一反應物或源化學物脈衝供應至基板或工件(步驟102)。在所示實施例中,第一反應物為金屬鹵化物,且正在形成之薄膜包括金屬碳化物。根據一個較佳的實施例,第一反應物脈衝包括載氣氣流以及與目標工件表面反應的揮發性鹵化鈦物種。因此,含鹵素的鈦物種吸附在工件表面上。第一反應物脈衝使工件表面自飽和,以使第一反應物脈衝的任何過量成分均不會與此製程所形成的單層進一步反應。自飽和是因鹵化物尾部鹵化物尾端使單層終止而發生,從而保護所述層免於進一步反應。
隨後自反應空間中移除第一反應物(步驟104)。步驟104可僅需要停止第一反應物或化學物質流,同時繼續使載氣流動足 以使過量反應物及反應物副產物自反應空間中擴散出或淨化之時間。移除(步驟104)較佳包括在停止第一反應物脈衝流之後繼續使淨化氣體流動約0.1秒至20秒之間。脈衝間淨化(inter-pulse purging)描述在題為「IMPROVED APPARATUS AND METHOD FOR GROWTH OF A THIN FILM」的美國專利第6,511,539號,其公開內容以參考方式併入本案。在其他配置中,可將腔室在交替之化學物質之間向下抽吸。舉例來說,請參見在1996年6月6日公開之題為「METHOD AND APPARATUS FOR GROWING THIN FILMS」之PCT公開案第WO 96/17107號,其公開內容以參考方式併入本案。吸附(步驟102)以及反應物移除(步驟104)共同代表ALD循環中的第一階段105。在所示ALD循環中之第一階段因此為金屬階段。
請繼續參照圖1,隨後將第二反應物或源化學物脈衝供應(步驟106)至工件。第二化學物與第一反應物所留下的單層反應。在所示實施例中,此第二反應物脈衝(步驟106)包括將具有第二源氣體之載氣供應至工件。特定言之,第一反應物包括鹵化鈦,第二反應物(例如TMA或TEA)包括碳以及不同的第二金屬。在TEA或TMA的情況下,第二反應物留下不超過約一單層的TiAlC。第二反應物較佳自所吸附的第一反應物中移除至少一些鹵化物配體。第二反應物脈衝(步驟106)還留下表面終端,其起到限制飽和反應相中沈積之作用。
在足以使單層完全飽和且使其與第二反應物脈衝(步驟 106)完全反應之時間段之後,自工件中移除(步驟108)任何過量的第二反應物。如同移除(步驟104)第一反應物一般,此步驟108可包括停止第二化學物質流及繼續使載氣流動足以使來自第二反應物脈衝之過量反應物及揮發性反應副產物自反應空間擴散出並淨化之時間段。第二反應物脈衝(步驟106)以及移除(步驟108)共同代表所示製程中的第二階段109,且也可以視為供碳及金屬物種的階段。
當已自腔室中移除(步驟108)第二反應物脈衝的過量的反應物時,可將第三反應物或源化學物脈衝供應至工件(步驟110)。第三反應物可為矽烷/硼烷試劑,其能夠移除鹵化物及/或在正在生長的膜中與氧反應。合適的矽烷及硼烷的實例包括單矽烷、二矽烷、三矽烷、硼烷以及二硼烷。矽烷/硼烷試劑可伴隨惰性載氣一起提供。可調節溫度及壓力條件,以控制矽烷/硼烷試劑擴散通過單層之程度。
在足以實現單層中第三反應物之所需飽和程度之時間段後,例如藉由淨化氣體脈衝自反應空間中移除(步驟112)過量的未反應的矽烷/硼烷試劑以及任何反應副產物(其亦可能具有揮發性)。此移除步驟可以如步驟104所述。矽烷/硼烷試劑脈衝(步驟110)以及移除(步驟112)共同代表所示ALD製程的第三階段113,其也稱為氧隔離階段。
在一些實施例中,矽烷/硼烷試劑的供應緊跟隨著過量的第一反應物以及副產物的移除步驟。在足以使單層與矽烷/硼烷試 劑反應之時間段之後,可能藉由淨化氣體脈衝自反應空間中移除過量未反應之矽烷/硼烷試劑及反應副產物。在移除步驟之後跟隨著供應第二反應物脈衝。
在本揭露的一些實施例(未繪示)中,供應矽烷/硼烷試劑的步驟以及移除任何過量之矽烷/硼烷試劑及副產物的步驟是在供應第一反應物的步驟之前。在一些實施例中,矽烷/硼烷試劑並不在每個循環中均提供,或可在完成所有循環之後提供。
在一些實施例中,供應矽烷/硼烷試劑之步驟採用浸泡的形式,其發生在完成一些或所有碳化鈦沈積循環之後。在某些情況下,已發現在完成TiC膜沈積之後發生的三矽烷浸泡實現了合適的結果。
在一個實施例中,用於形成碳化鈦膜之製程包括:1.將鹵化鈦(諸如氯化鈦)提供至反應空間;2.實質上淨化及/或抽空過量鹵化鈦及反應副產物;3.將第二供碳及鋁的反應物(諸如TEA或TMA)提供至反應空間;4.實質上淨化及/或抽空過量第二反應物及反應副產物;5.重複步驟1至步驟4,持續所需循環數或直至實現具有所需厚度之膜為止;以及6.用矽烷/硼烷試劑對步驟5之產物進行浸泡。
在一些實施例中,可配置步驟6之浸泡以實現在膜中存在的任何氧與矽烷/硼烷試劑之間之特定程度的相互作用。在一些 實施例中,可配置步驟6之浸泡以提供膜中矽或硼所需量至特定深度。舉例來說,浸泡可持續足夠久以允許矽或硼實質上擴散通過膜,或浸泡之時段可保持較短以僅達至膜之部分深度。浸泡的時段可為約5秒至約600秒,較佳約10秒至約180秒,更佳約20秒至約120秒,且在一些實施例中約30秒至約60秒。在某些情況下,例如成批製程,浸泡時間可能更長。在一些這樣的實施例中,進行浸泡約30秒至約600秒,較佳約45秒至約180秒,更佳約60秒至約120秒。
在一些實施例中,浸泡可用以藉由在膜的一部分中或在膜本身上提供矽或硼而用氧阻隔層「蓋(cap)」住薄膜。在一些實施例中,經沈積或部分沈積的金屬碳化物層被浸泡在矽烷/硼烷試劑(例如二矽烷或三矽烷)中,以形成薄「頂蓋(capping)」層,其厚度為約3nm以下,更佳約2nm以下且最佳約1nm以下。在浸泡的起始階段中頂蓋層的形成可使矽或硼停止擴散至膜中,並同時在膜的表面上仍具有有益的效果。
根據一些實施例,頂蓋層為包括矽或硼的分離層(separate layer)且直接形成在薄膜上。在一些實施例中,頂蓋層亦可或另外包括金屬碳化物層或其適用的任何層的一部分,其中所述部分包括來自矽烷或硼烷試劑處理的矽或硼。頂蓋層的本質例如可取決於處理條件及/或所使用的矽烷/硼烷試劑。其中,頂蓋層包括下層金屬層(例如金屬碳化物層)的一部分,在下層內可有梯度變化,所述梯度變化為矽或硼的較大濃度朝向層的頂部,且自所述層的頂 部隨深度的增加而減少濃度。梯度(矽烷/硼烷試劑延伸的深度以及在任何給定深度處的濃度兩者)這部分可取決於處理條件(時段、溫度、壓力等等)以及所用的特定矽烷/硼烷試劑。根據一些實施例,矽烷/硼烷試劑可與下層至少部分反應。在某些情況下,頂蓋層可包括直接形成在下層上的包括矽或硼的層以及其中存在矽或硼的膜的一部分。在一些實施例中,頂蓋層為直接形成在金屬碳化物層(例如TiC層)上方且接觸金屬碳化物層的矽或硼層。
根據一些實施例,反應溫度可為約300℃至約500℃,較佳約325℃至約450℃,且更佳約350℃至約450℃。
將在特定薄膜化學物之情況下討論上述實施例。
含碳膜的沈積
含碳金屬膜或金屬碳化物具有各種應用,諸如閘極電極、電容器中之電極、以及鑲嵌及雙重鑲嵌結構中之阻障層。
在一些實施例中,用於含碳金屬或金屬碳化物薄膜沈積之一般脈衝工序為:(M1Xy+淨化+M2R3+淨化+矽烷/硼烷試劑+淨化)×m1或者(M1Xy+淨化+矽烷/硼烷試劑+淨化+M2R3+清洗)×m1,其中m1為總循環數。M1為金屬原子,其較佳由以下所組成的族群中選出:Ti、Zr、Hf、V、Nb、Ta、Cr、Mo、W。
M2為金屬原子,其較佳由以下所組成的族群中選出:B、Al、In、Bi、Sn、Zn、Pb、Sb及Ga。R為M2之配體,且可為任 何配體,其較佳為金屬有機配體,更佳為有機金屬配體,最佳為烷烴配體(諸如乙基配體)。
Xy為M1之一或多個配體。每一X可為選自由I、Br、Cl及F組成之族群的鹵素配體。然而,在一些實施例中,至少一個X可為金屬有機配體,諸如環戊二烯基(例如,環戊二烯基、甲基環戊二烯基、五甲基環戊二烯基、乙基環戊二烯基、異丙基環戊二烯基、第三丁基環戊二烯基以及茚基(indenyl))、烷基(例如,甲基、乙基、丙基以及丁基)、羰基、環辛二烯、苯或氫配體。在其他實施例中,Xy可包括其混合物。然而,至少其中一個Xy配體較佳為鹵素。作為一個實例,在一些實施例中,可使用雙(環戊二烯基)二氯化鉿(bis(cyclopentadienyl)hafnium dichloride)或雙(環戊二烯基)三氯化鉭(V)(bis(cyclopentadienyl)tantalum(V)trichloride)作為金屬前驅物。在一些實施例中,X不為氧或氮。
矽烷/硼烷試劑可由以下所組成的族群中選出:單矽烷、二矽烷、三矽烷、硼烷以及二硼烷。在一些實施例中,矽烷/硼烷試劑為二矽烷或三矽烷,其在沈積每一層期間或之後、在僅沈積一些層之後、或在沈積所有層之後應用。可以脈衝或以浸泡及以液體或以蒸汽方式應用矽烷/硼烷試劑。
在較佳實施例中,M2為金屬,較佳為鋁,且R為含碳配體。M2R3較佳具有至少一個金屬-碳鍵。在一些實施例中,可將M2R3視為碳源化學物。在一些實施例中,M2R3選自由TMA及TEA組成之族群。在一些實施例中,M2R3為DMAH。在一些實施例中, M2R3為TTBA。
一些實施例之ALD製程的一個益處在於ALD製程之生長速率極高。舉例來說,形成TaC之生長速率可超過2Å/循環。另外,可在金屬碳化物沈積之後進行退火,以增強膜之性質。可在退火期間使用合適的氛圍,諸如N2或形成氣體(N2/H2)。
用於TiC膜形成之例示性脈衝工序包括:(TiCl4+淨化+三甲基鋁(TMA)或三乙基鋁(TEA)+淨化+矽烷/硼烷試劑+淨化)]×m2以及(TiCl4+淨化+矽烷/硼烷試劑+淨化+TMA或TEA+淨化)]×m2,其中m2為總循環數,且矽烷/硼烷試劑是由以下所組成的族群中選出:單矽烷、二矽烷、三矽烷、硼烷以及二硼烷。
使用以上例示性脈衝工序所沈積之膜以原子計含有約17-20% Ti、約17-27% Al、約16-42% Si以及約21-39% C。這些值是使用盧瑟福反向散射光譜法(Rutherford backscattering spectrometry,RBS)測定。
在其他實施例中,並非在每個循環中而僅在一些循環中利用矽烷/硼烷試劑。在此情形下,用於含碳金屬薄膜沈積之一般脈衝工序可為:[n3×(M1Xy+淨化+M2R3+淨化)+m3×(矽烷/硼烷試劑+淨化)]×k3,其中n3為一個總循環中之碳化物循環數,m3為其中在一 個總循環中使用矽烷/硼烷試劑之循環數,且k3為總循環數。M1較佳為Ti,但可為選自由Zr、Hf、V、Nb、Ta、Cr、Mo及W組成之族群的金屬原子。M2較佳為Al,但可為選自由B、Al、In、Sn、Bi、Zn、Pb、Sb及Ga組成之族群的金屬原子。R為M2之配體且可為任何配體。
Xy為M1之一或多個配體。每一X較佳為選自由I、Br、Cl及F組成之族群的鹵素配體。然而,在一些實施例中,至少一個X可為金屬有機配體,諸如環戊二烯基(例如,環戊二烯基、甲基環戊二烯基、五甲基環戊二烯基、乙基環戊二烯基、異丙基環戊二烯基、第三丁基環戊二烯基以及茚基)、烷基(例如,甲基、乙基、丙基以及丁基)、羰基、環辛二烯、苯或氫配體。在其他實施例中,Xy可包含其混合物。然而,至少其中一個Xy配體較佳為鹵素。作為一個實例,在一些實施例中,可使用雙(環戊二烯基)二氯化鉿或者雙(環戊二烯基)三氯化鉭(V)作為金屬前驅物。在一些實施例中,X不包括氮或氧。
根據一些實施例,反應溫度可為約300℃至約500℃,較佳約325℃至約450℃,且更佳約350℃至約450℃。
使用本文所揭露的方法及材料所產生的薄膜的確切組成可能會有所不同。根據本揭露所製造的碳化鈦膜可包含一些不同的元素成分,所述不同的元素成分包括但不限於鈦、鋁、碳、矽及/或硼,這部分取決於所使用的矽烷/硼烷試劑的類型。
在一些實施例中,鈦或其他合適的金屬的原子百分比可 為約10-30%、約10-25%、或甚至約15-20%。在一些實施例中,鋁的原子百分比可大於約15%、大於約20%、或甚至大於約25%。在一些實施例中,矽或硼的原子百分比可大於約10%、大於約25%、或大於約35%。在一些實施例中,碳的原子百分比可小於約40%、小於約30%、或小於約25%。
在一些實施例中,金屬碳化物膜以原子計包括約10-30%鈦、大於約15%的鋁、大於約10%的矽或硼、以及小於約40%的碳。在一些實施例中,金屬碳化物膜以原子計包括約10-25%鈦、大於約20%的鋁、大於約25%的矽或硼、以及小於約30%的碳。在一些實施例中,金屬碳化物膜以原子計包括約15-20%鈦、大於約25%的鋁、大於約35%的矽或硼、以及小於約25%的碳。
在一些實施例中,鈦或其他合適的金屬的原子百分比可為約10-50%、約15-45%、或甚至約20-40%。在一些實施例中,鋁的原子百分比可小於約15%、小於約10%、或甚至小於約5%,並在某些情況下低於約1%(例如約~0%)。在一些實施例中,矽或硼的原子百分比可大於約25%、大於約35%、或大於約45%。在一些實施例中,碳的原子百分比可小於約20%、小於約10%、或小於約5%,並在某些情況下低於約1%,甚至約~0%。
在一些實施例中,在膜中的矽、硼及鋁的總結合百分比(total combined percentage)包括超過約20%,較佳超過約30%,且更佳超過約40%,且若需要時在某些情況下超過約45%。
可能有各種組成物。舉例來說,在一些實施例中,可能 需要的是製造具有僅一個或一些元素落入「較佳」、「更佳」或「最佳」中的任一範圍內的組成物之薄膜。
在本揭露的一些實施例中,可使用所揭露的沈積方法以形成各種堆疊,其包括但不限於在形成閘極製程中的NMOS堆疊。舉例來說,在一些實施例中,使用本文所揭露的方法所製造出的含有TiC薄膜的NMOS堆疊顯示出小於約10-2A/cm2、小於約10-3A/cm2、或小於約3×10-4A/cm2的漏電流(leakage)(Jg)(在-1V應力下)。
在本揭露的一些實施例中,可在介電/金屬堆疊中形成碳化鈦(TiC)膜,其中堆疊的等效氧化層厚度(equivalent oxide thickness,EOT)可小於約1.3nm、小於約1.2nm、較佳小於約1.1nm、或小於約1.05nm。
在本揭露的一些實施例中,可形成TiC膜,其中有效功函數(effective workfunction,eWF)可為約4.0eV至約4.4eV、約4.05eV至約4.35eV、或約4.1eV至約4.25eV。
在一些實施例中,使用矽烷/硼烷試劑(例如矽烷(諸如二矽烷或三矽烷))可使TiC薄膜之電阻率相對於未暴露於矽烷/硼烷試劑的TiC膜減少。在一些實施例中,電阻率減少至多或多達約30%、至多或多達約40%、或至多或多達約50%。
如本文所揭露的使用矽烷/硼烷試劑亦具有提供抗氧化的薄膜(例如TiC)的潛力。在一些實施例中,被認為是(沒有任何理論支持)增加抗氧化,即使當膜經受後續的製程或氛圍時。不依賴於 任何特定的理論,當被矽或硼或包括矽烷/硼烷試劑的一些其他元素部分取代時,由於矽烷/硼烷試劑傾向於減少薄膜中的碳量,因此被認為是減少抗氧化。
由於即使堆疊中之少量氧可改變堆疊之電性(即eWF),從而使得此堆疊不適用於其預期目的,因此抗氧化性為重要的。此外,堆疊之沈積不暴露於空氣或環境濕氣可能為昂貴、困難及/或過於複雜的。因此,使用矽烷/硼烷試劑來達到相同或相似結果可大幅地簡化沈積製程,同時亦控制了成本。
半導體元件應用
現在將討論半導體元件結構的製造方法。儘管針對若干特定情況進行描述,但是本領域中具通常知識者將認識到本文所述的製程也可適用於許多其他情況。
本文所揭露的ALD製程可成功地應用於製造NMOS電晶體,其中NMOS電晶體包括平面元件以及多閘極電晶體,諸如FinFET。
作為電極的含碳膜
在一些實施例中,藉由導電金屬碳化物(例如TiC)的ALD形成電極。請參照圖2,在基板(未繪示)上沈積高k介電材料的層200。可在沈積高k材料之前先處理基板。舉例來說,在一些實施例中,可在沈積高k材料之前先沈積薄界面層(未繪示)。在一實施例中,於表面上形成薄化學氧化物或氮氧化物。在其他實施例中,於基板上生長熱氧化物。
「高k」一般是指介電常數(k)值大於氧化矽的介電材料。高k材料的介電常數較佳大於5,更佳大於約10。高k材料例如是包括但不限於HfO2、ZrO2、Al2O3、TiO2、Ta2O5、Sc2O3、鑭氧化物及其混合物、矽酸鹽(silicate)以及例如經氧化釔穩定之氧化鋯(yttria-stabilized zirconia,YSZ)、鈦酸鋇鍶(barium strontium titanate,BST)、鈦酸鍶(strontium titanate,ST)、鉭酸鍶鉍(strontium bismuth tantalate,SBT)以及鉭酸鉍(bismuth tantalate,BT)的材料。高k材料亦較佳藉由ALD製程沈積。
可在介電層上沈積諸如TiN的材料的層或薄膜210。此層可用作蝕刻停止層,其中在另一反應器或在其他設備已先進行蝕刻。從一個反應器或設備轉移至另一個反應器或設備可能將薄膜暴露於諸如水或空氣的汙染物。水或空氣通常會使任何暴露的層(諸如TiN)實質上氧化成TiON。此種污染會干擾最終堆疊的功函數。
如上所述,藉由ALD在層210上沈積導電金屬碳化物(例如TiC)的層或薄膜220,以形成所示結構。應理解的是,在所示實施例中,層不必成比例繪製。金屬碳化物、TiN的薄層以及下層高k材料被圖案化以形成電極。
如上所述,較佳藉由使基板接觸金屬源化學物、碳源化學物以及矽烷/硼烷試劑(不一定按此順序)的交替脈衝,或者是藉由ALD沈積完整的金屬碳化物膜且接著用矽烷/硼烷試劑處理所產生的膜,而於薄層210上沈積金屬碳化物薄膜220。金屬源化學 物較佳是鹵化物化合物(例如TiCl4),且碳源化學物較佳例如是有機金屬化合物,例如三甲基鋁(TMA)。
在一些實施例中,用矽烷/硼烷試劑處理TiN的薄層。除了可用矽烷/硼烷試劑處理金屬碳化物膜或者是利用矽烷/硼烷試劑來形成金屬碳化物膜之外,可用矽烷/硼烷試劑處理TiN的薄層。矽烷/硼烷試劑可還原薄膜210。若包括TiON,則矽烷/硼烷試劑可將薄膜實質上還原回TiN。以此方式可改善功函數或維持在發生氧化前的功函數。而且,在所產生的碳化物層中矽烷/硼烷試劑的存在可實際上提供其他益處,諸如減少電阻率。矽烷/硼烷試劑可選自包括矽烷(例如SiH4、Si2H6或Si3H8)以及硼烷(例如B2H6)之族群。
在堆疊中的各種層的厚度可能會有所不同,雖然在一些實施例中,例如圖2所示的實施例,層210的厚度可為約10Å至約20Å,較佳約15Å。並且,層220的厚度一般可大於層210的厚度。例如圖2所示的實施例,使用本揭露的保護處理對減少堆疊中的各種層的厚度特別有用,以實現較小的電子元件和電路。這是因為較薄的層更容易讓氧擴散通過。並且,在一些實施例中,使用矽烷/硼烷試劑不會明顯地增加堆疊的總厚度。
當形成金屬碳化物膜時,在每個源化學物脈衝之後,例如藉由抽空及/或用惰性氣體(例如N2)淨化,自反應室中移除未反應的源化學物以及反應副產物。在一些實施例中,使用一個真空泵或多個真空泵來實現抽空。重複脈衝循環(在至少一些循環中可 包括矽烷/硼烷試劑)直到形成所需厚度的金屬碳化物層為止。在一些實施例中,矽烷/硼烷試劑亦應用或僅應用在完成所有循環之後。矽烷/硼烷試劑可用作脈衝或浸泡。在一些實施例中,較佳可在完成所有循環之後將矽烷/硼烷試劑用作浸泡。並且,金屬碳化物層較佳具有約5Å至約1000Å的厚度。
在這些實施例中為了形成電極所沈積的導電金屬碳化物較佳由以下所組成的族群中選出:Ti、Zr、Hf、V、Nb、Ta、Cr、Mo以及W。
在一些實施例中,金屬碳化物形成電極。在其他實施例中(未繪示),在金屬碳化物上沈積另一導電材料,例如金屬或多晶矽(poly-Si)。可藉由ALD或藉由另一沈積製程(例如藉由CVD或PVD)沈積所述額外的導電材料。沈積可選擇性或其後可跟隨著圖案化步驟。根據又一實施例,可在金屬碳化物沈積之後進行退火。技術人員可顯而易見合適的氛圍例如是N2或形成氣體(N2/H2)。
技術人員將可顯而易見進一步的製程步驟,例如間隙物沈積以及源極/汲極植入。
實例1-TiC膜
使用本文所揭露的方法沈積各種碳化鈦薄膜。接著使用盧瑟福反向散射光譜法(RBS)分析薄膜,以測定各種膜的組成。
在分析各種膜之後,測定出以原子計組分的以下範圍:約17-20% Ti、約17-27% Al、約16-42% Si以及約21-39% C。
實例2-在單晶圓反應器中的TiAlC及TiAlSiC
藉由原子層沈積(ALD),在Pulsar® 2000 R&D反應器中,使用TiCl4作為TiAlC膜的鈦源,且使用Al(CH2CH3)3作為TiAlC膜的鋁源及碳源,另外二矽烷(Si2H6)或三矽烷(Si3H8)用作TiAlSiC膜的矽源,以沈積鈦碳化鋁(titanium-aluminium carbide,TiAlC)以及鈦鋁碳化矽(titanium-aluminum-carbide-silicon,TiAlSiC)薄膜。
使用TiCl4及Al(CH2CH3)3的交替且連續脈衝沈積TiAlC以及TiAlSiC膜,且在TiAlSiC膜的情況下提供額外的二矽烷(Si2H6)或三矽烷(Si3H8)的交替且連續脈衝。亦用二矽烷(Si2H6)或三矽烷(Si3H8)浸泡TiAlC膜約1分鐘。在約415℃的反應溫度下沈積且處理膜。TiCl4脈衝0.05秒,且淨化5秒。Al(CH2CH3)3脈衝0.5秒,且淨化5秒。Si2H6或Si3H8脈衝0.5秒,且淨化5秒。Al(CH2CH3)3被加熱至60℃,而TiCl4在室溫。載氣為超純N2,且所用流量為0.6slm。
一些膜沈積在熱SiO2/Si基板上,同時其他膜沈積在HfO2層的頂部上具有或不具有TiN中間層(75循環)之2-3nm HfO2/0.4nm SiO2/Si基板上。在TiAlC或TiAlSiC膜沈積之前沈積TiN中間層。具有2-3nm HfO2/0.4nm SiO2/Si以及視情況沈積的TiN中間層之基板被用於膜的電性。再者,在TiAlC或TiAlSiC層的頂部上沈積另一TiN層(250循環)。在同一反應室中,使用TiCl4及NH3作為前驅物沈積所有TiN層,其中沈積TiAlC或TiAlSiC膜不用將基板移出反應室。此產生了6-8nm TiN/3-4nm TiAlSiC 或TiAlC/(視情況2-2.5nm TiN/)2-3nm HfO2/0.4nm SiO2/Si的堆疊結構,其中所述堆疊結構是藉由穿透式顯微鏡(transmission electron microscopy,TEM)自樣品的剖面區域量測。在堆疊沈積之後,藉由物理氣相沈積(physical vapor deposition,PVD)在樣品的頂部上沈積鉑點,接著從鉑點之間的區域蝕刻掉TiN、TiAlSiC及TiAlC層,其中所述鉑點形成具有圓形頂電極的電容陣列。雖然從電容結構的結果可能無法直接比較或轉換成NMOS電晶體結構的結果,但是這些電容結構被用來測定代表膜的重要特性與品質之堆疊的TiAlSiC或TiAlC層的有效功函數、等效氧化層厚度以及漏電流密度。
經沈積的TiAlC與TiAlSiC膜的結果及特性如表1所示。在20nm的熱SiO2/Si基板上,沈積膜的生長速率在約2.55Å/循環至3.8Å/循環的範圍內,且電阻率在約1300μΩcm至3800μΩcm的範圍內。值得注意的是,用二矽烷或三矽烷浸泡形成在TiAlC層的頂部上的矽層(儘管矽烷亦可滲透或擴散通過部分TiAlC層或甚至是整個層);因此在表中未顯示生長速率。使用盧瑟福反向散射光譜法(RBS)測定膜以找出元素組成。TiAlC及TiAlSiC層的有效功函數(eWF)在4.20eV至4.33eV的範圍內,等效氧化層厚度在1.04至1.20的範圍內,且漏電流密度在5.17×10-3A/cm2至1.69×10-5A/cm2的範圍內。另外,由於在TiAlSiC膜中的碳含量減少,因此假設對在空氣或氧化或進一步(溼氣及/或氧氣)中的環境氧的穩定性可潛在地增加(相信不被任何理論束縛)。
用Si3H8製造的TiAlSiC膜(將Si3H8併入生長循環中或者隨後浸泡膜)所實現的結果為最終使用在NMOS電晶體中最需要者,當其具有最低的有效功函數及低電阻率時,而仍維持合理的低漏電流及低EOT及潛在的良好抗氧化。再者,用Si2H6製造的TiAlSiC膜(將Si3H8併入生長循環中或者用浸泡)是可接受的或比TiAlC膜較佳的,因為如上所解釋的增加抗氧化以及較低的電阻率。
在所有上述實施例中,在一實施例中所使用的任何元素均可與另一實施例中所使用的任何元素互換,除非這樣的替換是不可行的。
本領域中具通常知識者應瞭解,在不脫離本發明之精神的情況下,可做出多種且各種修改。因此,應清楚地瞭解,本發明的形式僅是說明性的,且不意欲限制本發明的範疇。所有此等修改及改變均意欲屬於如由申請專利範圍所界定之本發明的範疇。
102、104、106、108、110、112‧‧‧步驟
105‧‧‧第一階段
109‧‧‧第二階段
113‧‧‧第三階段

Claims (22)

  1. 一種用於在基板上形成含碳的鈦薄膜的製程,包括藉由包括多個沈積循環的製程在所述基板上沈積所述含碳的鈦薄膜,至少一個所述沈積循環包括:使基板交替接觸第一氣體源化學物以及第二氣體源化學物,其中所述第一氣體源化學物包括鹵化鈦,所述第二氣體源化學物包括金屬以及有機配體,其中在使所述基板接觸所述第一氣體源化學物與所述第二氣體源化學物之間所述基板暴露於淨化氣體及/或真空;以及隨後將所述含碳的鈦薄膜暴露於矽烷或硼烷試劑,其中在將所述含碳的鈦薄膜暴露於所述矽烷或硼烷試劑之後,所述含碳的鈦薄膜以原子計包括小於約40%的鈦。
  2. 如申請專利範圍第1項所述的用於在基板上形成含碳的鈦薄膜的製程,其中在暴露之後,所述含碳的鈦薄膜以原子計包括至少約10%的矽。
  3. 如申請專利範圍第1項所述的用於在基板上形成含碳的鈦薄膜的製程,其中在暴露之後,所述含碳的鈦薄膜以原子計合計包括超過約40%的結合的矽、硼及鋁。
  4. 如申請專利範圍第1項所述的用於在基板上形成含碳的鈦薄膜的製程,其中所述含碳的鈦薄膜形成介電/電極堆疊的一部分,所述介電/電極堆疊具有小於約1.3nm的等效氧化層厚度。
  5. 如申請專利範圍第1項所述的用於在基板上形成含碳的鈦 薄膜的製程,其中所述含碳的鈦薄膜具有約4.0eV至約4.4eV的功函數。
  6. 如申請專利範圍第1項所述的用於在基板上形成含碳的鈦薄膜的製程,其中所述矽烷/硼烷試劑由以下所組成的族群中選出:單矽烷、二矽烷、三矽烷、有機矽烷、硼烷、二硼烷以及有機硼烷。
  7. 如申請專利範圍第1項所述的用於在基板上形成含碳的鈦薄膜的製程,其中在將所述含碳的鈦薄膜暴露於所述矽烷/硼烷試劑之前,完成至少六個所述沈積循環。
  8. 如申請專利範圍第1項所述的用於在基板上形成含碳的鈦薄膜的製程,其中在每個所述沈積循環之後,將所述含碳的鈦薄膜暴露於所述矽烷/硼烷試劑。
  9. 如申請專利範圍第1項所述的用於在基板上形成含碳的鈦薄膜的製程,其中所述第二氣體源化學物為三甲基鋁(TMA)或三乙基鋁(TEA)。
  10. 如申請專利範圍第1項所述的用於在基板上形成含碳的鈦薄膜的製程,其中至少一所述沈積循環包括:使所述基板接觸所述鹵化鈦;自反應空間移除過量的所述鹵化鈦;使所述基板接觸有機金屬化合物或金屬有機化合物;自所述反應空間移除過量的所述有機金屬化合物或所述金屬有機化合物;以及 所述矽烷/硼烷試劑為三矽烷。
  11. 一種用於在反應室中的基板上沈積包括碳的金屬薄膜的方法,包括多個沈積循環,每個所述沈積循環包括使所述基板分別暴露於包括鹵化鈦的第一源化學物、包括碳與鋁的第二源化學物以及包括矽烷或硼烷的第三源化學物,且其中所述包括碳的金屬薄膜以原子計包括小於40%的鈦。
  12. 如申請專利範圍第11項所述的用於在反應室中的基板上沈積包括碳的金屬薄膜的方法,其中所述第二源化學物為金屬有機化合物。
  13. 如申請專利範圍第11項所述的用於在反應室中的基板上沈積包括碳的金屬薄膜的方法,其中所述第三源化學物由以下所組成的族群中選出:單矽烷、二矽烷、三矽烷、有機矽烷、硼烷、二硼烷以及有機硼烷。
  14. 如申請專利範圍第11項所述的用於在反應室中的基板上沈積包括碳的金屬薄膜的方法,其中所述第二源化學物為在所述第一源化學物之後所提供的下一個源化學物。
  15. 如申請專利範圍第11項所述的用於在反應室中的基板上沈積包括碳的金屬薄膜的方法,其中所述第二源化學物為在所述第三源化學物之後所提供的下一個源化學物。
  16. 一種用於在包括鈦的含碳金屬膜上形成頂蓋層的方法,包括:將所述含碳金屬膜暴露於矽烷化合物或硼烷化合物。
  17. 如申請專利範圍第16項所述的用於在包括鈦的含碳金屬膜上形成頂蓋層的方法,其中將所述含碳金屬膜暴露於所述矽烷化合物或硼烷化合物約45秒至約180秒。
  18. 如申請專利範圍第16項所述的用於在包括鈦的含碳金屬膜上形成頂蓋層的方法,其中所述頂蓋層的厚度小於約3nm。
  19. 如申請專利範圍第16項所述的用於在包括鈦的含碳金屬膜上形成頂蓋層的方法,其中所述頂蓋層包括部分所述含碳金屬膜。
  20. 如申請專利範圍第16項所述的用於在包括鈦的含碳金屬膜上形成頂蓋層的方法,其中所述含碳金屬膜直接位在介電層上且接觸所述介電層。
  21. 如申請專利範圍第16項所述的用於在包括鈦的含碳金屬膜上形成頂蓋層的方法,其中在暴露之後,所述頂蓋層以原子計合計包括超過約20%的結合的矽、硼及鋁。
  22. 如申請專利範圍第16項所述的用於在包括鈦的含碳金屬膜上形成頂蓋層的方法,其中在將所述含碳金屬膜暴露於所述矽烷化合物或硼烷化合物之後,更包括將金屬氮化物層直接沈積在所述頂蓋層上且接觸所述頂蓋層。
TW103108278A 2013-03-14 2014-03-11 碳化鈦膜之矽烷與硼烷處理 TWI586826B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/829,856 US8841182B1 (en) 2013-03-14 2013-03-14 Silane and borane treatments for titanium carbide films

Publications (2)

Publication Number Publication Date
TW201435128A TW201435128A (zh) 2014-09-16
TWI586826B true TWI586826B (zh) 2017-06-11

Family

ID=51529008

Family Applications (2)

Application Number Title Priority Date Filing Date
TW106113179A TWI595109B (zh) 2013-03-14 2014-03-11 在基板上處理氮化物薄膜的方法
TW103108278A TWI586826B (zh) 2013-03-14 2014-03-11 碳化鈦膜之矽烷與硼烷處理

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW106113179A TWI595109B (zh) 2013-03-14 2014-03-11 在基板上處理氮化物薄膜的方法

Country Status (3)

Country Link
US (4) US8841182B1 (zh)
KR (1) KR102013442B1 (zh)
TW (2) TWI595109B (zh)

Families Citing this family (338)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8841182B1 (en) * 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR102195139B1 (ko) * 2014-02-20 2020-12-24 삼성전자주식회사 반도체 장치의 제조 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6087023B2 (ja) * 2014-03-28 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102216575B1 (ko) 2014-10-23 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 티타늄 알루미늄 및 탄탈륨 알루미늄 박막들
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102342850B1 (ko) 2015-04-17 2021-12-23 삼성전자주식회사 반도체 소자의 제조를 위한 유전체층의 큐어링 방법
US10458018B2 (en) * 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10204790B2 (en) 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
WO2018213295A1 (en) * 2017-05-15 2018-11-22 Tokyo Electron Limited In-situ selective deposition and etching for advanced patterning applications
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US9947582B1 (en) 2017-06-02 2018-04-17 Asm Ip Holding B.V. Processes for preventing oxidation of metal thin films
KR20190026835A (ko) * 2017-06-12 2019-03-13 가부시키가이샤 알박 박막 형성 방법
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US20200071825A1 (en) * 2018-08-28 2020-03-05 Applied Materials, Inc. Methods Of Depositing Metal Carbide Films
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US20220282367A1 (en) * 2019-09-11 2022-09-08 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220020210A (ko) * 2020-08-11 2022-02-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 티타늄 알루미늄 카바이드 막 구조체 및 관련 반도체 구조체를 증착하는 방법
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112526663A (zh) * 2020-11-04 2021-03-19 浙江大学 一种基于原子层沉积的吸收膜及其制作方法
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6632595B2 (en) * 2001-03-13 2003-10-14 Fuji Photo Film Co., Ltd. Silver halide photographic emulsion
TW200818395A (en) * 2006-07-20 2008-04-16 Tokyo Electron Ltd Manufacturing method and apparatus for semiconductor device, semiconductor device, computer program and storage medium
TW201250044A (en) * 2011-03-23 2012-12-16 Hitachi Int Electric Inc Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus

Family Cites Families (165)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
US3925337A (en) 1969-07-31 1975-12-09 Air Prod & Chem Post chlorinated vinyl chloride copolymers
US3708728A (en) 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
JPS5833841Y2 (ja) 1979-06-12 1983-07-29 ヤンマー農機株式会社 田植機における線引きマ−カ−
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
EP0149044B1 (en) 1983-11-11 1987-05-13 Research Development Corporation of Japan Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
JPH0669157B2 (ja) 1985-03-11 1994-08-31 日本電気株式会社 自動等化装置
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JPH0637041Y2 (ja) 1989-10-26 1994-09-28 ゼムコインタナショナル株式会社 アスファルトプラント
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
CA2059818C (en) 1991-08-21 1995-01-03 Gokichi Hatouchi Apparatus for storing articles
JPH05335257A (ja) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk p型シリコンカーバイドの形成方法
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
FR2695944B1 (fr) 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
JP3356531B2 (ja) 1994-02-15 2002-12-16 東京エレクトロン株式会社 ボロン含有ポリシリコン膜の形成方法
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
DE69517158T2 (de) 1994-11-30 2001-01-25 Micron Technology Inc Verfahren zum auftragen von wolframnitrid unter verwendung eines silicium enthaltenden gases
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
US5964943A (en) 1994-12-27 1999-10-12 Siemens Aktiengesellschaft Method of producing boron-doped monocrystalline silicon carbide
US6006763A (en) 1995-01-11 1999-12-28 Seiko Epson Corporation Surface treatment method
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
DE19514081A1 (de) 1995-04-13 1996-10-17 Siemens Ag Verfahren zum Herstellen eines elektrischen Kontakts auf einer SiC-Oberfläche
US5744254A (en) 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5595784A (en) 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
JPH0987857A (ja) 1995-09-27 1997-03-31 Res Dev Corp Of Japan プラズマcvdによる炭化物コーティング方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
KR0155918B1 (ko) 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
US6509098B1 (en) 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US5946594A (en) 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5965004A (en) 1996-03-13 1999-10-12 Sterling Pulp Chemicals, Ltd. Chlorine dioxide generation for water treatment
US5789024A (en) 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
JP3353874B2 (ja) 1996-09-24 2002-12-03 シャープ株式会社 半導体装置及びその製造方法
EP0841690B1 (en) 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
KR100287174B1 (ko) 1998-03-17 2001-04-16 윤종용 다원자계산화물 및 질화물의박막제조방법
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
DE19832245A1 (de) 1998-07-17 2000-01-20 Heidelberger Druckmasch Ag Verfahren zum Bedienen von Geräten in der Reproduktionstechnik
JP2960928B1 (ja) 1998-07-24 1999-10-12 スタンレー電気株式会社 車両用信号灯具
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
EE200100421A (et) 1999-02-11 2002-12-16 Hardide Limited Volframkarbiidist kattematerjal, seda sisaldav kate ning meetod volframkarbiidi saamiseks ja katte valmistamiseks
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (ko) 1999-03-16 2000-10-16 이경수 산화알루미늄 막의 형성 방법
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
WO2001029280A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Deposition of transition metal carbides
JP5173098B2 (ja) 1999-10-15 2013-03-27 エーエスエム インターナショナル エヌ.ヴェー. ダマシン・メタライゼーションのためのコンフォーマルライニング層
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR20010075977A (ko) 2000-01-21 2001-08-11 윤덕용 부가 단량체 단일선구물질을 이용한 13 족 질화물 박막의제조방법
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
DE10018156A1 (de) 2000-04-12 2001-10-25 Bosch Gmbh Robert Elektromotor
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
EP1282911B1 (en) 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US6194310B1 (en) 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6569529B1 (en) * 2000-10-10 2003-05-27 Flex Product, Inc. Titanium-containing interference pigments and foils with color shifting properties
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US6884719B2 (en) 2001-03-20 2005-04-26 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20040206008A1 (en) 2001-07-16 2004-10-21 Chien-Min Sung SiCN compositions and methods
JP4666912B2 (ja) 2001-08-06 2011-04-06 エー・エス・エムジニテックコリア株式会社 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
AU2002333601A1 (en) 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR20030057938A (ko) 2001-12-29 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 실리콘 질화막 제조방법
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
KR20030093575A (ko) 2002-06-03 2003-12-11 주식회사 하이닉스반도체 고선택성 질화막을 이용한 캐패시터 제조방법
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
KR20040060402A (ko) 2002-12-30 2004-07-06 주식회사 하이닉스반도체 반도체소자의 콘택 형성방법
KR20030016346A (ko) 2003-01-29 2003-02-26 윤태식 차량 부재 운행 자동 관리 시스템
US7198820B2 (en) 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
KR100511913B1 (ko) 2003-03-06 2005-09-02 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
KR100494129B1 (ko) 2003-03-06 2005-06-10 주식회사 하이닉스반도체 반도체 소자의 전극 형성방법
KR20040100767A (ko) 2003-05-24 2004-12-02 주식회사 하이닉스반도체 저압 실리콘 질화막 형성 방법
US20040238876A1 (en) 2003-05-29 2004-12-02 Sunpil Youn Semiconductor structure having low resistance and method of manufacturing same
WO2004113585A2 (en) * 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
KR100543517B1 (ko) 2003-06-23 2006-01-20 주식회사 포스코 수처리 설비의 원격 전력량 계측 시스템
US7030430B2 (en) 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US7105430B2 (en) 2004-03-26 2006-09-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a notched control electrode and structure thereof
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
KR100714269B1 (ko) 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US7015153B1 (en) 2004-10-20 2006-03-21 Freescale Semiconductor, Inc. Method for forming a layer using a purging gas in a semiconductor process
KR100640550B1 (ko) 2005-01-26 2006-10-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
US7438949B2 (en) 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US8486845B2 (en) 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
US20070054046A1 (en) 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
WO2007041089A2 (en) 2005-09-29 2007-04-12 Praxair Technology, Inc. Organometallic compounds and methods of use thereof
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7407876B2 (en) 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
US8268409B2 (en) 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7598170B2 (en) * 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
WO2009129332A2 (en) * 2008-04-16 2009-10-22 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
TWI536451B (zh) * 2010-04-26 2016-06-01 應用材料股份有限公司 使用具金屬系前驅物之化學氣相沉積與原子層沉積製程之n型金氧半導體金屬閘極材料、製造方法及設備
US8846550B1 (en) * 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US8841182B1 (en) * 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6632595B2 (en) * 2001-03-13 2003-10-14 Fuji Photo Film Co., Ltd. Silver halide photographic emulsion
TW200818395A (en) * 2006-07-20 2008-04-16 Tokyo Electron Ltd Manufacturing method and apparatus for semiconductor device, semiconductor device, computer program and storage medium
TW201250044A (en) * 2011-03-23 2012-12-16 Hitachi Int Electric Inc Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus

Also Published As

Publication number Publication date
US20150179440A1 (en) 2015-06-25
US8841182B1 (en) 2014-09-23
KR20140113484A (ko) 2014-09-24
KR102013442B1 (ko) 2019-11-04
US20160196977A1 (en) 2016-07-07
US9583348B2 (en) 2017-02-28
TW201725276A (zh) 2017-07-16
TW201435128A (zh) 2014-09-16
US9236247B2 (en) 2016-01-12
TWI595109B (zh) 2017-08-11
US20170154778A1 (en) 2017-06-01
US20140273510A1 (en) 2014-09-18

Similar Documents

Publication Publication Date Title
TWI586826B (zh) 碳化鈦膜之矽烷與硼烷處理
TWI556294B (zh) 金屬薄膜的矽烷或硼烷處理
KR102367720B1 (ko) 이중 선택적 퇴적
US10964534B2 (en) Enhanced thin film deposition
US11139383B2 (en) Titanium aluminum and tantalum aluminum thin films
KR20090101437A (ko) 금속 규산염 막의 원자층 증착