TWI556294B - 金屬薄膜的矽烷或硼烷處理 - Google Patents
金屬薄膜的矽烷或硼烷處理 Download PDFInfo
- Publication number
- TWI556294B TWI556294B TW103109223A TW103109223A TWI556294B TW I556294 B TWI556294 B TW I556294B TW 103109223 A TW103109223 A TW 103109223A TW 103109223 A TW103109223 A TW 103109223A TW I556294 B TWI556294 B TW I556294B
- Authority
- TW
- Taiwan
- Prior art keywords
- metal
- film
- layer
- forming
- borane
- Prior art date
Links
- 229910052751 metal Inorganic materials 0.000 title claims description 277
- 239000002184 metal Substances 0.000 title claims description 277
- UORVGPXVDQYIDP-UHFFFAOYSA-N borane Chemical compound B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 title claims description 123
- 229910000085 borane Inorganic materials 0.000 title claims description 74
- 239000010409 thin film Substances 0.000 title claims description 15
- 238000011282 treatment Methods 0.000 title description 186
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 title 1
- 229910000077 silane Inorganic materials 0.000 title 1
- 239000010408 film Substances 0.000 claims description 268
- 238000000034 method Methods 0.000 claims description 128
- DIOQZVSQGTUSAI-UHFFFAOYSA-N n-butylhexane Natural products CCCCCCCCCC DIOQZVSQGTUSAI-UHFFFAOYSA-N 0.000 claims description 112
- 238000000151 deposition Methods 0.000 claims description 100
- 238000000231 atomic layer deposition Methods 0.000 claims description 95
- -1 decane compound Chemical class 0.000 claims description 71
- 230000008021 deposition Effects 0.000 claims description 70
- 230000008569 process Effects 0.000 claims description 69
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical group [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 49
- 239000010936 titanium Substances 0.000 claims description 48
- 229910052719 titanium Inorganic materials 0.000 claims description 46
- 150000004767 nitrides Chemical class 0.000 claims description 45
- 239000000758 substrate Substances 0.000 claims description 44
- MTPVUVINMAGMJL-UHFFFAOYSA-N trimethyl(1,1,2,2,2-pentafluoroethyl)silane Chemical compound C[Si](C)(C)C(F)(F)C(F)(F)F MTPVUVINMAGMJL-UHFFFAOYSA-N 0.000 claims description 35
- BGJSXRVXTHVRSN-UHFFFAOYSA-N 1,3,5-trioxane Chemical compound C1OCOCO1 BGJSXRVXTHVRSN-UHFFFAOYSA-N 0.000 claims description 20
- 230000004888 barrier function Effects 0.000 claims description 17
- RYHBNJHYFVUHQT-UHFFFAOYSA-N 1,4-Dioxane Chemical compound C1COCCO1 RYHBNJHYFVUHQT-UHFFFAOYSA-N 0.000 claims description 14
- 239000003989 dielectric material Substances 0.000 claims description 11
- 229910052721 tungsten Inorganic materials 0.000 claims description 9
- 229910052715 tantalum Inorganic materials 0.000 claims description 8
- 229910004490 TaAl Inorganic materials 0.000 claims description 6
- 229910010038 TiAl Inorganic materials 0.000 claims description 6
- 229910052718 tin Inorganic materials 0.000 claims description 6
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 6
- 239000010937 tungsten Substances 0.000 claims description 6
- 229910052758 niobium Inorganic materials 0.000 claims description 5
- 229910052735 hafnium Inorganic materials 0.000 claims description 4
- 238000011065 in-situ storage Methods 0.000 claims description 4
- 229910052720 vanadium Inorganic materials 0.000 claims description 4
- 229910052726 zirconium Inorganic materials 0.000 claims description 4
- 229910010037 TiAlN Inorganic materials 0.000 claims description 3
- 125000000217 alkyl group Chemical group 0.000 claims description 3
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 claims 1
- 239000010410 layer Substances 0.000 description 229
- 230000001681 protective effect Effects 0.000 description 155
- 239000000376 reactant Substances 0.000 description 126
- 239000001301 oxygen Substances 0.000 description 74
- 229910052760 oxygen Inorganic materials 0.000 description 74
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 73
- 238000006243 chemical reaction Methods 0.000 description 73
- 239000003795 chemical substances by application Substances 0.000 description 39
- 230000009979 protective mechanism Effects 0.000 description 38
- 239000000126 substance Substances 0.000 description 36
- 239000000463 material Substances 0.000 description 29
- 230000003647 oxidation Effects 0.000 description 29
- 238000007254 oxidation reaction Methods 0.000 description 29
- 239000006227 byproduct Substances 0.000 description 28
- 238000004140 cleaning Methods 0.000 description 25
- 229910052799 carbon Inorganic materials 0.000 description 24
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 23
- 239000003446 ligand Substances 0.000 description 23
- 239000002356 single layer Substances 0.000 description 22
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 21
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 20
- 229910052796 boron Inorganic materials 0.000 description 20
- 230000006870 function Effects 0.000 description 18
- 238000005137 deposition process Methods 0.000 description 16
- 238000002791 soaking Methods 0.000 description 16
- 229910052782 aluminium Inorganic materials 0.000 description 15
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 14
- 239000012528 membrane Substances 0.000 description 13
- VOITXYVAKOUIBA-UHFFFAOYSA-N triethylaluminium Chemical compound CC[Al](CC)CC VOITXYVAKOUIBA-UHFFFAOYSA-N 0.000 description 13
- JLTRXTDYQLMHGR-UHFFFAOYSA-N trimethylaluminium Chemical compound C[Al](C)C JLTRXTDYQLMHGR-UHFFFAOYSA-N 0.000 description 13
- 229910052757 nitrogen Inorganic materials 0.000 description 12
- 238000005229 chemical vapour deposition Methods 0.000 description 11
- 239000002243 precursor Substances 0.000 description 11
- 239000012159 carrier gas Substances 0.000 description 10
- 239000007789 gas Substances 0.000 description 10
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 9
- 230000015572 biosynthetic process Effects 0.000 description 9
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 9
- 229910003468 tantalcarbide Inorganic materials 0.000 description 9
- 229910052736 halogen Inorganic materials 0.000 description 8
- 150000002367 halogens Chemical class 0.000 description 8
- 150000002739 metals Chemical class 0.000 description 8
- 238000010926 purge Methods 0.000 description 8
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 7
- 229910052707 ruthenium Inorganic materials 0.000 description 7
- 238000005406 washing Methods 0.000 description 7
- UHOVQNZJYSORNB-UHFFFAOYSA-N Benzene Chemical compound C1=CC=CC=C1 UHOVQNZJYSORNB-UHFFFAOYSA-N 0.000 description 6
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 6
- 229910052787 antimony Inorganic materials 0.000 description 6
- 230000008901 benefit Effects 0.000 description 6
- 239000000356 contaminant Substances 0.000 description 6
- 150000004820 halides Chemical class 0.000 description 6
- 238000004519 manufacturing process Methods 0.000 description 6
- 239000000203 mixture Substances 0.000 description 6
- 150000001875 compounds Chemical class 0.000 description 5
- 229910052732 germanium Inorganic materials 0.000 description 5
- 239000007788 liquid Substances 0.000 description 5
- 229910052710 silicon Inorganic materials 0.000 description 5
- LRHPLDYGYMQRHN-UHFFFAOYSA-N N-Butanol Chemical compound CCCCO LRHPLDYGYMQRHN-UHFFFAOYSA-N 0.000 description 4
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 4
- 230000009286 beneficial effect Effects 0.000 description 4
- 229910052802 copper Inorganic materials 0.000 description 4
- 239000010949 copper Substances 0.000 description 4
- 125000000058 cyclopentadienyl group Chemical group C1(=CC=CC1)* 0.000 description 4
- 229910052739 hydrogen Inorganic materials 0.000 description 4
- 238000007654 immersion Methods 0.000 description 4
- 229910052738 indium Inorganic materials 0.000 description 4
- 230000003993 interaction Effects 0.000 description 4
- 238000002955 isolation Methods 0.000 description 4
- 229910044991 metal oxide Inorganic materials 0.000 description 4
- 150000004706 metal oxides Chemical class 0.000 description 4
- 239000010955 niobium Substances 0.000 description 4
- 238000001208 nuclear magnetic resonance pulse sequence Methods 0.000 description 4
- 239000007800 oxidant agent Substances 0.000 description 4
- 230000001590 oxidative effect Effects 0.000 description 4
- 230000004224 protection Effects 0.000 description 4
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 4
- 229910052723 transition metal Inorganic materials 0.000 description 4
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 4
- 229910052725 zinc Inorganic materials 0.000 description 4
- 229910010282 TiON Inorganic materials 0.000 description 3
- 229910000420 cerium oxide Inorganic materials 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 3
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 3
- 150000002500 ions Chemical class 0.000 description 3
- 239000013110 organic ligand Substances 0.000 description 3
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 description 3
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 3
- 239000000047 product Substances 0.000 description 3
- RRKODOZNUZCUBN-CCAGOZQPSA-N (1z,3z)-cycloocta-1,3-diene Chemical compound C1CC\C=C/C=C\C1 RRKODOZNUZCUBN-CCAGOZQPSA-N 0.000 description 2
- IZYHZMFAUFITLK-UHFFFAOYSA-N 1-ethenyl-2,4-difluorobenzene Chemical compound FC1=CC=C(C=C)C(F)=C1 IZYHZMFAUFITLK-UHFFFAOYSA-N 0.000 description 2
- DHTJNAHCFXYALQ-UHFFFAOYSA-K C1(C=CC=C1)[Sb](C1C=CC=C1)(Cl)(Cl)Cl Chemical compound C1(C=CC=C1)[Sb](C1C=CC=C1)(Cl)(Cl)Cl DHTJNAHCFXYALQ-UHFFFAOYSA-K 0.000 description 2
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- 229910003864 HfC Inorganic materials 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- 229910010041 TiAlC Inorganic materials 0.000 description 2
- 150000004703 alkoxides Chemical class 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 2
- 229910052797 bismuth Inorganic materials 0.000 description 2
- 150000001639 boron compounds Chemical class 0.000 description 2
- 229910052810 boron oxide Inorganic materials 0.000 description 2
- 229910052794 bromium Inorganic materials 0.000 description 2
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 2
- 229910052793 cadmium Inorganic materials 0.000 description 2
- 125000002915 carbonyl group Chemical group [*:2]C([*:1])=O 0.000 description 2
- 239000003153 chemical reaction reagent Substances 0.000 description 2
- 229910052801 chlorine Inorganic materials 0.000 description 2
- 229910052804 chromium Inorganic materials 0.000 description 2
- 125000002704 decyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 2
- JKWMSGQKBLHBQQ-UHFFFAOYSA-N diboron trioxide Chemical compound O=BOB=O JKWMSGQKBLHBQQ-UHFFFAOYSA-N 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- ZSWFCLXCOIISFI-UHFFFAOYSA-N endo-cyclopentadiene Natural products C1C=CC=C1 ZSWFCLXCOIISFI-UHFFFAOYSA-N 0.000 description 2
- HHFAWKCIHAUFRX-UHFFFAOYSA-N ethoxide Chemical compound CC[O-] HHFAWKCIHAUFRX-UHFFFAOYSA-N 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 229910052733 gallium Inorganic materials 0.000 description 2
- 229910000449 hafnium oxide Inorganic materials 0.000 description 2
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 239000012535 impurity Substances 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- 229910052740 iodine Inorganic materials 0.000 description 2
- 229910052741 iridium Inorganic materials 0.000 description 2
- 229910052742 iron Inorganic materials 0.000 description 2
- 229910052745 lead Inorganic materials 0.000 description 2
- 229910052748 manganese Inorganic materials 0.000 description 2
- 150000001247 metal acetylides Chemical class 0.000 description 2
- 229910001092 metal group alloy Inorganic materials 0.000 description 2
- 229910001507 metal halide Inorganic materials 0.000 description 2
- 150000005309 metal halides Chemical group 0.000 description 2
- NBTOZLQBSIZIKS-UHFFFAOYSA-N methoxide Chemical compound [O-]C NBTOZLQBSIZIKS-UHFFFAOYSA-N 0.000 description 2
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- 229910017464 nitrogen compound Inorganic materials 0.000 description 2
- 150000002830 nitrogen compounds Chemical class 0.000 description 2
- 229910052763 palladium Inorganic materials 0.000 description 2
- 125000002097 pentamethylcyclopentadienyl group Chemical group 0.000 description 2
- 229910052697 platinum Inorganic materials 0.000 description 2
- OGHBATFHNDZKSO-UHFFFAOYSA-N propan-2-olate Chemical compound CC(C)[O-] OGHBATFHNDZKSO-UHFFFAOYSA-N 0.000 description 2
- 238000011321 prophylaxis Methods 0.000 description 2
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 2
- 229910052702 rhenium Inorganic materials 0.000 description 2
- 150000003304 ruthenium compounds Chemical class 0.000 description 2
- 229920006395 saturated elastomer Polymers 0.000 description 2
- 238000001179 sorption measurement Methods 0.000 description 2
- 238000006557 surface reaction Methods 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- XJDNKRIXUMDJCW-UHFFFAOYSA-J titanium tetrachloride Chemical compound Cl[Ti](Cl)(Cl)Cl XJDNKRIXUMDJCW-UHFFFAOYSA-J 0.000 description 2
- 150000003624 transition metals Chemical class 0.000 description 2
- FQERLIOIVXPZKH-UHFFFAOYSA-N 1,2,4-trioxane Chemical compound C1COOCO1 FQERLIOIVXPZKH-UHFFFAOYSA-N 0.000 description 1
- 229910052684 Cerium Inorganic materials 0.000 description 1
- 238000007792 addition Methods 0.000 description 1
- 150000001335 aliphatic alkanes Chemical class 0.000 description 1
- 230000003064 anti-oxidating effect Effects 0.000 description 1
- 229910052788 barium Inorganic materials 0.000 description 1
- DSAJWYNOEDNPEQ-UHFFFAOYSA-N barium atom Chemical compound [Ba] DSAJWYNOEDNPEQ-UHFFFAOYSA-N 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- ZMIGMASIKSOYAM-UHFFFAOYSA-N cerium Chemical compound [Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce] ZMIGMASIKSOYAM-UHFFFAOYSA-N 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000013626 chemical specie Substances 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 230000001351 cycling effect Effects 0.000 description 1
- 230000002939 deleterious effect Effects 0.000 description 1
- 125000000532 dioxanyl group Chemical group 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 1
- 230000005012 migration Effects 0.000 description 1
- 238000013508 migration Methods 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 1
- 150000002902 organometallic compounds Chemical class 0.000 description 1
- 125000002524 organometallic group Chemical group 0.000 description 1
- 230000035515 penetration Effects 0.000 description 1
- 230000000069 prophylactic effect Effects 0.000 description 1
- 239000011241 protective layer Substances 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 238000005001 rutherford backscattering spectroscopy Methods 0.000 description 1
- 239000004065 semiconductor Substances 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 238000003786 synthesis reaction Methods 0.000 description 1
- 150000004772 tellurides Chemical class 0.000 description 1
- 238000005019 vapor deposition process Methods 0.000 description 1
- 235000012431 wafers Nutrition 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28088—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/56—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/4966—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/51—Insulating materials associated therewith
- H01L29/517—Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/932—Boron nitride semiconductor
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Chemical & Material Sciences (AREA)
- Manufacturing & Machinery (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Composite Materials (AREA)
- Ceramic Engineering (AREA)
- Materials Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Electrodes Of Semiconductors (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
Description
本申請案大體而言係關於為金屬薄膜提供保護性處理之製程。在一些實施例中,在沈積期間或之後,對在金屬氧化物半導體場效應電晶體(MOSFET)(諸如n型通道MOSFET(NMOS))之金屬閘極及金屬電極應用中使用之薄膜進行處理,以預防或減少氧化作用。
在許多加工步驟期間,金屬薄膜可諸如因暴露於大氣水分或氧氣而易於發生氧化。在多步驟製造製程中,可在沈積每一薄膜之間,諸如當在沈積模塊之間轉移晶片或基板時發生氧化。氧化造成之問題在於其可影響既定薄膜或整個堆疊之功函數。且一個薄膜之氧化可造成該膜與第二膜之間界面之氧化,或若氧能夠通過第一膜擴散至第二膜,則甚至造成第二膜自身之氧化。
舉例而言,在MOSFET之典型製造製程中,蝕刻停止層可易於在形成PMOS堆疊之後且在形成NMOS堆疊之前發生氧
化。蝕刻停止層之氧化可影響隨後形成的NMOS堆疊之功函數,因為其可造成功函數例如從n型移變至p型。在形成閘極堆疊期間沈積之其他層亦可例如在沈積多個薄膜中之每一個之間暴露於氧。
參照圖1,示出典型的NMOS堆疊100。堆疊100包括電
介質層102、第一金屬氮化物層104、金屬碳化物層106(其中第一金屬氮化物層104與金屬碳化物層106之間的界面108包括由存在氧(「O」)原子表示之氧化部分)、第二金屬氮化物層110及金屬層112。在第一金屬氮化物層104與金屬碳化物層106之間的界面108處存在氧可不合需要地使堆疊100之功函數自n型移變至p型。
在形成堆疊期間,多個層可以多種方式發生氧化;然而,
常見的是,在沈積金屬碳化物層106之前,第一金屬氮化物層104已氧化。即使能夠在不存在氧下沈積金屬碳化物層106以實現相對純的金屬碳化物層,第一金屬氮化物層104中存在之氧亦能夠向上擴散至金屬碳化物層106中。在金屬碳化物層106中且尤其在界面108處之氧可不合需要地移變總體堆疊100之功函數。
根據本揭示案之一些實施例,用於形成閘極堆疊之方法
包括:提供基板,該基板具有電介質材料及在該電介質材料上之第一含金屬薄膜;使第一含金屬薄膜與矽烷或硼烷化合物接觸;
以及在第一含金屬薄膜上沈積第二含金屬薄膜。在一些實施例中,第一含金屬薄膜包含選自Ti、Ta、Hf、V、Nb及Zr之金屬。在一些實施例中,第一含金屬薄膜為蝕刻停止層或障壁層,且第二含金屬薄膜為功函數設定層。在一些實施例中,第一含金屬薄膜為TiN、TiAlN、TaN或TiAlCN薄膜。
根據一些實施例,第二含金屬薄膜包含n型金屬。且在一些實施例中,n型金屬(n-type metal/n-metal)膜包含金屬碳化物,諸如TaC、TiC、HfC、TaAlC、TiAlSiC或SiAlSiC。在一些實施例中,n型金屬為TiAl、TiAlB、TaAlB、TiAlSiB、TaAl或HfAlSiB。在一些實施例中,第二含金屬薄膜為碳化鈦膜。在一些實施例中,第一含金屬薄膜中之金屬與第二含金屬薄膜中之金屬不同。
根據用於形成閘極堆疊之方法的一些實施例,使第一含金屬薄膜與矽烷或硼烷化合物接觸包括:將第一含金屬薄膜暴露於矽烷或硼烷化合物持續約1秒與約2分鐘之間的持續時間。一些方法進一步包括使第二含金屬薄膜與矽烷或硼烷化合物接觸。在一些方法中,沈積第二含金屬薄膜包括原子層沈積製程,該原子層沈積製程包括多個沈積循環。
根據一些實施例,在每一沈積循環中,將基板暴露於矽烷或硼烷化合物。一些方法進一步包括在第二含金屬薄膜上沈積第三含金屬薄膜。第三含金屬薄膜可包含與第二含金屬薄膜不同之金屬。在一些方法中,在沈積第二含金屬薄膜期間或之後且在
沈積第三含金屬薄膜之前,使基板與矽烷或硼烷化合物接觸。且在一些方法中,在沈積第三含金屬薄膜期間或之後,使基板與矽烷或硼烷化合物接觸。一些方法進一步包括在第三含金屬薄膜上沈積金屬。在一些實施例中,金屬為鎢。
根據一些實施例,矽烷或硼烷係選自由以下組成之群:
單矽烷、二矽烷、三矽烷、硼烷、二硼烷及三硼烷。且在一些實施例中,矽烷或硼烷為三矽烷。根據一些方法,原位沈積第一含金屬薄膜及第二含金屬薄膜。
根據本揭示案之用於形成NMOS堆疊之方法的一些實施
例依次包括:提供基板,該基板包括先前沈積之電介質材料及蝕刻停止層;使蝕刻停止層與矽烷或硼烷化合物接觸;以及在蝕刻停止層上沈積含金屬層。
在一些方法中,蝕刻停止層為氮化鈦層,且含金屬層包
含n型金屬。在一些方法中,含金屬層包含TiAl、TaC、HfC、TaAlC、TiAlSiC、TiAlB、TaAlB、TiAlSiB、TaAl、TiAlSiC、TaAlSiB或HfAlSiB。一些方法亦包括使含金屬層與矽烷或硼烷化合物接觸。
在一些方法中,藉由包括多個沈積循環之原子層沈積製程沈積含金屬層,且在至少一個沈積循環期間,使基板與矽烷或硼烷化合物接觸。在一些實施例中,矽烷或硼烷係選自由以下組成之群:單矽烷、二矽烷、三矽烷、硼烷、二硼烷及三硼烷。且在一些方法中,使蝕刻停止層與矽烷或硼烷化合物接觸不增加蝕刻停止層之厚度。
100、200‧‧‧堆疊
102、202‧‧‧電介質層
104、110、208‧‧‧金屬氮化物層
106‧‧‧金屬碳化物層
108‧‧‧界面
112、210‧‧‧金屬層
204‧‧‧蝕刻停止層或底部障壁層
206‧‧‧n型金屬層
212‧‧‧矽
302、304、306、312、314、316、322、324、402、404、406、412、414、416、422、424、502、504、506、508、510、512‧‧‧步驟
505、509、513‧‧‧階段
515‧‧‧循環
將根據意謂說明而非限制本發明之較佳實施例之詳細描述及附圖更好地理解本發明,且在該等附圖中:圖1為含有氧化部分之閘極堆疊的示意性截面側視圖。
圖2為根據本發明之一些實施例的電極結構之示意性橫截面側視圖,該電極結構包括NMOS堆疊,該NMOS堆疊包括電介質層、第一金屬氮化物層、金屬碳化物層、第二金屬氮化物層及金屬層。
圖3A至圖3C為大體上示出根據一些實施例在形成薄膜堆疊之過程期間對電介質層或氮化鈦層進行保護性處理之流程圖。
圖4A至圖4C為大體上示出根據一些實施例在形成薄膜堆疊之過程期間對氮化鈦或碳化鈦層進行保護性處理之流程圖。
圖5為示出根據一些實施例藉由ALD形成薄膜之方法的流程圖,其中在移除過量第二反應物及副產物之後供給保護性處理。
本揭示案提供用於在一或多種包含金屬之薄膜中減少或預防不合需要之氧化作用的方法。可使用已知的氣相沈積製程,諸如原子層沈積(ALD)或化學氣相沈積(CVD)製程來沈積薄膜。在
一些實施例中,用於減少氧化之方法可包括應用於所沈積之薄膜的氧障壁材料或預防性處理。然而在一些實施例中,可作為用於形成薄膜之ALD或CVD方法的一部分提供保護性處理。保護性處理可包括將待處理薄膜暴露於矽烷或硼烷化合物。該處理可減少或實質上預防薄膜氧化及氧可能在薄膜與上覆層之界面處的積累。
在許多情況下,抗氧化性為重要的。舉例而言,在閘極
堆疊中,即使堆疊中之少量氧亦可改變堆疊之電性質(即eWF),從而使得該堆疊不適用於其預期目的。此外,包括膜及膜堆疊之沈積而不暴露於空氣或環境濕氣之加工可能為昂貴、困難及/或過於複雜的。在製造過程中,在一個膜沈積期間或之後,對其應用預防性處理可不僅減少或預防該膜之氧化,而且可減少或預防在後續加工步驟期間之上覆膜氧化。因此,使用保護性處理可簡化加工,同時亦控制了成本。
另外,儘管經描述為具有預防性,但該處理亦可減少諸如因先前加工步驟或運輸而已存在於膜中之氧的有害作用。處理中使用之材料可移除或隔離薄膜中或薄膜表面上可能存在之氧。在一些實施例中,處理一個薄膜可有益於後續層或後續膜,因為處理可預防氧向上遷移至彼等層中。
在不固守任何具體理論之情況下,在用矽烷或硼烷處理期間引入金屬膜中之矽或硼藉由形成氧化矽或氧化硼而減少氧與金屬之間的鍵。氧可在處理時便存在於膜中,或膜可隨後暴露於
氧。舉例而言,若用矽烷或硼烷處理氧化之TiN膜,則TiON經還原回TiN。經處理之金屬膜中Si及/或B之存在亦可藉由允許氧相對於金屬膜之金屬優先與Si及/或B結合而諸如在後續加工步驟期間充當氧化之障壁。
在一些實施例中,矽烷或硼烷處理可用於減小金屬薄膜(諸如碳化鈦膜)之電阻率。
在一些實施例中,沈積金屬薄膜並隨後使其接受保護性處理,該保護性處理可包括暴露於矽烷或硼烷。舉例而言,金屬薄膜可藉由已知沈積製程(諸如藉由CVD製程)形成,並接著暴露於硼烷或矽烷,諸如三矽烷或二矽烷。
在一些實施例中,可在沈積金屬膜期間提供保護性處理,而非在沈積之後應用處理,或另外在沈積之後亦應用處理。亦即,可在沈積期間間歇地應用處理。舉例而言,在用於形成金屬薄膜(諸如金屬氮化物或金屬碳化物薄膜)之原子層沈積製程中,基板可間歇地暴露於矽烷或硼烷。在一些實施例中,基板在每一沈積循環中、在一定數目之沈積循環之後或在已完成所有沈積循環之後暴露於矽烷或硼烷。
在一些實施例中,所處理之薄膜包含選自由Ti、Ta、W組成之群的一或多種金屬。在一些實施例中,所處理之薄膜包含Ta、Ti或W,諸如TaN膜、TiC膜或W膜。在一些實施例中,所處理之薄膜包含TiAl、TaC、HfC、TaAlC、TaAlB、TaAl、SiC、HfAlSiB等。在一些實施例中,薄膜包含n型金屬,諸如鈦。在一
些實施例中,在積體電路加工期間,諸如在形成閘極堆疊期間沈積薄膜,如以下更詳細地描述。儘管本文大體上關於在製造NMOS電晶體期間沈積之膜進行描述,但熟練技術人員將認識到可在含金屬膜暴露於或可暴露於氧之其他情況中使用本文所描述之方法。
在一些較佳實施例中,處理劑包含一或多種矽烷或硼
烷,或矽烷及硼烷之混合物。矽烷或硼烷可選自以下:硼烷、二硼烷、三硼烷、矽烷、單矽烷、二矽烷、三矽烷或兩種或兩種以上此等物質之混合物。處理劑諸如在一或多個ALD循環期間可以短暫脈衝方式提供,或諸如正在處理先前沈積之膜時可經提供較長時間段。可控制時間長度以實現薄膜中矽或硼之所需量。
在一些實施例中,在保護性處理期間,反應腔室內之壓
力在約0.1托與約50托之間,但壓力可在約0.5托與約5托之間。
在一些實施例中,反應腔室內之溫度在約350℃與約450
℃之間,但其可在380℃與約420℃之間,或較佳地在約390℃與約420℃之間。
在一些實施例中,暴露於處理劑之持續時間可為約1秒
至約60秒或60秒以上。若處理較厚之膜,諸如當完成之膜在保護性處理之前已經或先前沈積好,則可能需要較長時期,諸如至少約10秒。且當將處理步驟併入沈積循環中時,可能需要較短時期,諸如約1秒、2秒或3秒。在一些實施例中,處理可包括暴露於處理劑小於1秒。
閘極堆疊應用
本文所揭示之製程可應用於多種情況,其中保護一層免於氧化或減少氧對氧化層性質之影響可能有益。儘管主要在製造NMOS電晶體(其可包括平面「取代閘極」器件以及多閘極電晶體,諸如FinFET)之情況下說明,但熟練技術人員將知曉可利用所揭示方法之其他相關情況,諸如需要n型金屬之存儲器結構的金屬電極。
在本揭示案之情況下,保護性處理係指將基板上之包含金屬的薄膜暴露於包含矽烷或硼烷之處理劑。處理劑可與薄膜上或薄膜中可能存在之氧或與薄膜後續暴露於氧或氧化劑時之氧反應。在一些情況下,至少一些氧與薄膜中之金屬原子結合,且在暴露於包含矽烷或硼烷之處理劑的情況下,藉由優先形成氧化矽或氧化硼減少了金屬氧鍵。矽烷或硼烷劑亦可結合未與金屬結合之氧,諸如可以污染物(諸如水、羥基等)形式存在之氧。
如上所提及,處理劑包含一或多種矽烷及/或硼烷,諸如單矽烷、二矽烷、三矽烷、硼烷、二硼烷及三硼烷。
可以蒸汽或液體形式應用處理劑。然而,通常藉由提供處理劑之氣相脈衝來進行處理。應用處理劑之時間長度可例如根據正在處理之膜的厚度及氧化量或對氧化劑之預期暴露而變化。在一些實施例中,使處理劑與膜接觸約1秒至約10分鐘、約2秒至約5分鐘、約10秒至約2分鐘,或約20秒至約60秒之時期。然而,可利用更短或更長之暴露。舉例而言,在一些實施例中,
處理劑可以相對短之諸如小於約1秒的脈衝方式應用。在一些實施例中,將部分或完全沈積之膜浸泡在處理劑中,諸如持續1秒或1秒以上、10秒或10秒以上、20秒或20秒以上、30秒或30秒以上,或60秒或60秒以上。在一些實施例中,浸泡可持續至少一分鐘、兩分鐘、五分鐘、十分鐘或十分鐘以上。可由熟練技術人員根據具體情況,諸如膜類型、膜厚度、膜之現有氧化量以及預期之暴露於氧化劑之類型來確定具體處理時間。
在一些實施例中,保護性處理不會增加薄膜之厚度,以
使接受保護性處理之薄膜(或多個薄膜)不會明顯厚於未接受此處理之薄膜。
在一些實施例中,根據已知製程(諸如ALD或CVD製程)
沈積包含金屬之薄膜。在已完全沈積薄膜之後,接著可對薄膜應用保護性處理。然而,在一些實施例中,保護性處理形成沈積製程之一部分。舉例而言,在使用ALD製程時,保護性處理可包括至少一個ALD循環之一個步驟。在一些情況下,在一定數目之ALD循環或所有ALD循環中提供保護性處理。舉例而言,保護性處理可在每個ALD循環中作為單獨之脈衝提供,或在每2、3、4、5、10、20個或20個以上ALD循環提供。對於CVD沈積,CVD沈積製程可在沈積期間中斷一次或多次以提供處理劑。在一些實施例中,在沈積製程中以持續脈衝或暴露之形式應用保護性處理。
在一些實施例中,在沈積待保護免於氧化之薄膜之前,對基板應用保護性處理。舉例而言,處理基板表面上之氧化膜可
預防氧自該膜遷移至隨後沈積在經處理之膜上且將受益於免於氧化之保護的膜。
如以上所討論,除還原金屬氧化物並因此解決先前暴露
於氧化劑之問題之外,使用保護性處理亦可保護金屬薄膜免於因後續暴露於氧而氧化。使用保護性處理亦可至少部分地保護沈積在經處理之薄膜上的一或多個膜。
參照圖2,示出NMOS堆疊200之例示性實施例。堆疊
200包括電介質層202(諸如氧化鉿)、第一蝕刻停止層或底部障壁層204(諸如氮化鈦(TiN)層)、第一n型金屬層206(此處示為金屬碳化物層,諸如碳化鉭(TaC))、第二金屬氮化物層208,以及金屬層210(諸如鎢(W)層)。將源自保護性處理之矽212的存在示為含於蝕刻停止層204內。雖然矽212可形成任何一個或一個以上所示層之一部分或含於其中,但圖2示出矽212或多或少位於蝕刻停止層204與n型金屬層206之界面處。在不受任何具體理論束縛下,咸信這個界面或近界面區域可決定或影響總體堆疊200之功函數。因此,限制諸如氧或鋁之材料的遷移(其可隨著層變得更薄而更易發生)可幫助保護堆疊200之功函數或另一特性。因此,在一些實施例中,在此處示出之實施例中,作為沈積蝕刻停止層204之一部分或在沈積n型金屬層206之前提供保護性處理可能尤其有益,其中保護性處理可至少在蝕刻停止與n型金屬膜(如所示出之蝕刻停止層204與n型金屬層206)之間的界面處或接近該界面處提供矽212(或硼)。在一些實施例中,一旦已將基板置於腔室
中以沈積n型金屬層,但在開始沈積n型金屬層之前,即對第一蝕刻停止層204應用保護性處理。當然,可利用處理以在任何兩層之間的界面處提供Si或B。
在一些實施例中,接受保護性處理之蝕刻停止層(或底部障壁層)可包含TiN、TaN或此項技術中已知之其他材料。且除TaC之外的材料也可用作n型金屬層(包括其他對氧敏感之n型金屬膜),諸如TiAl、TiC、HfC、TaAlC、TaAlB、TaAl、SiC、HfAlSiB。將受益於該處理之其他類型的膜將對熟練技術人員顯而易知。
保護性處理之使用可結合至少一些可在初始時或在後續暴露時(諸如在自一個腔室運輸至另一個期間)存在之氧。使用保護性處理亦可還原薄膜(諸如蝕刻停止層204)之至少一些先前氧化之部分。例如,可接受已含有蝕刻停止層(或底部障壁層)(諸如TiN層)之基板,且可如本文所描述藉由在後續加工之前暴露於處理劑來處理該層。
堆疊200中多個層之厚度可變化,但在一些實施例中,諸如在圖2中示出之實施例中,蝕刻停止層204可為約5Å至約20Å厚(例如約15Å厚),且第二金屬氮化物層可為約30Å至約50Å厚。使用如目前所揭示之保護性處理可在減小堆疊(諸如堆疊200)中多個層之厚度以實現更小之電子器件及電路的場合中具有特定用途。
可在沈積每一薄膜之前、期間或之後,對層202、204、206、208或210中之任何一或多者應用本文所揭示之保護性處
理。在一些實施例中,較佳處理層204及層206中之一者或兩者。在一些實施例中,可較佳處理層204、206及208中之一或多者。已提到在形成NMOS功函數設定層(諸如所示出之n型金屬層206)之前或期間使用保護性處理;然而,可另外或替代性地在沈積第一蝕刻停止層204(第一金屬氮化物層)之前或期間應用處理劑。在一些實施例中,對蝕刻停止層204使用保護性處理可消除或減少對任何後續層或至少NMOS功函數設定層進行此處理之需要。類似地,在形成n型金屬層206之前、期間或之後使用保護性處理可消除或減少對後續層進行類似處理之需要,特別是當對n型金屬層206所應用之處理保持總體堆疊200之功函數而與後續層208或210之中度氧化無關時。
然而,在一些實施例中,處理第二金屬氮化物層208及/或金屬層210可能有益。如同下層一般,保護性處理可還原彼等層之氧化部分、清除氧污染物,及/或預防當暴露於污染物或大氣時發生後續氧化。
可使用相同的應用保護性處理之方法,而與正在討論之層無關。在一些實施例中,作為沈積循環之一部分,以脈衝方式提供處理劑。在一些實施例中,將沈積膜、沈積膜之部分浸泡於處理劑中。舉例而言,可將保護性處理併入用於形成層204、206、208或210中之任何一者的ALD製程中。且可在每個ALD循環中或僅在一些循環中提供處理劑。在CVD製程之情況下,處理可在沈積製程期間,當其他前驅物正暴露於基板時併入,或可在已完
成膜沈積且所有前驅物均已與基板反應且已自反應空間清除過量反應物之後提供。在一些實施例中,CVD可用於將膜沈積至第一厚度,可停止CVD且清洗反應腔室,該厚度可暴露於處理劑,且可使CVD繼續以便為膜增加額外厚度。此可根據需要重複多次以獲得具有所需厚度之膜。又,可在最終沈積之後應用最終處理。
再次參照圖2,在一些實施例中,在可包含電介質材料(諸
如氧化鉿)之電介質層202上沈積蝕刻停止層204。可在沈積蝕刻停止層204之前、期間及/或之後應用保護性處理。在一些實施例中,即使在沈積第一蝕刻停止層時使用了保護性處理,亦需要在沈積NMOS功函數設定層(諸如n型金屬層206)之前,對完成之第一蝕刻停止層(諸如TiN層)應用保護性處理。例如當自沈積蝕刻停止層204之時間及沈積n型金屬層206之時間已過去了一些時間的情況。此延遲可增加第一金屬氮化物層將暴露於水、空氣等之機會。
圖3A示出一種可能的製程,其中在步驟302中提供具有
電介質材料之基板,且在步驟304中在該電介質層上沈積金屬氮化物層(諸如氮化鈦蝕刻停止層)。接著在步驟306中對完成之氮化鈦蝕刻停止層應用保護性處理。在步驟306中應用之保護性處理可以浸泡方式應用,且可還原TiON及/或結合於TiN層中(尤其在近表面處)之游離氧。步驟306之持續時間可為例如約30秒至約4分鐘。持續時間可視氮化鈦層之厚度而定且可由熟練技術人員根據具體情況進行調整。在一些實施例中,若在較高壓力及/或較高
溫度下執行步驟306,則可縮短持續時間。
圖3B示出一種製程,其中在步驟312中提供具有電介質
材料之基板,且在步驟314中對該電介質層應用保護性處理。接著在步驟316中藉由已知方法沈積氮化鈦。在此製程中,可藉由保護性處理結合電介質層上或電介質層中可能存在之游離氧,以使該游離氧不可用於氧化在步驟316中沈積之鈦。在電介質材料接受保護性處理之一些實施例中,保護性處理可能不預防其他層之後續氧化,但其可預防電介質中之氧向上擴散至其他層中。
圖3C示出一種製程,其中在步驟322中提供具有電介質
材料之基板,且在步驟324中藉由ALD方法沈積氮化鈦膜,在該步驟324中將保護性處理併入一或多個沈積循環中。保護性處理可僅在一個沈積循環中提供或可在一定數目之循環中,諸如每隔一個循環或在每三個、四個、五個、六個、七個循環等中構成一個步驟。
在一些實施例中,在步驟324中沈積氮化鈦層可包括ALD製程,該ALD製程具有以下步驟:1.向反應空間提供鈦前驅物,諸如鹵化鈦;2.實質上清洗及/或排空過量之鈦前驅物及反應副產物;3.向反應空間提供供氮反應物,諸如NH3、肼、或N及H之自由基/離子(諸如在PEALD製程中);4.實質上清洗及/或排空過量之供氮反應物及反應副產
物;以及5.向反應空間提供包含矽烷或硼烷之保護性處理劑。
可在每個ALD循環或僅一些ALD循環中包括步驟5。因此,可在引入步驟5之前,將步驟1-4重複若干次。步驟5亦可在任何ALD循環之前使用或僅用作第一ALD循環中之第一步驟。
再次參照圖2,可在蝕刻停止層204上沈積n型金屬碳化物層206。可在沈積n型金屬層206之前、期間及/或之後應用保護性處理。圖4A示出一種可能之製程,其中在步驟402中提供氮化鈦層,且在步驟404中在氮化鈦層上沈積n型金屬層(諸如碳化鈦層)。接著在步驟406中對完成之碳化鈦層應用保護性處理。在一些實施例中,在沈積功函數設定n型金屬層206期間或之前應用保護性處理可有助於最小化在集群或分群製程中在n型金屬層206等待第二金屬氮化物層208時膜中之氧存在量。在步驟406中應用之保護性處理可包括將沈積之碳化鈦層浸泡在包含矽烷或硼烷之處理劑中。保護性處理可減少或結合於TiC膜中之氧污染物。
圖4B示出一種製程,其中在步驟412中提供氮化鈦層,且在步驟414中對氮化鈦層應用保護性處理。接著在步驟416中藉由已知方法沈積碳化鈦。在此製程中,可藉由保護性處理劑結合氮化鈦層中或氮化鈦層上可能存在之游離氧,以預防或減少在步驟416中沈積之碳化鈦的氧化。
其他材料亦可受益於根據本揭示案之保護性處理的應
用。所有NMOS功函數層(諸如純金屬(諸如Al及Ti)或過渡金屬氮化物、碳化物、硼化物、矽化物等)均可受到氧併入,從而使其更為p型。因此,可對包含任何此等材料之膜應用保護性處理。
圖4C示出一種製程,其中在步驟422中提供氮化鈦,且
在步驟424中藉由ALD方法沈積碳化鈦,在該步驟424中將保護性處理併入一或多個沈積循環中。舉例而言,保護性處理可僅在一個循環中構成一個步驟或可在一定數目之循環中,諸如每隔一個循環或在每三個、四個、五個、六個、七個循環中等構成一個步驟。
在一些實施例中,在步驟424中沈積碳化鈦層可包括
ALD製程,該ALD製程具有以下步驟:1.向反應空間提供鈦前驅物,諸如鹵化鈦(或其他過渡金屬鹵化物);2.實質上清洗及/或排空過量之鈦前驅物及反應副產物;3.向反應空間提供供碳反應物,諸如含有金屬-碳鍵之化合物,包括金屬烷基化合物(例如,TTBA及TMA);4.實質上清洗及/或排空過量之供碳反應物及反應副產物;以及5.向反應空間提供包含矽烷或硼烷之保護性處理劑。
可在每個ALD循環或僅一些ALD循環中包括步驟5。因此,在一些實施例中,可在引入步驟5之前將步驟1-4重複若干
次。步驟5亦可在任何ALD循環之前使用或僅用作第一ALD循環中之第一步驟。當蝕刻停止層204已氧化時,諸如當第一金屬氮化物層已充當先前製程中之蝕刻停止層時,在用於沈積n型金屬層206之任何ALD循環之前均應用保護性處理可能合乎需要。
在此等情況下,可能需要在沈積n型金屬層206之前,以浸泡包含矽烷或硼烷之處理劑的方式應用保護性處理。在處理了TiN層204之一些實施例中,在沈積n型金屬層206期間或之後不利用保護性處理。然而,在已處理了蝕刻停止層204之一些實施例中,可能仍需要在沈積n型金屬層206期間或之後應用保護性處理。
在一些實施例中,含有使用本文所揭示之方法製造的n
型金屬薄膜之NMOS堆疊顯示小於約10-2A/cm2、小於約10-3A/cm2或小於約3×10-4A/cm2之洩漏率(Jg)(在-1V應力下)。
在本揭示案之一些實施例中,可形成n型金屬薄膜,其
中薄膜之等效氧化物厚度或EOT可小於約1.3nm、小於約1.2nm、較佳小於約1.1nm,或小於約1.05nm。
在本揭示案之一些實施例中,可形成n型金屬膜,其中
有效功函數或eWF可為約4.0eV至約4.4eV、約4.05eV至約4.35eV,或約4.1eV至約4.25eV。
在一些實施例中,相對於不進行保護性處理之TiC膜,
使用諸如矽烷(例如,二矽烷或三矽烷)之保護性處理可減小n型金屬薄膜之電阻率。在一些實施例中,電阻率減小量高達或多達約30%、高達或多達約40%,或高達或多達約50%。在一些實施例
中,諸如在沈積之後以浸泡方式應用保護性處理之實施例中,電阻率減小量可多達約5%、多達約10%,或多達約20%。
再次參照圖2,可藉由任何已知方法沈積金屬層210。可
在沈積金屬層210之前、期間及/或之後應用保護性處理。在一些實施例中,提供第二金屬氮化物層208,且在金屬氮化物層208上沈積金屬層210。可在n型金屬層206上沈積第二金屬氮化物層208。類似於第一金屬氮化物層212,可在沈積第二金屬氮化物層208之前、期間及/或之後應用保護性處理。在此製程中,可藉由保護性處理結合第二金屬氮化物層208上或該第二金屬氮化物層208中可能已存在之游離氧,以使隨後沈積之鎢不氧化。減少第二金屬氮化物層208中游離氧之量可具有以下附加益處:減小可在後續製程(諸如下游熱加工)期間向下擴散至堆疊200中之氧量、可實際上達至功函數層(亦即,n型金屬層206或另一適合層(諸如TaC))之擴散量。
可對完成之金屬層210應用保護性處理。可以浸泡方式
對沈積之金屬膜應用保護性處理。在一些實施例中,藉由將保護性處理併入一或多個沈積循環中之ALD方法沈積金屬層。舉例而言,保護性處理可僅在一個沈積循環中構成一個步驟或可在一定數目之循環中,諸如在每五個、十個、二十個循環等中構成一個步驟。
又,雖然在處理NMOS堆疊中之薄膜的情況下說明,但亦可處理其他含金屬膜。使用本文所揭示之方法及材料製造及/或
處理之金屬薄膜的確切組成可變化。舉例而言,根據本揭示案製造之碳化鈦膜可含有許多不同的元素組分,其部分地視所用保護性處理之類型而包括但不限於鈦、鋁、碳、矽及/或硼。
在一些實施例中,處理之後的膜中存在之矽烷或硼烷之原子百分比可大於約10%、大於約25%或大於約35%。在以浸泡方式應用保護性處理之實施例中,在經處理之彼等表面上之矽烷或硼烷可能極濃縮,而在彼等表面以下,濃度則快速下降。在作為沈積製程(諸如在ALD製程中)之一部分應用保護性處理之實施例中,矽烷或硼烷濃度可為約5%至約50%。
沈積方法
如以上所討論,除處理沈積膜之外,本文提供之方法允許基板表面上沈積保形金屬薄膜期間進行處理。
根據一些實施例,使用ALD或準ALD製程,其中將材料(諸如矽或硼)併入金屬薄膜中且保護膜免於氧化。在一些實施例中,將保護性處理併入沈積製程之一或多個循環中。在一些實施例中,在完成所有沈積循環之後,對金屬薄膜應用保護性處理。在一些實施例中,保護性處理係在沈積製程之前應用以製備下伏面或用作沈積製程中之第一步驟。
根據一些實施例,使用ALD或準ALD製程來形成金屬膜。舉例而言,可形成NMOS堆疊中之一或多個膜。例示性NMOS堆疊可包括電介質層(諸如氧化鉿(HfO2)層)、在電介質上之第一含金屬膜(諸如金屬氮化物,例如氮化鈦(TiN))之薄層、在第一含金
屬膜上之第二含金屬膜(諸如碳化物,例如碳化鈦(TiC))、在第二含金屬膜上之第三含金屬膜(諸如金屬氮化物,例如TiN),及在第三含金屬膜上之金屬層(諸如鎢)。在一些實施例中,一或多個此等層中可存在一或多種其他元素。舉例而言,諸如在處理之後,一或多個層可進一步包含矽或硼。
在一些實施例中,NMOS堆疊包括電介質層、在電介質層上之第一金屬氮化物層、在第一金屬氮化物層上之金屬碳化物層、在金屬碳化物層上之第二金屬氮化物層,及在第二金屬氮化物層上之金屬層。在一些實施例中,將每一上覆層直接沈積在下伏層上且使其接觸該下伏層。
在一些實施例中,NMOS堆疊包括電介質層(諸如HfO2)、在電介質上之第一氮化鈦層、在第一氮化鈦層上之碳化鈦層、在碳化鈦層上之第二氮化鈦層,及在第二氮化鈦層上之鎢層。
可在NMOS堆疊之一或多個此等各別薄膜之沈積製程中使用保護性處理。在一些實施例中,在沈積一或多個薄膜之前使用保護性處理。在一些實施例中,在沈積一或多個薄膜之後使用保護性處理。當然,可藉由包括一或多個處理步驟之ALD或準ALD製程沈積其他金屬膜。
在一些實施例中,保護性處理不會增加薄膜之厚度。隨
著越來越薄之膜變得越來越合乎需要及必需,此係尤其有益的,因為伴隨較薄膜之一個問題為,與較厚膜相比,氧可更易於擴散通過且氧化該較薄膜,而較厚膜中僅上部會氧化。熟習此項技術
者將易於認識到,保護性處理可為許多不同的功能性薄膜提供益處。
根據本揭示案之一些實施例,在製造多個薄膜時使用保
護性處理諸如以形成NMOS堆疊可使膜之電阻率低於不使用保護性處理所製造之膜,低多達約30%。在一些實施例中,矽或硼(保護性處理之組分)之存在可用來減小膜之總體電阻率。
在一些實施例中,使用保護性處理可消除或減少對利用
原位或集群製造製程之需要。在一些實施例中,使用保護性處理可允許在低於普通製程之真空下執行製造製程。然而,在一些實施例中,將高真空、集群及/或原位製程與保護性處理合乎需要地組合。
在一些實施例中,可控制以實現期望之結果的一些變數
包括但不限於所用保護性處理之壓力、溫度、持續時間及量。在一些實施例中,反應腔室內之壓力在約0.1托與約10托之間。在一些實施例中,壓力在約0.5托與約5托之間。在一些實施例中,反應腔室內之溫度在約350℃與約450℃之間。在一些實施例中,溫度在約380℃與約420℃之間,且較佳在約390℃與約420℃之間。
根據一些實施例,使用保護性處理之處理、循環步驟、
脈衝或浸泡之持續時間在約1秒與約60秒之間。若處理較厚之膜,諸如當完成之膜在用保護性處理進行處理之前已經或先前沈積好,則可能需要較長時期,諸如至少約10秒。且當將處理步驟
併入沈積循環中時,諸如在形成金屬碳化物或金屬氮化物層中,可能需要較短時期,諸如約2-3秒或2-3秒以下。處理步驟之持續時間亦可視應器條件而定。舉例而言,在反應腔室傾向於不會隨時間維持壓力時,可能需要以多個短脈衝方式執行處理以保持反應內相對恆定之濃度。然而,在可較長時間維持壓力之反應器中,可能需要較長之單個脈衝或浸泡。
金屬碳化物膜
根據一些實施例,使用ALD型製程在基板上形成金屬薄
膜。舉例而言,可在積體電路工件上沈積金屬碳化物薄膜,諸如碳化鈦。其他適合之金屬碳化物薄膜包括但不限於TaC、HfC、TaAlC、SiC等。ALD製程可包括至少一個沈積循環,其中提供包含矽烷及或硼烷之處理劑。上方沈積薄碳化鈦(TiC)膜之表面可採用多種形式。實例包括但不限於矽、氧化矽(SiO2)、經塗佈之矽、電介質材料、低k材料、金屬(諸如銅及鋁)、金屬合金、金屬氧化物及多種氮化物(諸如過渡金屬氮化物及氮化矽)或該等材料之組合。
在一些實施例中,將基板或工件置於反應腔室中且經受交替重複之表面反應。特定言之,藉由ALD循環之重複形成薄膜。每個ALD循環通常具有自我限制性。在化合物金屬薄膜沈積之情況下,交替地採用至少兩種不同來源化學品。一種反應物將在基板表面上形成最多約一個單層且包括正在沈積之層中所需之金屬物質。此反應物(本文亦稱為「金屬反應物」)較佳為鹵化鈦,並因
此以鹵素配體終止所沈積之單層。
第二反應物較佳供碳。在一些實施例中,第二反應物包
含金屬及碳,諸如三甲基鋁(TMA)或三乙基鋁(TEA)。在一些實施例中,第二反應物為包含至少一種配體之含金屬來源化學品,諸如金屬有機化合物。另外,在一些實施例中,第二反應物亦可在正在沈積之膜中留下一定量之金屬。舉例而言,在TMA或TEA之情況下,根據具體反應條件,可在膜中留下一定量之鋁。
在根據本揭示案之一些實施例中,在每個循環中、在一
定數目之循環之後,或在完成金屬碳化物膜之沈積之後提供第三反應物,即保護性處理劑。第三反應物可包含矽化合物(諸如矽烷)或硼化合物(諸如硼烷)。保護性處理劑較佳比鈦對氧更具反應性,並因此能夠減少膜中氧化鈦之量。在一些情況下,實際上極少或未有氧自薄膜中移除;然而,保護性處理藉由破壞鈦與氧之間的鍵以使鈦回至其純碳化鈦形式,而起到還原氧化鈦之作用。在此等情況下,儘管實際上未自膜中移除氧,但保護性處理會結合氧,以不阻礙薄膜之功函數。因此,亦可稱,與膜中TiOC之量相比,應用保護性處理會增加TiC之量。此外,在一些實施例中,第三反應物亦提供薄膜中所需之物質,諸如矽或硼。
保護性處理劑可選自由以下組成之群:單矽烷、二矽烷、
三矽烷、硼烷、二硼烷、三硼烷,或易於與氧反應以還原鈦之任何其他適合材料。保護性處理可以蒸汽或液體形式供給,且可在沈積製程中以每個循環相對短的脈衝之方式應用或間歇地應用,
或以相對較久之浸泡的方式應用於部分或完全形成之碳化鈦層。
可在一或多個ALD循環之前、在每個ALD循環中、在沈積製程期間之間隔中或在完成沈積製程之後提供保護性處理。
舉例而言,在一些實施例中,在每一至四個ALD循環中提供保護性處理。在一些實施例中,在提供保護性處理時,最近ALD循環中之膜生長較佳為足夠薄的,以使保護性處理可滲透膜。在一些實施例中,諸如在暴露於保護性處理之前已完成一個以上沈積循環之情形中,可由所用試劑之量或濃度或暴露之持續時間控制膜中矽烷/硼烷滲透之量。
保護性處理可作為一或多個循環之一部分提供或可在完成一或多個循環之後應用。因此,在一些實施例中,將沈積金屬碳化物膜(諸如TiC)視為ALD製程中獨立於應用保護性處理之循環。在此等情況下,根據需要將循環重複多次,且在一些或所有循環之後應用矽烷/硼烷處理。然而,在一些實施例中,在一或多個循環期間(作為ALD循環之一部分)以及在一或多個循環之後(與ALD循環分開)應用保護性處理。
在ALD循環之一個階段(「鈦階段」或「第一階段」)中,
將包含鈦之反應物或來源化學品供給至反應腔室且使其化學吸附至基板表面。對在此階段中供給之反應物進行選擇,以使在較佳條件下,藉由可用結合位點之數目及化學吸附之物質(包括配體)的實體尺寸來測定可結合於表面之反應物的量。由鈦反應物之脈衝留下的化學吸附層以不與該脈衝之剩餘化學物質反應之表面自
我終止。本文將此現象稱為「自我飽和」。熟習此項技術者將認識到,此階段之自我限制性質使得整個ALD循環自我限制。例如藉由用惰性氣體清洗及/或排空自反應空間中移除過量反應物及反應物副產物(若有的話)。
在循環之下一階段,提供第二來源化學品之脈衝,其藉由前面之脈衝與留在基板表面上之分子反應。在一些實施例中,來源化學品較佳包含碳,其將併入薄膜中。碳藉由來源化學品與金屬反應物留下之單層的相互作用而併入薄膜中。本文將此階段稱為「第二階段」或「供碳階段」。在一些實施例中,第二來源化學品為含碳化合物,且其與化學吸附之金屬物質之反應在基板上產生金屬碳化物層。在一些實施例中,第二來源化學品亦包含第二金屬(諸如鋁),且該第二金屬連同碳一起併入正在生長之膜中。
在一些實施例中,供物質之來源化學品包含金屬及碳,且可為例如TTBA、TMA或TEA。第二來源化學品當沈積在基板上時可以具有或可以不具有自我限制性。
藉由清洗及/或排空自反應空間中移除過量之第二來源化學品及反應副產物(若有的話)。
在一些實施例中,ALD循環之第三階段包括提供保護性處理。在一些實施例中,保護性處理自正在生長之薄膜中移除氧及/或相對於正在生長之膜中的其他金屬優先與氧反應。在一些實施例中,保護性處理亦可移除或隔離其他污染物。另外,保護性處理可包含可併入薄膜中之物質,諸如硼或矽。其稱為「第三階
段」或「氧隔離階段」。
儘管稱為「第一階段」、「第二階段」及「第三階段」,但此等標記係為方便起見而不表明每個ALD循環中之階段的實際順序。因此,初始ALD循環可以上述三個階段中之任何階段起始。
然而,熟習此項技術者將認識到,若初始ALD循環不以金屬反應物階段開始,則通常將需要完成至少兩個ALD循環來沈積約一個單層之所需金屬碳化物薄膜。
另外,可改變該階段之順序。亦即,在一些實施例中,
保護性處理可為在第二反應物之後提供之下一反應物,而在其他實施例中,保護性處理可為在第一金屬源反應物之後提供之下一反應物。另外,在一些實施例中,可僅在一些循環之後或在完成所有循環之後供給保護性處理。舉例而言,在一些實施例中,第三階段(提供保護性處理)可緊跟在第一階段(提供包含金屬物質之反應物)之後,而其後又跟隨著供碳階段。且在一些實施例中,可在完全形成薄膜之後,以「浸泡」、液體或蒸汽方式供給第三階段。
亦即,將沈積之膜暴露於呈液體或蒸汽形式之矽烷或硼烷持續一段時間。若僅插入清洗或其他反應物移除步驟,則一個階段一般經視為緊跟在另一個階段之後。
在一些實施例中,並不在每個ALD循環中均提供保護性
處理。相反地,可用保護性處理劑處理部分或完全沈積之碳化鈦膜。此可為以下情況,例如,其中已使用TiCl4及TEA形成了第一膜,但所得TiAlC膜已經水、空氣或一些其他污染物源氧化,
以形成基本上為TiAlOC之層。可對第一膜應用保護性處理,以將TiAlOC層基本上還原回TiAlC,僅存在少量雜質。
在一個實施例中,ALD循環包括:1.向反應空間提供鹵化鈦;2.實質上清洗及/或排空過量鹵化鈦及反應副產物;3.向反應空間提供碳反應物,諸如TEA或TMA;4.實質上清洗及/或排空過量第二反應物及反應副產物;以及5.向反應空間提供保護性處理。
可在每個ALD循環中包括步驟5,或可在引入步驟5之前,將步驟1-4重複若干次。在一些實施例中,在包括步驟5之前,將步驟1-4重複多達10次。在其他實施例中,在包括步驟5之前,將步驟1-4重複多達100次或甚至1000次或1000次以上。在一些實施例中,在步驟5之前,沈積具有所需厚度之完整膜。
參照圖5,在本發明之一個實施例中,在初始的表面終止之後,根據需要向基板或工件供給(步驟502)第一反應物或來源化學品脈衝。在所示實施例中,第一反應物為金屬鹵化物,且正在形成之薄膜包含金屬碳化物。根據一個較佳實施例,第一反應物脈衝包含載氣流及與目標工件表面反應之揮發性鹵化鈦物質。因此,含鹵素之鈦物質吸附在工件表面上。第一反應物脈衝使工件表面自我飽和,以使第一反應物脈衝之任何過量成分均不與藉由此製程形成之單層進一步反應。自我飽和係因鹵化物尾部使單
層終止而發生,從而保護層免於進一步反應。
接著自反應空間中移除(步驟504)第一反應物。步驟
504可僅需要停止第一反應物或化學物質流,同時繼續使載氣流動足以使過量反應物及反應物副產物自反應空間中擴散出或清洗出之時間。移除(步驟504)較佳包括在停止第一反應物脈衝流之後,繼續使清洗氣體流動約0.1秒與20秒之間。在題為「IMPROVED APPARATUS AND METHOD FOR GROWTH OF A THIN FILM」之同在申請中之美國專利第6,511,539號中描述脈衝間清洗,該專利之揭示內容以引用的方式併入本文中。在其他佈置中,可將腔室在交替之化學物質之間向下抽吸。參見例如在1996年6月6日公開之題為「METHOD AND APPARATUS FOR GROWING THIN FILMS」之PCT公開案第WO 96/17107號,該公開案之揭示內容係以引用的方式併入本文中。吸附(步驟502)與反應物移除(步驟504)共同代表ALD循環中之第一階段505。所示ALD循環中之第一階段因此為金屬階段。
繼續參照圖5,接著向工件供給(步驟506)第二反應物
或來源化學品脈衝。第二化學物質與由第一反應物留下之單層反應。在所示出之實施例中,此第二反應物脈衝(步驟506)包括向工件供給具有第二源氣體之載氣。特定言之,當第一反應物包含鹵化鈦時,第二反應物(諸如TMA或TEA)包含碳和不同的第二金屬。在TEA或TMA之情況下,第二反應物留下最多約一個單層之TiCAl。第二反應物較佳自所吸附之第一反應物中移除至少一些
鹵化物配體。第二反應物脈衝(步驟506)還造成表面終止,其起到限制飽和反應相中沈積之作用。
在足以使單層完全飽和且使其與第二反應物脈衝完全反
應(步驟506)之時間段之後,自工件中移除(步驟508)任何過量之第二反應物。如同移除(步驟504)第一反應物一般,此步驟508可包括停止第二化學物質流及繼續使載氣流動足以使來自第二反應物脈衝之過量反應物及揮發性反應副產物自反應空間擴散出並清洗出之時間段。第二反應物脈衝(步驟506)及移除(步驟508)共同代表所示製程中之第二階段509,且亦可視為供碳及金屬物質之階段。
當已自腔室中移除(步驟508)第二反應物脈衝之過量反
應物時,可向工件供給第三反應物或來源化學品脈衝(步驟510)。
第三反應物可為保護性處理劑或氧障壁材料,其能夠移除鹵化物及/或與正在生長之膜中的氧反應。適合試劑之實例包括矽烷及硼烷,包括單矽烷、二矽烷、三矽烷、硼烷及二硼烷。可用惰性載氣提供氧障壁材料或保護性處理。可調節溫度及壓力條件以控制保護性處理擴散通過單層之程度。
在足以實現單層中第三反應物之所需飽和程度之時間段
後,例如藉由清洗氣體脈衝自反應空間中移除(步驟512)過量未反應之氧障壁材料及任何反應副產物(其亦可具有揮發性)。移除可如對步驟504所描述。保護性處理脈衝(步驟510)及移除(步驟512)共同代表所示ALD製程之第三階段513,其亦可稱為氧隔離
階段。
可將第一階段505、第二階段509及第三階段513之組合
視為單個沈積循環515。在一些實施例中,第三階段513之順序實際上在第一階段505與第二階段509中之任一者或兩者之前。在一些實施例中,僅一些或僅一個沈積循環515中包括第三階段513。
在一些實施例中,緊跟在移除過量之第一反應物及副產
物的步驟之後供給保護性處理。在足以使單層與保護性處理反應之時間段之後,可能藉由清洗氣體脈衝自反應空間中移除過量未反應之保護性處理材料及反應副產物。在移除步驟之後供給第二反應物脈衝。
在本揭示案之一些實施例(未示出)中,供給保護性處理及
移除任何過量之保護性處理材料及副產物的步驟係在供給第一反應物的步驟之前。在一些實施例中,保護性處理並不在每個循環中均提供或可在完成所有循環之後提供。
在一些實施例中,供給保護性處理之步驟採用浸泡的形
式,其發生在一些或所有碳化鈦沈積循環完成之後。在一些情況下,已發現在完成TiC膜沈積之後發生的三矽烷浸泡實現了適合結果。
在一個實施例中,用於形成碳化鈦膜之製程包括:1.向反應空間提供鹵化鈦,諸如氯化鈦;2.實質上清洗及/或排空過量鹵化鈦及反應副產物;
3.向反應空間提供第二供碳及鋁之反應物,諸如TEA或TMA;4.實質上清洗及/或排空過量第二反應物及反應副產物;5.重複步驟1至步驟4,持續所需循環數或直至實現具有所需厚度之膜為止;以及6.用保護性處理劑對步驟5之產物進行浸泡。
可配置步驟6之浸泡以實現特定程度之在膜中存在的任
何氧與保護性處理之間之相互作用。舉例而言,浸泡可持續足夠久以實質上擴散通過膜,或浸泡之持續時間可保持較短以僅達至膜之部分深度。在一些實施例中,浸泡可用以藉由在膜中提供矽或硼而用氧障壁「塗佈」薄膜。在一些實施例中,以浸泡方式應用之保護性處理為三矽烷。
將在特定薄膜化學物質之情況下討論上述實施例。
含碳金屬膜或金屬碳化物具有不同應用,諸如閘極電極、電容器中之電極及鑲嵌及雙重鑲嵌結構中之障壁層。
在一些實施例中,用於含碳金屬或金屬碳化物薄膜沈積之一般脈衝序列為:(M1Xy+清洗+M2R3+清洗+保護性處理+清洗)×m1或(M1Xy+清洗+保護性處理+清洗+M2R3+清洗)×m1其中m1為總循環數。
M1為金屬原子,其較佳選自由以下組成之群:Ti、Zr、Hf、V、Nb、Ta、Cr、Mo、W。且在一些實施例中,M1係選自由以下組成之群:Fe、Mn、Ni、Co、Cu、Zn、Cd、Ge、Si、Sn、Sb、Ga、Ru、Ir、Pd、Pt、Au、Rh、Re、B、In及Al。
M2為金屬原子,其較佳選自由以下組成之群:B、Al、In、Sn、Bi、Sn、Zn、Pb、Sb及Ga。R3為M2之配體,且可為任何配體,較佳為金屬有機配體,更佳為有機金屬配體,最佳為烷烴配體,諸如乙基配體。
Xy為M1之一或多個配體。每一Xy可為選自由I、Br、Cl及F組成之群的鹵素配體。然而,在一些實施例中,至少一個Xy可為金屬有機配體,諸如環戊二烯基(例如,環戊二烯基、甲基環戊二烯基、五甲基環戊二烯基、乙基環戊二烯基、異丙基環戊二烯基、第三丁基環戊二烯基及茚基)、醇鹽(例如,甲醇鹽、乙醇鹽、異丙醇鹽及第三丁醇鹽)、烷基(例如,甲基、乙基、丙基及丁基)、羰基、環辛二烯、苯或氫配體。在其他實施例中,Xy可包含其混合物。然而,至少一個Xy配體較佳為鹵素。作為一個實例,在一些實施例中可使用雙(環戊二烯基)二氯化鉿或雙(環戊二烯基)三氯化鉭(V)作為金屬前驅物。
保護性處理可包括暴露於選自由以下組成之群的處理
劑:單矽烷、二矽烷、三矽烷、硼烷、二硼烷、三硼烷等。在一些實施例中,保護性處理為二矽烷或三矽烷,其在沈積每一層期間或之後、在沈積任何層之前、在僅沈積一些層之後,或在沈積
所有層之後應用。可以脈衝或以浸泡及以液體或以蒸汽方式應用保護性處理。
在較佳實施例中,M2為金屬,較佳為鋁,且R3為含碳配體。M2R3較佳具有至少一個金屬-碳鍵。在一些實施例中,可將M2R3視為碳源化學物質。在一些實施例中,M2R3選自由TMA及TEA組成之群。
一些實施例之ALD製程的一個益處在於ALD製程或準
ALD製程之生長率極高。舉例而言,TaC形成物之生長率可超過2Å/循環。另外,可在金屬碳化物沈積之後執行退火以增強膜之性質。可在退火期間使用適合的氣氛,諸如N2或合成氣體(N2/H2)。
用於TiC膜形成之例示性脈衝序列包括:(TiCl4+清洗+三甲基鋁(TMA)或三乙基鋁(TEA)+清洗+保護性處理+清洗)]×m2及(TiCl4+清洗+保護性處理+清洗+TMA或TEA+清洗)]×m2其中m2為總循環數,且保護性處理係選自由以下組成之群:單矽烷、二矽烷、三矽烷、硼烷、二硼烷、三硼烷等。
使用以上例示性脈衝序列沈積之膜以原子計含有約17-20% Ti、約17-27% Al、約16-42% Si及約21-39% C。在一些膜中,Al以原子計可多達約40%。此等值係使用盧瑟福反向散射光譜法(Rutherford backscattering spectrometry)或RBS測定。
在其他實施例中,並非在每個循環中,而僅在一些循環
中利用保護性處理。在此情形下,用於含碳金屬薄膜沈積之一般脈衝序列可為:[n3×(M1Xy+清洗+M2R3+清洗)+m3×(保護性處理+清洗)]×k3,其中n3為一個總循環中之碳化物循環數,m3為其中在一個總循環中使用保護性處理之循環數,且k3為總循環數。
M1較佳為Ti,但可為選自由Zr、Hf、V、Nb、Ta、Cr、Mo、W、Si及Al組成之群的金屬原子。在其他實施例中,M1可選自由以下組成之群:Fe、Mn、Ni、Co、Cu、Zn、Cd、Ge、Si、Sn、Sb、Ga、Ru、Ir、Pd、Pt、Au、Rh、Re、B、In。M2較佳為Al,但可為選自由B、Al、In、Sn、Bi、Zn、Pb、Sb及Ga組成之群的金屬原子。R3為M2之配體且可為任何配體。
Xy為M1之一或多個配體。每一Xy較佳為選自由I、Br、Cl及F組成之群的鹵素配體。然而,在一些實施例中,至少一個Xy可為金屬有機配體,諸如環戊二烯基(例如,環戊二烯基、甲基環戊二烯基、五甲基環戊二烯基、乙基環戊二烯基、異丙基環戊二烯基、第三丁基環戊二烯基及茚基)、醇鹽(例如,甲醇鹽、乙醇鹽、異丙醇鹽及第三丁醇鹽)、烷基(例如,甲基、乙基、丙基及丁基)、羰基、環辛二烯、苯或氫配體。在其他實施例中,Xy可包含其混合物。然而,至少一個Xy配體較佳為鹵素。作為一個實例,在一些實施例中可使用雙(環戊二烯基)二氯化鉿或雙(環戊二烯基)三氯化鉭(V)作為金屬前驅物。
使用如本文所揭示之保護性處理具有提供在後續加工期
間具有抗氧化性之薄膜(諸如TiC)的潛力。在不受任何具體理論束縛下,咸信部分地因為保護性處理傾向於減少薄膜中之碳量(因該碳部分地由構成保護性處理之矽或硼或其他元素置換),所以提高了抗氧化性。
金屬氮化物膜
根據一些實施例,使用ALD或準ALD製程在基板(諸如積體電路工件)上形成氮化鈦薄膜。上方沈積薄氮化鈦(TiN)膜之表面可採用多種形式。實例包括但不限於氧化鉿、矽、氧化矽(SiO2)、經塗佈之矽、電介質材料、低k材料、金屬(諸如銅及鋁)、金屬合金、金屬氧化物以及多種氮化物(諸如過渡金屬氮化物及氮化矽)或該等材料之組合。
在一些實施例中,將基板或工件置於反應腔室中且使其經受交替重複之表面反應。特定言之,藉由ALD循環之重複形成薄膜。每個ALD循環通常具有自我限制性,但如以上所討論,可修改反應條件以實現準ALD製程,諸如在將需要不合需要之時間長度來執行真實ALD製程時。在化合物金屬薄膜沈積之情況下,交替地採用至少兩種不同來源化學品。一種反應物可在基板表面上形成最多約一個單層且包括正在沈積之層中所需的金屬物質。此反應物(本文亦稱為「金屬反應物」)較佳為鹵化鈦,並因此以鹵素配體終止沈積層。
第二反應物較佳供氮。在一些實施例中,第二反應物包含NH3、肼,或N及H之自由基/離子(例如在PEALD製程中)或
ALD中使用之其他已知氮化合物。
在根據本揭示案之一些實施例中,在每個循環中、在一定數目之循環之後,或在完成TiN膜之沈積之後提供第三反應物。第三反應物可為保護性處理劑,且可包含矽化合物或硼化合物,較佳包含可還原任何氧化TiN之至少一部分之化合物。換言之,保護性處理可用以還原鈦-氧鍵以恢復鈦-氮化物鍵。在一些實施例中,第三反應物包含矽烷或硼烷,諸如單矽烷、二矽烷、三矽烷、硼烷、二硼烷、三硼烷等。
保護性處理比鈦對氧更具反應性,並因此能夠減少膜中氧化鈦之量。在一些情況下,實際上極少或未有氧自薄膜中移除;然而,保護性處理藉由破壞鈦與氧之間之鍵以使鈦回至其純碳化鈦形式,而起到還原氧化鈦之作用。在此等情況下,儘管實際上未自膜中移除氧,但保護性處理會結合氧,以不阻礙TiN膜或在TiN膜之前或之後沈積的膜之功函數。因此,亦可稱,與膜中TiON之量相比,應用保護性處理會增加TiN之量。此外,在一些實施例中,第三反應物亦提供薄膜中所需之物質,諸如矽或硼。
可在每個ALD循環中、在沈積過程期間之間隔中或在已完成的沈積過程之後提供保護性處理。舉例而言,在一些實施例中,在每一至四個ALD循環中提供保護性處理。在一些實施例中,在提供保護性處理時,最近ALD循環中之膜生長較佳為足夠薄的,以使保護性處理可滲透膜。在一些實施例中,諸如在暴露於保護性處理之前已完成一個以上沈積循環之情形中,可由任何數
目之因素控制膜中滲透或擴散之量,該等因素諸如為持續時間、溫度、壓力、保護性處理之選擇、所用障壁材料之量或濃度等。
保護性處理可作為一或多個循環之一部分提供或可在完成一或多個循環之後應用。因此,在一些實施例中,將沈積金屬氮化物膜(諸如TiN)視為ALD製程中獨立於應用保護性處理之循環。在此等情況下,根據需要將循環重複多次,且在一些或所有循環之後應用使用保護性處理之處理。然而,在一些實施例中,在一或多個循環期間(作為ALD循環之一部分)以及在一或多個循環之後(與ALD循環分開)應用保護性處理。
在ALD循環之一個階段(「鈦階段」或「第一階段」)中,
將包含鈦之反應物或來源化學品供給至反應腔室且使其化學吸附至基板表面。對在此階段中供給之反應物進行選擇,以使在較佳條件下,藉由可用結合位點之數目及化學吸附之物質(包括配體)之實體尺寸來測定可結合於表面之反應物的量。由鈦反應物之脈衝留下之化學吸附層理想地以不與該脈衝之剩餘化學物質反應的表面自我終止。本文將此現象稱為「自我飽和」。熟習此項技術者將認識到,此階段之自我限制性質使得整個ALD循環自我限制。
例如藉由用惰性氣體清洗及/或排空自反應空間中移除過量反應物及反應物副產物(若有的話)。
然而,在一些實施例中,清洗步驟可能不夠久,以致於
不能在將下一前驅物脈動通過反應空間之前充分清潔前驅物之反應空間。在一些情況下,完全排空或清洗可能需要一段時間,此
既不經濟亦不有效。此外,一些前驅物實際上可在反應空間內分解或部分分解。
在循環之下一階段,提供第二來源化學品之脈衝,其藉由前面之脈衝與留在基板表面上之分子反應。在一些實施例中,來源化學品較佳包含氮,其將併入薄膜中。氮藉由來源化學品與金屬反應物留下之單層的相互作用而併入薄膜中。本文將此階段稱為「第二階段」或「供氮階段」。在一些實施例中,第二來源化學品為含氮化合物,且其與化學吸附之金屬物質之反應在基板上產生金屬氮化物層。
藉由清洗及/或排空自反應空間中移除過量之第二來源化學品及反應副產物(若有的話)。
在一些實施例中,ALD循環之第三階段包括提供保護性處理劑。在一些實施例中,保護性處理劑自正在生長之薄膜中移除或隔離氧及/或相對於正在生長之膜中的其他金屬優先與氧反應。另外,保護性處理劑可包含可併入薄膜中之物質,諸如硼或矽。其稱為「第三階段」或「氧隔離階段」。
儘管稱為「第一階段」、「第二階段」及「第三階段」,但
此等標記係為方便起見而不表明每個ALD循環中之階段的實際順序。因此,初始ALD循環可以上述三個階段中之任何階段起始。
然而,熟習此項技術者將認識到,若初始ALD循環不以金屬反應物階段開始,則通常將需要完成至少兩個ALD循環來沈積約一個單層之所需金屬氮化物薄膜。
另外,可改變此階段之順序。亦即,在一些實施例中,
保護性處理可為在第二反應物之後提供之下一反應物,而在其他實施例中,保護性處理可為在第一金屬源反應物之後提供之下一反應物。在一些實施例中,與作為循環之一部分或在完成所有循環之後來供給相比,保護性處理係在任何其他反應物之前供給且可僅在初期供給。且在一些實施例中,可僅在一些循環之後或在完成所有循環之後供給保護性處理。舉例而言,在一些實施例中,第三階段(提供保護性處理)可緊跟在第一階段(提供包含金屬物質之反應物)之後,而其後又跟隨著供氮階段。且在一些實施例中,可在完全形成薄膜之後,以「浸泡」方式供給第三階段。亦即,將沈積之膜暴露於矽烷或硼烷持續更長之時間段。若僅插入清洗或其他反應物移除步驟,則一個階段一般經視為緊跟在另一個階段之後。
在一些實施例中,並不在每個ALD循環中均提供保護性
處理劑。相反地,可用保護性處理處理部分或完全沈積之氮化鈦膜。此可為以下情況,例如,其中所形成之第一TiN膜已經或可能經水、空氣或一些其他污染物源氧化,形成基本上為TiON之層。可對第一膜應用保護性處理,以將TiON層基本上還原回TiN,僅存在少量雜質(諸如氧),或預防層中Ti氧化。
在一個實施例中,ALD循環包括:1.向反應空間提供鹵化鈦;2.實質上清洗及/或排空過量鹵化鈦及反應副產物;
3.向反應空間提供供氮反應物,諸如NH3;4.實質上清洗及/或排空過量第二反應物及反應副產物;以及5.向反應空間提供保護性處理。
可在每個ALD循環中包括步驟5,或可在引入步驟5之前,將步驟1-4重複若干次。在一些實施例中,在包括步驟5之前,將步驟1-4重複多達10次。在其他實施例中,在包括步驟5之前,將步驟1-4重複多達100次或甚至1000次或1000次以上。在一些實施例中,在步驟5之前,沈積具有所需厚度之完整膜。
再次參照圖5,在本發明之一個實施例中,在初始的表面終止之後,根據需要向基板或工件供給502第一反應物或來源化學品脈衝。在所示實施例中,第一反應物為金屬鹵化物,且正在形成之薄膜包含金屬氮化物。根據一個較佳實施例,第一反應物脈衝包含載氣流及與目標工件表面反應之揮發性鹵化鈦物質。因此,含鹵素之鈦物質吸附在工件表面上。第一反應物脈衝使工件表面自我飽和,以使第一反應物脈衝之任何過量成分均不與藉由此製程形成之單層進一步反應。自我飽和係因鹵化物尾部使單層終止而發生,從而保護該層免於進一步反應。
接著自反應空間中移除(步驟504)第一反應物。步驟504可僅需要停止第一反應物或化學物質流,同時繼續使載氣流動足以使過量反應物及反應物副產物自反應空間中擴散出或清洗出之時間。移除(步驟504)較佳包括在停止第一反應物脈衝流之後,
繼續使清洗氣體流動約0.1秒與20秒之間。吸附(步驟502)與反應物移除(步驟504)共同代表ALD循環中之第一階段505。所示ALD循環中之第一階段因此為金屬階段。
繼續參照圖5,接著向工件供給(步驟506)第二反應物或來源化學品脈衝。第二化學品與由第一反應物留下之單層反應。在所示實施例中,此第二反應物脈衝(步驟506)包括向工件供給具有第二原料氣體之載氣。特定言之,當第一反應物包含鹵化鈦時,第二反應物可為氮化合物,諸如NH3。第二反應物較佳自所吸附之第一反應物中移除至少一些鹵化物配體,留下最多約一個單層之TiN。第二反應物脈衝(步驟506)亦造成表面終止,其起到限制在飽和反應相中沈積之作用。
在足以使單層完全飽和且使其與第二反應物脈衝反應506之時間段之後,自工件中移除(步驟508)任何過量之第二反應物。如同移除(步驟504)第一反應物一般,此步驟508可包括停止第二化學物質流,及繼續使載氣流動足以使來自第二反應物脈衝之過量反應物及揮發性反應副產物自反應空間擴散出並清洗出之時間段。第二反應物脈衝(步驟506)及移除(步驟508)共同代表所示製程中之第二階段509,且亦可經視為供氮階段。
根據一些實施例,在供氮階段期間,腔室中存在殘餘量之金屬(諸如鋁)。在先前階段可能已使用過該金屬。因為其在供氮階段期間存在,所以其有可能將併入所得之金屬氮化物層中。舉例而言,沈積TiN層可實際上產生至少一些TiAlN。
當已自腔室中移除(步驟508)第二反應物脈衝之過量反
應物時,可向工件供給第三反應物脈衝(步驟510)。第三反應物可為保護性處理劑,其能夠移除鹵化物及/或與正在生長之膜中的氧反應。適合的保護性處理劑之實例包括矽烷及硼烷,其例如呈單矽烷、二矽烷、三矽烷、硼烷、二硼烷、三硼烷等形式。可用惰性載氣提供保護性處理劑。可調節溫度及壓力條件以控制保護性處理劑擴散通過單層之程度。
在足以實現單層中第三反應物之所需飽和程度之時間段
後,例如藉由清洗氣體脈衝自反應空間中移除(步驟512)過量未反應之保護性處理劑及任何反應副產物(其亦可具有揮發性)。移除可如對步驟504或步驟508所述。保護性處理脈衝(步驟510)及移除(步驟512)共同代表所示ALD製程之第三階段513,其亦可稱為氧隔離階段。
可將第一階段505、第二階段509及第三階段513之組合
視為單個沈積循環515。在一些實施例中,第三階段513之順序實際上在第一階段505與第二階段509中之任一者或兩者之前。在一些實施例中,僅一些或僅一個沈積循環515中包括第三階段513。
在一些實施例中,緊跟在移除過量之第一反應物及副產
物的步驟之後供給保護性處理。在足以使單層與保護性處理劑反應之時間段之後,可能藉由清洗氣體脈衝自反應空間中移除過量未反應之保護性處理材料及反應副產物。在移除步驟之後供給第
二反應物脈衝。
在本揭示案之一些實施例(未示出)中,供給保護性處理及
移除任何過量之保護性處理材料及副產物之步驟係在供給第一反應物之步驟之前。在一些實施例中,保護性處理並不在每個循環中均提供或可在完成所有循環之後提供。
在一些實施例中,供給保護性處理劑之步驟採用浸泡之
形式,其發生在完成一些或所有氮化鈦沈積循環之後。在一些情況下,已發現在完成TiN膜沈積之後發生之三矽烷浸泡實現了適合結果。
在一個實施例中,用於形成氮化鈦膜之製程包括:1.向反應空間提供鹵化鈦,諸如氯化鈦;2.實質上清洗及/或排空過量鹵化鈦及反應副產物;3.向反應空間提供第二反應物或供氮反應物,諸如NH3、肼、或(在PEALD製程中所使用之)N及H之自由基/離子;4.實質上清洗及/或排空過量第二反應物及反應副產物;5.重複步驟1至步驟4至少一次或持續所需循環數或直至實現所需厚度之膜為止;以及6.用包含矽烷及/或硼烷之保護性處理劑對步驟5之產物進行浸泡。
可配置步驟6之浸泡以實現特定程度之在膜中存在的任何氧與保護性處理劑之間之相互作用。舉例而言,浸泡可持續足
夠久以實質上擴散通過膜,或浸泡之持續時間可保持較短以僅達至膜之部分深度。在一些實施例中,浸泡可用來藉由在膜中提供矽或硼而用氧障壁「塗佈」薄膜。在一些實施例中,以浸泡方式應用之保護性處理為三矽烷。
根據一些實施例,可能需要在已沈積膜之後很久,但在
繼續進行後續沈積製程之前,使薄膜(諸如金屬氮化物)經受保護性處理,而無論後續製程自身是否包括氧障壁處理。
在至少一些上述實施例中,實施例中使用之任何元素均可在另一個實施例中互換使用,除非此替換不可行。
熟習此項技術者應認識到,在不脫離本發明之範疇的情況下,可對上述方法及結構做出多種其他省略、添加及修改。所有此等修改及改變均意欲屬於如由申請專利範圍所界定之本發明的範疇。
200‧‧‧堆疊
202‧‧‧電介質層
204‧‧‧蝕刻停止層或底部障壁層
206‧‧‧n型金屬層
208‧‧‧金屬氮化物層
210‧‧‧金屬層
212‧‧‧矽
Claims (26)
- 一種用於形成閘極堆疊之方法,包括:提供基板,所述基板包括電介質材料及在所述電介質材料上之第一含金屬薄膜;使所述第一含金屬薄膜與矽烷化合物或硼烷化合物接觸;在所述基板與所述矽烷化合物或所述硼烷化合物接觸之後,在所述第一薄膜上沈積第二含金屬薄膜。
- 如申請專利範圍第1項所述的用於形成閘極堆疊之方法,其中所述第一含金屬薄膜包含選自Ti、Ta、Hf、V、Nb及Zr之金屬。
- 如申請專利範圍第1項所述的用於形成閘極堆疊之方法,其中所述第一含金屬薄膜為蝕刻停止層或障壁層,且所述第二含金屬薄膜為功函數設定層。
- 如申請專利範圍第1項所述的用於形成閘極堆疊之方法,其中所述第一含金屬薄膜為TiN、TiAlN、TaN或TiAlCN薄膜。
- 如申請專利範圍第1項所述的用於形成閘極堆疊之方法,其中所述第二含金屬薄膜包含n型金屬。
- 如申請專利範圍第1項所述的用於形成閘極堆疊之方法,其中所述第二含金屬薄膜包含TiAl、TaC、HfC、TaAlC、TiAlSiC、TiAlB、TaAlB、TiAlSiB、TaAl、SiAlSiC或HfAlSiB。
- 如申請專利範圍第1項所述的用於形成閘極堆疊之方 法,其中所述第二含金屬薄膜為碳化鈦膜。
- 如申請專利範圍第1項所述的用於形成閘極堆疊之方法,其中使所述第一含金屬薄膜與所述矽烷化合物或所述硼烷化合物接觸包括將所述第一含金屬薄膜暴露於所述矽烷化合物或所述硼烷化合物持續1秒與2分鐘之間的持續時間。
- 如申請專利範圍第1項所述的用於形成閘極堆疊之方法,另外包括使所述第二含金屬薄膜與所述矽烷化合物或所述硼烷化合物接觸。
- 如申請專利範圍第1項所述的用於形成閘極堆疊之方法,其中沈積所述第二含金屬薄膜包括原子層沈積製程,所述原子層沈積製程包括多個沈積循環。
- 如申請專利範圍第10項所述的用於形成閘極堆疊之方法,其中將所述基板在每個所述沈積循環中暴露於所述矽烷化合物或所述硼烷化合物。
- 如申請專利範圍第1項所述的用於形成閘極堆疊之方法,另外包括在所述第二含金屬薄膜上沈積第三含金屬薄膜。
- 如申請專利範圍第12項所述的用於形成閘極堆疊之方法,其中在沈積所述第二含金屬薄膜期間或之後且在沈積所述第三含金屬薄膜之前,使所述基板與所述矽烷化合物或所述硼烷化合物接觸。
- 如申請專利範圍第12項所述的用於形成閘極堆疊之方法,其中在沈積所述第三含金屬薄膜期間或之後,使所述基板與 所述矽烷化合物或所述硼烷化合物接觸。
- 如申請專利範圍第14項所述的用於形成閘極堆疊之方法,另外包括在所述第三含金屬薄膜上沈積金屬薄膜。
- 如申請專利範圍第15項所述的用於形成閘極堆疊之方法,其中所述金屬薄膜為鎢薄膜。
- 如申請專利範圍第1項所述的用於形成閘極堆疊之方法,其中所述矽烷或硼烷選自由以下組成之群:單矽烷、二矽烷、三矽烷、硼烷、二硼烷及三硼烷。
- 如申請專利範圍第17項所述的用於形成閘極堆疊之方法,其中所述矽烷或所述硼烷為三矽烷。
- 如申請專利範圍第1項所述的用於形成閘極堆疊之方法,其中原位沈積所述第一含金屬薄膜及所述第二含金屬薄膜。
- 一種用於形成NMOS堆疊之方法,依次包括:提供基板,所述基板包括先前沈積之電介質材料及蝕刻停止層;使所述蝕刻停止層與矽烷化合物或硼烷化合物接觸;以及在蝕刻停止層上沈積含金屬層。
- 如申請專利範圍第20項所述的用於形成NMOS堆疊之方法,其中所述蝕刻停止層為氮化鈦層,且所述含金屬層包含n型金屬。
- 如申請專利範圍第21項所述的用於形成NMOS堆疊之方法,其中所述含金屬層包含TiAl、TaC、HfC、TaAlC、TiAlSiC、 TiAlB、TaAlB、TiAlSiB、TaAl、TiAlSiC、TaAlSiB或HfAlSiB。
- 如申請專利範圍第20項所述的用於形成NMOS堆疊之方法,進一步包括使所述含金屬層與所述矽烷化合物或所述硼烷化合物接觸。
- 如申請專利範圍第20項所述的用於形成NMOS堆疊之方法,其中藉由包括多個沈積循環之原子層沈積製程沈積所述含金屬層,且其中使所述基板在所述沈積循環中之至少一個期間與所述矽烷化合物或所述硼烷化合物接觸。
- 如申請專利範圍第24項所述的用於形成NMOS堆疊之方法,其中所述矽烷或硼烷選自由以下組成之群:單矽烷、二矽烷、三矽烷、硼烷、二硼烷及三硼烷。
- 如申請專利範圍第20項所述的用於形成NMOS堆疊之方法,其中使所述蝕刻停止層與所述矽烷化合物或所述硼烷化合物接觸不增加第一金屬氮化物層之厚度。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US13/830,322 US8846550B1 (en) | 2013-03-14 | 2013-03-14 | Silane or borane treatment of metal thin films |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201501186A TW201501186A (zh) | 2015-01-01 |
TWI556294B true TWI556294B (zh) | 2016-11-01 |
Family
ID=51503937
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW105131349A TWI564944B (zh) | 2013-03-14 | 2014-03-14 | 減少兩個不同含金屬薄膜之間的界面處的氧化的方法與於蝕刻停止層上沈積金屬層的方法 |
TW103109223A TWI556294B (zh) | 2013-03-14 | 2014-03-14 | 金屬薄膜的矽烷或硼烷處理 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW105131349A TWI564944B (zh) | 2013-03-14 | 2014-03-14 | 減少兩個不同含金屬薄膜之間的界面處的氧化的方法與於蝕刻停止層上沈積金屬層的方法 |
Country Status (4)
Country | Link |
---|---|
US (2) | US8846550B1 (zh) |
KR (1) | KR101907193B1 (zh) |
CN (1) | CN104051250B (zh) |
TW (2) | TWI564944B (zh) |
Families Citing this family (353)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8993055B2 (en) | 2005-10-27 | 2015-03-31 | Asm International N.V. | Enhanced thin film deposition |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9892917B2 (en) | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9287113B2 (en) | 2012-11-08 | 2016-03-15 | Novellus Systems, Inc. | Methods for depositing films on sensitive substrates |
US9373500B2 (en) | 2014-02-21 | 2016-06-21 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9685320B2 (en) | 2010-09-23 | 2017-06-20 | Lam Research Corporation | Methods for depositing silicon oxide |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9337303B2 (en) * | 2011-09-24 | 2016-05-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal gate stack having TiAICN as work function layer and/or blocking/wetting layer |
US9337192B2 (en) | 2011-09-24 | 2016-05-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal gate stack having TaAlCN layer |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US8841182B1 (en) * | 2013-03-14 | 2014-09-23 | Asm Ip Holding B.V. | Silane and borane treatments for titanium carbide films |
US8846550B1 (en) * | 2013-03-14 | 2014-09-30 | Asm Ip Holding B.V. | Silane or borane treatment of metal thin films |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9214334B2 (en) * | 2014-02-18 | 2015-12-15 | Lam Research Corporation | High growth rate process for conformal aluminum nitride |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
JP6087023B2 (ja) * | 2014-03-28 | 2017-03-01 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置および記録媒体 |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10002936B2 (en) * | 2014-10-23 | 2018-06-19 | Asm Ip Holding B.V. | Titanium aluminum and tantalum aluminum thin films |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US11421321B2 (en) | 2015-07-28 | 2022-08-23 | Asm Ip Holding B.V. | Apparatuses for thin film deposition |
US10204790B2 (en) | 2015-07-28 | 2019-02-12 | Asm Ip Holding B.V. | Methods for thin film deposition |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9799745B2 (en) * | 2015-10-20 | 2017-10-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Atomic layer deposition methods and structures thereof |
US9978601B2 (en) * | 2015-10-20 | 2018-05-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods for pre-deposition treatment of a work-function metal layer |
US9972694B2 (en) | 2015-10-20 | 2018-05-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Atomic layer deposition methods and structures thereof |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) * | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10037884B2 (en) | 2016-08-31 | 2018-07-31 | Lam Research Corporation | Selective atomic layer deposition for gapfill using sacrificial underlayer |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
US10510547B2 (en) | 2017-09-13 | 2019-12-17 | Applied Materials, Inc. | Metal and metal-derived films |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110923659B (zh) * | 2018-09-20 | 2022-07-08 | 东京毅力科创株式会社 | 成膜方法及基板处理系统 |
CN110970303B (zh) * | 2018-09-28 | 2024-06-21 | 台湾积体电路制造股份有限公司 | 半导体器件及其形成方法 |
US11282938B2 (en) * | 2018-09-28 | 2022-03-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Capping layers in metal gates of transistors |
TWI844567B (zh) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | 基材保持裝置、含有此裝置之系統及其使用之方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
WO2020076710A1 (en) * | 2018-10-08 | 2020-04-16 | Applied Materials, Inc. | Methods and apparatus for n-type metal oxide semiconductor (nmos) metal gate materials using atomic layer deposition (ald) processes with metal based precursors |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US20200144056A1 (en) * | 2018-11-03 | 2020-05-07 | Applied Materials, Inc. | Method of forming a cobalt layer on a substrate |
US11424132B2 (en) * | 2018-11-03 | 2022-08-23 | Applied Materials, Inc. | Methods and apparatus for controlling contact resistance in cobalt-titanium structures |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
WO2020222853A1 (en) | 2019-05-01 | 2020-11-05 | Lam Research Corporation | Modulated atomic layer deposition |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
US11699736B2 (en) * | 2020-06-25 | 2023-07-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate structure and method |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
DE102022122197A1 (de) | 2022-09-01 | 2024-03-07 | Geomar Helmholtz-Zentrum Für Ozeanforschung Kiel - Stiftung Des Öffentlichen Rechts | Diagnosemethode für Prostatakrebs |
Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7794798B2 (en) * | 2007-09-29 | 2010-09-14 | Tel Epion Inc. | Method for depositing films using gas cluster ion beam processing |
Family Cites Families (172)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
FI117944B (fi) | 1999-10-15 | 2007-04-30 | Asm Int | Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi |
FI119941B (fi) | 1999-10-15 | 2009-05-15 | Asm Int | Menetelmä nanolaminaattien valmistamiseksi |
US3925337A (en) | 1969-07-31 | 1975-12-09 | Air Prod & Chem | Post chlorinated vinyl chloride copolymers |
US3708728A (en) | 1971-06-10 | 1973-01-02 | Int Standard Electric Corp | Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating |
SE393967B (sv) | 1974-11-29 | 1977-05-31 | Sateko Oy | Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket |
JPS5833841Y2 (ja) | 1979-06-12 | 1983-07-29 | ヤンマー農機株式会社 | 田植機における線引きマ−カ− |
US4282267A (en) | 1979-09-20 | 1981-08-04 | Western Electric Co., Inc. | Methods and apparatus for generating plasmas |
US4389973A (en) | 1980-03-18 | 1983-06-28 | Oy Lohja Ab | Apparatus for performing growth of compound thin films |
DE3463641D1 (en) | 1983-11-11 | 1987-06-19 | Japan Res Dev Corp | Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom |
US5693139A (en) | 1984-07-26 | 1997-12-02 | Research Development Corporation Of Japan | Growth of doped semiconductor monolayers |
JPH0669157B2 (ja) | 1985-03-11 | 1994-08-31 | 日本電気株式会社 | 自動等化装置 |
GB8516537D0 (en) | 1985-06-29 | 1985-07-31 | Standard Telephones Cables Ltd | Pulsed plasma apparatus |
US5769950A (en) | 1985-07-23 | 1998-06-23 | Canon Kabushiki Kaisha | Device for forming deposited film |
US4761269A (en) | 1986-06-12 | 1988-08-02 | Crystal Specialties, Inc. | Apparatus for depositing material on a substrate |
US4747367A (en) | 1986-06-12 | 1988-05-31 | Crystal Specialties, Inc. | Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition |
US4767494A (en) | 1986-07-04 | 1988-08-30 | Nippon Telegraph & Telephone Corporation | Preparation process of compound semiconductor |
US5221556A (en) | 1987-06-24 | 1993-06-22 | Epsilon Technology, Inc. | Gas injectors for reaction chambers in CVD systems |
US5166092A (en) | 1988-01-28 | 1992-11-24 | Fujitsu Limited | Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy |
US4851095A (en) | 1988-02-08 | 1989-07-25 | Optical Coating Laboratory, Inc. | Magnetron sputtering apparatus and process |
US4981724A (en) | 1988-10-27 | 1991-01-01 | Hochberg Arthur K | Deposition of silicon oxide films using alkylsilane liquid sources |
JPH0831454B2 (ja) | 1989-04-21 | 1996-03-27 | 日本電気株式会社 | 半導体装置の製造方法 |
US5549937A (en) | 1989-10-11 | 1996-08-27 | U.S. Philips Corporation | Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase |
JPH0637041Y2 (ja) | 1989-10-26 | 1994-09-28 | ゼムコインタナショナル株式会社 | アスファルトプラント |
JP2822536B2 (ja) | 1990-02-14 | 1998-11-11 | 住友電気工業株式会社 | 立方晶窒化ホウ素薄膜の形成方法 |
US5071670A (en) | 1990-06-11 | 1991-12-10 | Kelly Michael A | Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means |
US5225366A (en) | 1990-06-22 | 1993-07-06 | The United States Of America As Represented By The Secretary Of The Navy | Apparatus for and a method of growing thin films of elemental semiconductors |
US5316793A (en) | 1992-07-27 | 1994-05-31 | Texas Instruments Incorporated | Directed effusive beam atomic layer epitaxy system and method |
US5270247A (en) | 1991-07-12 | 1993-12-14 | Fujitsu Limited | Atomic layer epitaxy of compound semiconductor |
US5242530A (en) | 1991-08-05 | 1993-09-07 | International Business Machines Corporation | Pulsed gas plasma-enhanced chemical vapor deposition of silicon |
CA2059818C (en) | 1991-08-21 | 1995-01-03 | Gokichi Hatouchi | Apparatus for storing articles |
JPH05335257A (ja) | 1992-06-03 | 1993-12-17 | Showa Shell Sekiyu Kk | p型シリコンカーバイドの形成方法 |
US5342652A (en) | 1992-06-15 | 1994-08-30 | Materials Research Corporation | Method of nucleating tungsten on titanium nitride by CVD without silane |
US5306666A (en) | 1992-07-24 | 1994-04-26 | Nippon Steel Corporation | Process for forming a thin metal film by chemical vapor deposition |
FR2695944B1 (fr) | 1992-09-24 | 1994-11-18 | Onera (Off Nat Aerospatiale) | Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes. |
JP3356531B2 (ja) | 1994-02-15 | 2002-12-16 | 東京エレクトロン株式会社 | ボロン含有ポリシリコン膜の形成方法 |
US6200389B1 (en) | 1994-07-18 | 2001-03-13 | Silicon Valley Group Thermal Systems Llc | Single body injector and deposition chamber |
FI97730C (fi) | 1994-11-28 | 1997-02-10 | Mikrokemia Oy | Laitteisto ohutkalvojen valmistamiseksi |
FI100409B (fi) | 1994-11-28 | 1997-11-28 | Asm Int | Menetelmä ja laitteisto ohutkalvojen valmistamiseksi |
FI97731C (fi) | 1994-11-28 | 1997-02-10 | Mikrokemia Oy | Menetelmä ja laite ohutkalvojen valmistamiseksi |
DE69517158T2 (de) | 1994-11-30 | 2001-01-25 | Micron Technology, Inc. | Verfahren zum auftragen von wolframnitrid unter verwendung eines silicium enthaltenden gases |
WO1996018756A1 (en) | 1994-12-16 | 1996-06-20 | Nkt Research Center A/S | A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC |
WO1996020298A1 (de) | 1994-12-27 | 1996-07-04 | Siemens Aktiengesellschaft | Verfahren zum herstellen von mit bor dotiertem, einkristallinem siliciumcarbid |
US6006763A (en) | 1995-01-11 | 1999-12-28 | Seiko Epson Corporation | Surface treatment method |
JPH08264530A (ja) | 1995-03-20 | 1996-10-11 | Fujitsu Ltd | 半導体装置の製造方法及び半導体装置の製造装置 |
DE19514081A1 (de) | 1995-04-13 | 1996-10-17 | Siemens Ag | Verfahren zum Herstellen eines elektrischen Kontakts auf einer SiC-Oberfläche |
US5744254A (en) | 1995-05-24 | 1998-04-28 | Virginia Tech Intellectual Properties, Inc. | Composite materials including metallic matrix composite reinforcements |
US5595784A (en) | 1995-08-01 | 1997-01-21 | Kaim; Robert | Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides |
JPH0987857A (ja) | 1995-09-27 | 1997-03-31 | Res Dev Corp Of Japan | プラズマcvdによる炭化物コーティング方法 |
TW328971B (en) | 1995-10-30 | 1998-04-01 | Dow Corning | Method for depositing Si-O containing coatings |
KR0155918B1 (ko) | 1995-11-03 | 1998-12-01 | 김광호 | 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법 |
US6509098B1 (en) | 1995-11-17 | 2003-01-21 | Massachusetts Institute Of Technology | Poly(ethylene oxide) coated surfaces |
US5672054A (en) | 1995-12-07 | 1997-09-30 | Carrier Corporation | Rotary compressor with reduced lubrication sensitivity |
US5946594A (en) | 1996-01-02 | 1999-08-31 | Micron Technology, Inc. | Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants |
US5965004A (en) | 1996-03-13 | 1999-10-12 | Sterling Pulp Chemicals, Ltd. | Chlorine dioxide generation for water treatment |
US5789024A (en) | 1996-05-15 | 1998-08-04 | New Jersey Institute Of Technology | Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds |
US6342277B1 (en) | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
US5916365A (en) | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
FI100758B (fi) | 1996-09-11 | 1998-02-13 | Planar Internat Oy Ltd | Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten |
JP3353874B2 (ja) | 1996-09-24 | 2002-12-03 | シャープ株式会社 | 半導体装置及びその製造方法 |
EP0841690B1 (en) | 1996-11-12 | 2006-03-01 | Samsung Electronics Co., Ltd. | Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method |
TW320752B (en) | 1996-11-18 | 1997-11-21 | United Microelectronics Corp | Metal gate electrode process |
US6174377B1 (en) | 1997-03-03 | 2001-01-16 | Genus, Inc. | Processing chamber for atomic layer deposition processes |
US6156382A (en) | 1997-05-16 | 2000-12-05 | Applied Materials, Inc. | Chemical vapor deposition process for depositing tungsten |
US6287965B1 (en) | 1997-07-28 | 2001-09-11 | Samsung Electronics Co, Ltd. | Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor |
KR100269306B1 (ko) | 1997-07-31 | 2000-10-16 | 윤종용 | 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법 |
KR100261017B1 (ko) | 1997-08-19 | 2000-08-01 | 윤종용 | 반도체 장치의 금속 배선층을 형성하는 방법 |
US5913145A (en) | 1997-08-28 | 1999-06-15 | Texas Instruments Incorporated | Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures |
US6348376B2 (en) | 1997-09-29 | 2002-02-19 | Samsung Electronics Co., Ltd. | Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same |
KR100274603B1 (ko) | 1997-10-01 | 2001-01-15 | 윤종용 | 반도체장치의제조방법및그의제조장치 |
US5972430A (en) | 1997-11-26 | 1999-10-26 | Advanced Technology Materials, Inc. | Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer |
US6099904A (en) | 1997-12-02 | 2000-08-08 | Applied Materials, Inc. | Low resistivity W using B2 H6 nucleation step |
FI104383B (fi) | 1997-12-09 | 2000-01-14 | Fortum Oil & Gas Oy | Menetelmä laitteistojen sisäpintojen päällystämiseksi |
US6104074A (en) | 1997-12-11 | 2000-08-15 | Apa Optics, Inc. | Schottky barrier detectors for visible-blind ultraviolet detection |
KR100269328B1 (ko) | 1997-12-31 | 2000-10-16 | 윤종용 | 원자층 증착 공정을 이용하는 도전층 형성방법 |
US6015917A (en) | 1998-01-23 | 2000-01-18 | Advanced Technology Materials, Inc. | Tantalum amide precursors for deposition of tantalum nitride on a substrate |
KR100287174B1 (ko) | 1998-03-17 | 2001-04-16 | 윤종용 | 다원자계산화물 및 질화물의박막제조방법 |
US6380627B1 (en) | 1998-06-26 | 2002-04-30 | The Regents Of The University Of California | Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication |
DE19832245A1 (de) | 1998-07-17 | 2000-01-20 | Heidelberger Druckmasch Ag | Verfahren zum Bedienen von Geräten in der Reproduktionstechnik |
JP2960928B1 (ja) | 1998-07-24 | 1999-10-12 | スタンレー電気株式会社 | 車両用信号灯具 |
KR100275738B1 (ko) | 1998-08-07 | 2000-12-15 | 윤종용 | 원자층 증착법을 이용한 박막 제조방법 |
US6188134B1 (en) | 1998-08-20 | 2001-02-13 | The United States Of America As Represented By The Secretary Of The Navy | Electronic devices with rubidium barrier film and process for making same |
US6727190B2 (en) | 1998-09-03 | 2004-04-27 | Micron Technology, Inc. | Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials |
CN1150347C (zh) | 1999-02-11 | 2004-05-19 | 哈迪德有限公司 | 碳化钨涂层及其制造方法 |
WO2000047404A1 (en) | 1999-02-12 | 2000-08-17 | Gelest, Inc. | Chemical vapor deposition of tungsten nitride |
US6200893B1 (en) | 1999-03-11 | 2001-03-13 | Genus, Inc | Radical-assisted sequential CVD |
KR20000060438A (ko) | 1999-03-16 | 2000-10-16 | 이경수 | 산화알루미늄 막의 형성 방법 |
KR100273473B1 (ko) | 1999-04-06 | 2000-11-15 | 이경수 | 박막 형성 방법 |
US6124158A (en) | 1999-06-08 | 2000-09-26 | Lucent Technologies Inc. | Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants |
US6613383B1 (en) | 1999-06-21 | 2003-09-02 | Regents Of The University Of Colorado | Atomic layer controlled deposition on particle surfaces |
US6391785B1 (en) | 1999-08-24 | 2002-05-21 | Interuniversitair Microelektronica Centrum (Imec) | Method for bottomless deposition of barrier layers in integrated circuit metallization schemes |
US6511539B1 (en) | 1999-09-08 | 2003-01-28 | Asm America, Inc. | Apparatus and method for growth of a thin film |
US6576053B1 (en) | 1999-10-06 | 2003-06-10 | Samsung Electronics Co., Ltd. | Method of forming thin film using atomic layer deposition method |
DE60028394T2 (de) | 1999-10-15 | 2007-03-29 | Asm International N.V. | Konforme auskleidungsschichten für damaszenmetallisierungen |
US6475276B1 (en) | 1999-10-15 | 2002-11-05 | Asm Microchemistry Oy | Production of elemental thin films using a boron-containing reducing agent |
US6727169B1 (en) | 1999-10-15 | 2004-04-27 | Asm International, N.V. | Method of making conformal lining layers for damascene metallization |
KR100737901B1 (ko) | 1999-10-15 | 2007-07-10 | 에이에스엠 인터내셔널 엔.브이. | 민감한 표면에 나노적층박막을 증착하는 방법 |
US6902763B1 (en) | 1999-10-15 | 2005-06-07 | Asm International N.V. | Method for depositing nanolaminate thin films on sensitive surfaces |
US6203613B1 (en) | 1999-10-19 | 2001-03-20 | International Business Machines Corporation | Atomic layer deposition with nitrate containing precursors |
US6780704B1 (en) | 1999-12-03 | 2004-08-24 | Asm International Nv | Conformal thin films over textured capacitor electrodes |
FI20000099A0 (fi) | 2000-01-18 | 2000-01-18 | Asm Microchemistry Ltd | Menetelmä metalliohutkalvojen kasvattamiseksi |
KR20010075977A (ko) | 2000-01-21 | 2001-08-11 | 윤덕용 | 부가 단량체 단일선구물질을 이용한 13 족 질화물 박막의제조방법 |
AU2001245388A1 (en) | 2000-03-07 | 2001-09-17 | Asm America, Inc. | Graded thin films |
US6271136B1 (en) * | 2000-04-04 | 2001-08-07 | Taiwan Semiconductor Manufacturing Company | Multi-step plasma process for forming TiSiN barrier |
DE10018156A1 (de) | 2000-04-12 | 2001-10-25 | Bosch Gmbh Robert | Elektromotor |
TW508658B (en) | 2000-05-15 | 2002-11-01 | Asm Microchemistry Oy | Process for producing integrated circuits |
US6482733B2 (en) | 2000-05-15 | 2002-11-19 | Asm Microchemistry Oy | Protective layers prior to alternating layer deposition |
US6194310B1 (en) | 2000-06-01 | 2001-02-27 | Sharp Laboratories Of America, Inc. | Method of forming amorphous conducting diffusion barriers |
US6713177B2 (en) | 2000-06-21 | 2004-03-30 | Regents Of The University Of Colorado | Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films |
US20040224504A1 (en) | 2000-06-23 | 2004-11-11 | Gadgil Prasad N. | Apparatus and method for plasma enhanced monolayer processing |
US7405158B2 (en) | 2000-06-28 | 2008-07-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US7101795B1 (en) | 2000-06-28 | 2006-09-05 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US6355561B1 (en) | 2000-11-21 | 2002-03-12 | Micron Technology, Inc. | ALD method to improve surface coverage |
US20020104481A1 (en) | 2000-12-06 | 2002-08-08 | Chiang Tony P. | System and method for modulated ion-induced atomic layer deposition (MII-ALD) |
US6464779B1 (en) | 2001-01-19 | 2002-10-15 | Novellus Systems, Inc. | Copper atomic layer chemical vapor desposition |
FI109770B (fi) | 2001-03-16 | 2002-10-15 | Asm Microchemistry Oy | Menetelmä metallinitridiohutkalvojen valmistamiseksi |
KR100853903B1 (ko) | 2001-03-20 | 2008-08-25 | 맷슨 테크놀로지, 인크. | 비교적 높은 유전율을 갖는 코팅을 기판 상에 증착하는 방법 |
KR100755636B1 (ko) * | 2001-06-29 | 2007-09-04 | 매그나칩 반도체 유한회사 | 반도체 소자의 제조 방법 |
US7211144B2 (en) | 2001-07-13 | 2007-05-01 | Applied Materials, Inc. | Pulsed nucleation deposition of tungsten layers |
US20040206008A1 (en) | 2001-07-16 | 2004-10-21 | Chien-Min Sung | SiCN compositions and methods |
EP1421606A4 (en) | 2001-08-06 | 2008-03-05 | Genitech Co Ltd | PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS |
WO2003025243A2 (en) | 2001-09-14 | 2003-03-27 | Asm International N.V. | Metal nitride deposition by ald using gettering reactant |
US20030049931A1 (en) | 2001-09-19 | 2003-03-13 | Applied Materials, Inc. | Formation of refractory metal nitrides using chemisorption techniques |
US6960537B2 (en) | 2001-10-02 | 2005-11-01 | Asm America, Inc. | Incorporation of nitrogen into high k dielectric film |
TW589684B (en) | 2001-10-10 | 2004-06-01 | Applied Materials Inc | Method for depositing refractory metal layers employing sequential deposition techniques |
US6809026B2 (en) | 2001-12-21 | 2004-10-26 | Applied Materials, Inc. | Selective deposition of a barrier layer on a metal film |
US20030123216A1 (en) | 2001-12-27 | 2003-07-03 | Yoon Hyungsuk A. | Deposition of tungsten for the formation of conformal tungsten silicide |
KR20030057938A (ko) | 2001-12-29 | 2003-07-07 | 주식회사 하이닉스반도체 | 반도체 소자의 실리콘 질화막 제조방법 |
US6827978B2 (en) | 2002-02-11 | 2004-12-07 | Applied Materials, Inc. | Deposition of tungsten films |
US20030157760A1 (en) | 2002-02-20 | 2003-08-21 | Applied Materials, Inc. | Deposition of tungsten films for dynamic random access memory (DRAM) applications |
US6833161B2 (en) | 2002-02-26 | 2004-12-21 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US6972267B2 (en) | 2002-03-04 | 2005-12-06 | Applied Materials, Inc. | Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor |
US20030194825A1 (en) | 2002-04-10 | 2003-10-16 | Kam Law | Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications |
US20030203616A1 (en) | 2002-04-24 | 2003-10-30 | Applied Materials, Inc. | Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization |
US7589029B2 (en) | 2002-05-02 | 2009-09-15 | Micron Technology, Inc. | Atomic layer deposition and conversion |
US20040129212A1 (en) | 2002-05-20 | 2004-07-08 | Gadgil Pradad N. | Apparatus and method for delivery of reactive chemical precursors to the surface to be treated |
KR20030093575A (ko) | 2002-06-03 | 2003-12-11 | 주식회사 하이닉스반도체 | 고선택성 질화막을 이용한 캐패시터 제조방법 |
US7045406B2 (en) | 2002-12-03 | 2006-05-16 | Asm International, N.V. | Method of forming an electrode with adjusted work function |
KR20040060402A (ko) | 2002-12-30 | 2004-07-06 | 주식회사 하이닉스반도체 | 반도체소자의 콘택 형성방법 |
KR20030016346A (ko) | 2003-01-29 | 2003-02-26 | 윤태식 | 차량 부재 운행 자동 관리 시스템 |
US7198820B2 (en) | 2003-02-06 | 2007-04-03 | Planar Systems, Inc. | Deposition of carbon- and transition metal-containing thin films |
KR100494129B1 (ko) | 2003-03-06 | 2005-06-10 | 주식회사 하이닉스반도체 | 반도체 소자의 전극 형성방법 |
KR100511913B1 (ko) | 2003-03-06 | 2005-09-02 | 주식회사 하이닉스반도체 | 반도체 소자의 비트라인 형성 방법 |
US7071086B2 (en) * | 2003-04-23 | 2006-07-04 | Advanced Micro Devices, Inc. | Method of forming a metal gate structure with tuning of work function by silicon incorporation |
KR20040100767A (ko) | 2003-05-24 | 2004-12-02 | 주식회사 하이닉스반도체 | 저압 실리콘 질화막 형성 방법 |
US20040238876A1 (en) | 2003-05-29 | 2004-12-02 | Sunpil Youn | Semiconductor structure having low resistance and method of manufacturing same |
US6830998B1 (en) * | 2003-06-17 | 2004-12-14 | Advanced Micro Devices, Inc. | Gate dielectric quality for replacement metal gate transistors |
JP2007523994A (ja) | 2003-06-18 | 2007-08-23 | アプライド マテリアルズ インコーポレイテッド | バリヤ物質の原子層堆積 |
US6861350B1 (en) * | 2003-06-19 | 2005-03-01 | Advanced Micro Devices, Inc. | Method of manufacturing semiconductor device comprising silicon-rich tasin metal gate electrode |
KR100543517B1 (ko) | 2003-06-23 | 2006-01-20 | 주식회사 포스코 | 수처리 설비의 원격 전력량 계측 시스템 |
US7030430B2 (en) | 2003-08-15 | 2006-04-18 | Intel Corporation | Transition metal alloys for use as a gate electrode and devices incorporating these alloys |
US7105430B2 (en) | 2004-03-26 | 2006-09-12 | Freescale Semiconductor, Inc. | Method for forming a semiconductor device having a notched control electrode and structure thereof |
US20050252449A1 (en) | 2004-05-12 | 2005-11-17 | Nguyen Son T | Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system |
KR100714269B1 (ko) | 2004-10-14 | 2007-05-02 | 삼성전자주식회사 | 반도체 소자 제조에 사용되는 금속층 형성방법 |
US7015153B1 (en) | 2004-10-20 | 2006-03-21 | Freescale Semiconductor, Inc. | Method for forming a layer using a purging gas in a semiconductor process |
KR100640550B1 (ko) | 2005-01-26 | 2006-10-31 | 주식회사 아이피에스 | 플라즈마 ald 박막증착방법 |
US20060240187A1 (en) | 2005-01-27 | 2006-10-26 | Applied Materials, Inc. | Deposition of an intermediate catalytic layer on a barrier layer for copper metallization |
US7438949B2 (en) | 2005-01-27 | 2008-10-21 | Applied Materials, Inc. | Ruthenium containing layer deposition method |
US8486845B2 (en) | 2005-03-21 | 2013-07-16 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
US7220671B2 (en) | 2005-03-31 | 2007-05-22 | Intel Corporation | Organometallic precursors for the chemical phase deposition of metal films in interconnect applications |
US20070054046A1 (en) | 2005-09-06 | 2007-03-08 | Tokyo Electron Limited | Method of forming a tantalum-containing layer from a metalorganic precursor |
JP2009510074A (ja) | 2005-09-29 | 2009-03-12 | プラクスエア・テクノロジー・インコーポレイテッド | 有機金属化合物及びその使用方法 |
US8993055B2 (en) | 2005-10-27 | 2015-03-31 | Asm International N.V. | Enhanced thin film deposition |
US7407876B2 (en) | 2006-03-20 | 2008-08-05 | Tokyo Electron Limited | Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper |
US8268409B2 (en) | 2006-10-25 | 2012-09-18 | Asm America, Inc. | Plasma-enhanced deposition of metal carbide films |
US7611751B2 (en) | 2006-11-01 | 2009-11-03 | Asm America, Inc. | Vapor deposition of metal carbide films |
US7598170B2 (en) | 2007-01-26 | 2009-10-06 | Asm America, Inc. | Plasma-enhanced ALD of tantalum nitride films |
US7713874B2 (en) | 2007-05-02 | 2010-05-11 | Asm America, Inc. | Periodic plasma annealing in an ALD-type process |
US7585762B2 (en) | 2007-09-25 | 2009-09-08 | Applied Materials, Inc. | Vapor deposition processes for tantalum carbide nitride materials |
JP5551681B2 (ja) | 2008-04-16 | 2014-07-16 | エーエスエム アメリカ インコーポレイテッド | アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積 |
JP2013026466A (ja) | 2011-07-21 | 2013-02-04 | Panasonic Corp | 半導体装置及びその製造方法 |
WO2013043501A1 (en) | 2011-09-23 | 2013-03-28 | Applied Materials, Inc. | Metal-aluminum alloy films from metal amidinate precursors and aluminum precursors |
US8846550B1 (en) * | 2013-03-14 | 2014-09-30 | Asm Ip Holding B.V. | Silane or borane treatment of metal thin films |
-
2013
- 2013-03-14 US US13/830,322 patent/US8846550B1/en active Active
-
2014
- 2014-02-25 KR KR1020140022026A patent/KR101907193B1/ko active IP Right Grant
- 2014-03-03 CN CN201410075121.2A patent/CN104051250B/zh active Active
- 2014-03-14 TW TW105131349A patent/TWI564944B/zh active
- 2014-03-14 TW TW103109223A patent/TWI556294B/zh active
- 2014-06-10 US US14/300,986 patent/US9111749B2/en active Active
Patent Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7794798B2 (en) * | 2007-09-29 | 2010-09-14 | Tel Epion Inc. | Method for depositing films using gas cluster ion beam processing |
Also Published As
Publication number | Publication date |
---|---|
US20140295673A1 (en) | 2014-10-02 |
US9111749B2 (en) | 2015-08-18 |
KR20140113341A (ko) | 2014-09-24 |
US8846550B1 (en) | 2014-09-30 |
TW201701333A (zh) | 2017-01-01 |
US20140273428A1 (en) | 2014-09-18 |
CN104051250B (zh) | 2017-12-22 |
CN104051250A (zh) | 2014-09-17 |
TWI564944B (zh) | 2017-01-01 |
TW201501186A (zh) | 2015-01-01 |
KR101907193B1 (ko) | 2018-12-07 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI556294B (zh) | 金屬薄膜的矽烷或硼烷處理 | |
TWI586826B (zh) | 碳化鈦膜之矽烷與硼烷處理 | |
US10964534B2 (en) | Enhanced thin film deposition | |
US11139383B2 (en) | Titanium aluminum and tantalum aluminum thin films | |
KR102197048B1 (ko) | 이중 선택적 퇴적 | |
JP2017041632A (ja) | アルミニウム及び窒素を含む材料の選択的堆積 |