KR102472965B1 - 불소-함유 도전성 막들 - Google Patents

불소-함유 도전성 막들 Download PDF

Info

Publication number
KR102472965B1
KR102472965B1 KR1020217004586A KR20217004586A KR102472965B1 KR 102472965 B1 KR102472965 B1 KR 102472965B1 KR 1020217004586 A KR1020217004586 A KR 1020217004586A KR 20217004586 A KR20217004586 A KR 20217004586A KR 102472965 B1 KR102472965 B1 KR 102472965B1
Authority
KR
South Korea
Prior art keywords
thin film
cycle
sub
cycles
atomic
Prior art date
Application number
KR1020217004586A
Other languages
English (en)
Other versions
KR20210021408A (ko
Inventor
톰 이. 블롬베르그
린다 린드로스
한누 후오타리
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Priority to KR1020227041693A priority Critical patent/KR20220165801A/ko
Publication of KR20210021408A publication Critical patent/KR20210021408A/ko
Application granted granted Critical
Publication of KR102472965B1 publication Critical patent/KR102472965B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

기판 상에 불소-함유 박막을 퇴적하기 위한 원자층 퇴적(ALD) 공정은 복수의 슈퍼-사이클들을 포함할 수 있다. 각 슈퍼-사이클은 금속 불화물 서브-사이클 및 환원성(reducing) 서브-사이클을 포함할 수 있다. 상기 금속 불화물 서브-사이클은 상기 기판을 금속 불화물과 접촉시키는 단계를 포함할 수 있다. 상기 환원성 서브-사이클은 상기 기판을 환원제 및 질소 반응물과 교대로 그리고 순차적으로 접촉시키는 단계를 포함할 수 있다.

Description

불소-함유 도전성 막들{Fluorine-containing conductive films}
본 출원은 일반적으로 반도체 소자 제조 분야에 관한 것이며, 보다 상세하게는 불소-함유 도전성 박막들을 형성하는 방법들에 관한 것이다.
원자층 퇴적(ALD)은 순차적이며, 자기-포화적 표면 반응들에 기초하며, 이것은 코팅되어질 구조물의 기하학에도 불구하고 양호한 컨포말리티(conformality) 및 단차 피복성(step coverage)을 제공할 수 있다. 그러나, 부분적으로 ALD가 본질적으로 열역학적으로 호의적인 반쪽 반응들에 기반하고 있기 때문에, ALD에 의한 금속성 막들의 퇴적은 도전을 받고 있다.
내화 금속 도전성 층들은 마이크로 및 나노 전자제품들에서 기본적인 구성요소들이다. 산화 저항성 금속 박막들은 여러 가지 맥락에서 바람직하다. 예를 들어, 티타늄 질화물 층들은 반도체 제조 산업에서, 예를 들어 게이트 전극 재료 또는 구리 확산 장벽으로서 흔히 사용된다. 그러나, 티타늄 질화물은 공기에 저장될 경우 수십 나노미터의 깊이에 이르기까지 입자 경계들을 통하여 예상되는 바와 같이 표면으로부터 산화되는 것으로 알려졌다.
본 발명이 해결하려는 과제는 불소-함유 도전성 박막들을 형성하는 개선된 방법과 개선된 박막을 제공하는 데 있다.
하나의 태양에서, 원자층 퇴적(ALD) 공정들이 도전성 불소-함유 박막들을 퇴적하기 위해 제공된다. 일부 실시예들에서, 상기 ALD 공정들은 복수의 슈퍼-사이클들을 포함하며, 여기서 적어도 하나의 슈퍼-사이클은 두 개의 서브-사이클들을 포함한다: 금속 불화물 서브-사이클 및 제2 서브-사이클. 일부 실시예들에서, 상기 금속 불화물 서브-사이클은 상기 기판을 금속 불화물과 접촉시키는 단계를 포함하며, 상기 제2 서브-사이클은 상기 기판을 실란 또는 보란, 및 질소 반응물과 교대로 그리고 순차적으로 접촉시키는 단계를 포함한다. 일부 실시예들에서, 상기 제2 서브-사이클은 환원성(reducing) 서브-사이클로서 지칭되며, 상기 기판은 환원제 및 질소 반응물과 접촉된다.
일부 실시예들에 따라서, 상기 금속 불화물은 Ti, Ta, Nb, Mo 및 W으로부터 선택된 금속을 포함한다. 일부 실시에들에서, 상기 금속 불화물은 TiF4를 포함한다. 일부 실시예들에서, 상기 환원제는 실란 또는 보란을 포함한다. 일부 실시예들에서, 상기 환원제는 디실란 또는 트리실란을 포함한다. 일부 실시예들에서, 상기 질소 반응물은 암모니아, N2H4, 질소 원자들, 질소함유 플라즈마 및 질소 라디칼들로 구성된 그룹으로부터 선택된다. 일부 실시예들에서, 상기 금속 불화물은 TiF4이며, 상기 환원제는 Si3H8이다. 일부 실시예들에서, 상기 금속 불화물 서브-사이클 및 상기 환원성 서브-사이클은 상기 복수의 슈퍼-사이클들 중의 적어도 하나에서 적어도 약 0.1의 비율에서 수행된다. 일부 실시예들에서, 상기 불소 함유 박막은 TiF3를 포함한다.
불소-함유 박막을 형성하기 위한 공정의 일부 실시예들에 따라, 상기 불소 함유 박막은 약 0.4 내지 약 2.3 원자%의 실리콘을 포함한다. 일부 실시예들에서, 상기 불소 함유 박막은 약 5 내지 약 40 원자%의 질소를 포함한다. 일부 실시예들에서, 상기 불소 함유 박막은 도전성이다. 일부 실시예들에서, 상기 불소-함유 박막은 약 106 μΩ㎝ 보다 작은 층 저항률을 갖는다. 일부 실시예들에서, 상기 불소 함유 박막은 약 300℃ 보다 낮은 주변 공기에 의해 산화되지 않는다.
일부 실시예들에 따르면, TiF3를 포함하는 도전성, 불화물 박막이 여기에서 개시된다. 일부 실시예들에서, 상기 박막은 약 5 내지 약 40 원자%의 질소를 포함한다. 일부 실시예들에서, 상기 박막은 약 0.4 내지 약 2.3 원자%의 실리콘을 포함한다. 일부 실시예들에서, 상기 박막은 약 100 nm 보다 작은 두께를 갖는다. 일부 실시예들에서, 상기 박막은 약 10 nm 보다 작은 두께를 갖는다.
일부 실시예들에 따르면, 기판 상에 불소-함유 박막을 퇴적하기 위한 원자층 퇴적(ALD) 공정이 개시되며, 상기 공정은 복수의 슈퍼-사이클들을 포함하며, 각 슈퍼-사이클은 금속 불화물 서브-사이클 및 제2 서브-사이클을 포함한다. 일부 실시예들에서, 상기 금속 불화물 서브-사이클은 상기 기판을 금속 불화물과 접촉시키는 단계를 포함한다. 일부 실시예들에서, 상기 제2 서브-사이클은 상기 기판을 질소 반응물과 접촉시키는 단계를 포함한다. 일부 실시예들에서, 실란 화합물 및 보란 화합물 중의 적어도 하나가 상기 금속 불화물 서브-사이클 및 상기 제2 서브-사이클 중의 적어도 하나에 분리되어 제공된다.
ALD 공정의 일부 실시예들에서, 상기 실란 화합물 및 보란 화합물 중의 적어도 하나는 상기 금속 불화물 서브-사이클에 제공된다. 일부 실시예들에서, 상기 실란 화합물 및 보란 화합물 중의 적어도 하나는 상기 제2 서브-사이클에 제공된다. 일부 실시예들에서, 상기 공정에 의해 얻어진 상기 불소-함유 박막은 약 100 nm 보다 작은 두께를 갖는다. 일부 실시예들에서, 상기 실란 화합물, 보란 화합물, 및 질소 반응물 중의 적어도 하나는 상기 금속 불화물 중의 상기 금속의 적어도 일부를 환원시킨다. 일부 실시예들에서, 상기 공정에 의해 얻어진 상기 불소-함유 박막은 TiF3를 포함한다. 일부 실시예들에서, 상기 공정에 의해 얻어진 상기 불소-함유 박막은 약 106 μΩ㎝ 보다 작은 층 저항률을 나타낸다. 일부 실시예들에서, 상기 공정에 의해 얻어진 상기 불소-함유 박막은 약 300℃ 아래의 온도에서 실질적으로 산화 없음을 나타낸다.
본 발명은 상세한 설명 및 첨부된 도면들로부터 더욱 잘 이해될 것이며, 이것들은 본 발명을 설명하기 위한 의미를 가지며 제한하기 위한 것은 아니다.
도 1은 하나의 실시예에 따를 TiF3/TiN 막을 퇴적하기 위한 ALD 공정을 설명하기 위한 흐름도이다.
도 2는 본 개시의 하나의 실시예에 따라 형성된 막의 XRD 패턴을 보여준다.
도 3은 본 개시의 하나의 실시예에 따라 형성된 막의 산화 거동의 한 분석이다.
도 4는 본 개시의 하나의 실시예에 따라 형성된 막의 산화 거동의 부가적 분석이다.
도 5a 내지 5c는 TiN(도 5a), SiO2(도 5b) 및 HfO2(도 5c) 표면들 상에 퇴적된 순수 ALD-W 막들의 100 사이클들의 XRD 패턴들을 보여준다.
도 6a 및 6b는 상이한 TiN/W 사이클 비율들을 사용하여 퇴적된 TixWyNz 막들의 XRD 패턴들을 보여준다.
도 7은 순수 W 및 TiN 뿐만 아니라 TiN 대 W 퇴적 사이클들의 다양한 비들을 가지며 퇴적된 WxNy 및 TixWyNz 층들의 모폴로지의 비교를 보여준다.
도 8은 3D 트렌치 구조에서 퇴적된 W0.9N0.1(TiN/W 사이클 비율=1) 막의 SEM 이미지를 보여준다. 입자 크기는 SEM으로 검출되기에 너무 작았다. 상기 막의 컨포말리티와 스텝 커버리지는 우수한 것으로 나타났다.
도 9a 및 9b는 질소 분위기에서 Ti0.26W0.33N0.41(20:1 TiN/W 사이클 비율) 막의 가열된 스테이지 XRD 패턴들을 보여준다. 875℃에 이르기까지 가열되면서 거칠어진 입자의 징후들이 보이지 않는다. 도 9b는 유사한 두께를 갖는 순수 TiN 막과 상기 막의 비교를 보여준다.
여기서 논의된 바와 같이, 불소-함유 도전성 박막들은 기상 퇴적 공정들, 예를 들어 원자층 퇴적(ALD)에 의해 퇴적될 수 있다. 이러한 막들은 바람직하게는 산화 저항성일 수 있다. 티타늄 불화물(TiF3)은 예를 들어, 촉매로서 여러 가지의 맥락들에서 사용될 수 있는 안정된, 고체 불화물이지만, 기상 퇴적 공정들에 의한 티타늄 불화물 막들의 퇴적은 이전에는 달성되지 않았다.
일부 금속 박막들에서 불소의 존재는 산화 저항성을 증진시킨다. 티타늄 질화물과 같은 금속 질화물들은 일반적으로 반도체 산업, 예를 들어 장벽(barrier) 막들로서 사용된다. 그러나, 위에서 논의된 바와 같이, 티타늄 질화물 막들은 원하지 않는 산화에 당면할 수 있다. 본 출원은 티타늄 불화물을 포함하는 도전성 박막과 같은 금속 불화물을 포함하는 도전성 박막이 ALD에 의해 퇴적될 수 있다는 예측하지 못한 발견에 부분적으로 기반한다. 일부 실시예들에서, 티타늄 불화물-함유 막은 공지된 기상 퇴적 공정, 예를 들어 ALD에 의해 퇴적된 TiN 막과 같은 TiN 막보다 더 큰 산화 저항성을 갖는다.
상기 도전성 불소-함유 막들은 여러 가지 맥락에서 사용될 수 있다. 예를 들어, TiF3를 포함하는 도전성 박막과 같은, 도전성 불화물 막 또는 금속 불화물을 포함하는 도전성 막은 TiN 층 또는 다른 금속성 막 위에서 산소 장벽 막으로써 사용될 수 있다. 일부 실시예들에서, 본 개시물에 따라 형성된 도전성 불소-함유 막들은 애싱(ashing) 또는 다른 산화성 조건들에 대한 장벽 막으로써 유용할 것이다. 일부 실시예들에서, 본 개시물에 따라 형성된 도전성 불소-함유 막들은 주변 공기 및/또는 물 또는 습기와 같은 산소를 포함하는 주변 환경들에 대한 보호층으로써 사용될 수 있다. 일부 실시예들에서, 본 개시물의 상기 도전성 불소-함유 막들은 예를 들어, 양호한 산화 저항성이 요구되는 패터닝 층들 또는 다른 적용들에서 희생층들로써 유용하다. 일부 실시예들에서, 도전성 불화물 박막은 수직적 및 수평적 표면들 위로 컨포말하게(conformally) 퇴적된다. 일부 실시예들에서, 금속 불화물을 포함하는 도전성 막은 게이트 스택 상에서, 예를 들어 HfO2와 같은 하이-k 층의 상부 상에서 그리고 실제의 게이트 전극층 또는 도전성 게이트 유전체 장벽층 아래에서 p-형 캡핑층으로써 사용될 수 있다. 일부 실시예들에서, 금속 불화물을 포함하는 도전성 막이 p-형 캡핑층으로써 사용될 때, 상기 스택에서 상기 전극의 유효 일함수는 약 4.9 eV 위이며, 바람직하게는 약 5.0 내지 약 5.2 eV 사이이다.
일부 실시예들에서, 상기 도전성 불소-함유 막은 다음 물질들 중의 하나 이상을 포함하지 않는다: MgF2, CaF2, ZnF2, SrF2, YF3, 또는 LaF3. 일부 실시예들에서, 상기 도전성 불소-함유 막은 다음 물질들 중의 하나 이상을 포함하지 않는다: AlF3 또는 LiF. 일부 실시예들에서, 상기 도전성 불소-함유 막은 다음 물질들 중의 하나 이상을 포함하지 않는다: KF와 같은 알칼리 금속 불화물들(원소들의 주기율표에서 1족) 또는 MgF2 또는 CaF2와 같은 알칼리 토금속(원소들의 주기율표에서 2족) 불화물들. 일부 실시예들에서, 상기 도전성 불소-함유 막은 다음 물질들 중의 하나 이상을 포함하지 않는다: YF3 또는 LaF3와 같은 3족 금속 불화물들. 일부 실시예들에서, 상기 도전성 불소-함유 막은 다음 금속들 중의 하나 이상의 약 20 원자%보다 많지 않게, 바람직하게는 약 10 원자%보다 많지 않게, 보다 바람직하게는 약 5 원자%보다 많지 않게, 가장 바람직하게는 약 1 원자%보다 많지 않게 포함한다: 알칼리 금속들, 알칼리 토금속들, 및 3족 금속들. 일부 실시예들에서, 상기 도전성 불소-함유 막은 다음 금속들 중의 하나 이상의 약 20 원자%보다 많지 않게, 바람직하게는 약 10 원자%보다 많지 않게, 보다 바람직하게는 약 5 원자%보다 많지 않게, 가장 바람직하게는 약 1 원자%보다 많지 않게 포함한다: Mg, Ca, Zn, Sr, Y, 또는 La. 일부 실시예들에서, 상기 도전성 불소-함유 막은 다음 금속들 중의 하나 이상 외의 다른 금속들의 약 20 원자%보다 많지 않게, 바람직하게는 약 10 원자%보다 많지 않게, 보다 바람직하게는 약 5 원자%보다 많지 않게, 가장 바람직하게는 약 1 원자%보다 많지 않게 포함한다: Ti, Zr, Hf, V, Nb, Ta, Cr, Mo 또는 W, 그리고 바람직하게는 Ti, Nb, Ta, Mo, 및 W.
일부 실시예들에서, ALD 공정들은 기판 상에 금속 불화물을 포함하는 도전성 막을 퇴적하기 위해 제공된다. 일부 실시예들에서, 상기 공정들은 제1 서브-사이클을 포함할 수 있으며, 여기서 상기 기판은 TiF4와 같은 기상(vapor phase) 금속 불화물에 노출되며 그리고 금속 불화물의 단층이 상기 기판 표면 상에 흡착된다. 제2 서브-사이클에서, 기상 실란(silane) 또는 보란(borane) 화합물, 또는 다른 "환원제(reducing agent)", 그리고 기상 질소 반응물이 교대로 그리고 순차적으로 제공된다. 상기 환원제 및 질소 반응물은 금속 불화물을 포함하는 도전성 막을 형성하기 위해 상기 기판 표면 상에서 상기 금속 불화물과 반응한다. 일부 실시예들에서, 제1 서브-사이클은 TiF4와 같은 기상 금속 불화물과, 실란 또는 보란과 같은 환원제를 모두 포함할 수 있다. 일부 실시예들에서, 제2 사이클은 실란 또는 보란을 포함하지 않는다. 따라서, 일부 실시예들에서, 제1 사이클은 기상 금속 불화물과, 실란 또는 보란을 포함하며, 제2 사이클은 기상 질소 반응물을 포함한다. 비록 상기 용어 "환원제"가 사용된다 하더라도 일부 실시예들에서, 화학적 환원은 요구되지 않는다. 따라서, 일부 실시예들에서, 상기 용어 "환원제"는 단순히 실란 화합물 또는 보란 화합물을 나타낸다. 그러나, 어떠한 이론에 속박됨이 없이, 일부 실시예들에서, 여기에서 기술된 환원제는 상기 표면 상에서 금속 종들의 산화성 상태를 환원시킬 수도 있다고 믿어진다.
일부 실시예들에서, 상기 금속은 예를 들어, Ti, Ta, Nb, Mo, 및 W로부터 선택될 수 있다. 상기 환원제는, 예를 들어 실란 또는 보란 화합물일 수 있다. 상기 질소 반응물은 예를 들어, NH3일 수 있다. 질소 반응물이 사용되는 일부 실시예들에서, 상기 질소 반응물은 상기 기판 표면 상에서 금속 종들의 산화성 상태에 대하여 적어도 일부의 환원 효과를 나타낼 수 있다.
상기 제1 및 제2 서브-사이클들은 함께 ALD 슈퍼-사이클(super-cycle)을 만든다. 각 슈퍼-사이클에서, 상기 제1 서브-사이클 및 상기 제2 서브-사이클은 일회 이상 독립적으로 반복될 수 있다. 나아가, 상기 슈퍼-사이클은 금속 불화물을 포함하는 도전성 막을 원하는 두께로 퇴적하기 위해 일회 이상 반복될 수 있다. 상기 제1 및 제2 서브-사이클들은 임의의 순서로 수행될 수 있다. 예를 들어, 일부 실시예들에서는 상기 제2 서브-사클이 첫째로 수행될 수 있다. 더구나, 각 서브-사이클에서 상기 반응물들의 순서는 변경될 수 있다. 예를 들어, 일부 실시예들에서, 첫번째 또는 두번째 수행될 수 있는 상기 환원하는 서브-사이클에서, 상기 질소 반응물은 상기 실란 또는 보란 화합물 또는 그 반대 전에 펄스된다.
일회 이상의 슈퍼-사이클 내에서 상기 제1 서브-사이클 대 상기 제2 서브-사이클의 비는 원하는 조성 및/또는 원하는 성질들을 갖는 막을 퇴적하기 위해 변화될 수 있다. 일부 실시예들에서, 상기 제1 서브-사이클 대 상기 제2 서브-사이클의 비는 상기 ALD 공정에서 각 서브-사이클에서 동일하다. 일부 실시예들에서, 상기 제1 서브-사이클 대 상기 제2 서브-사이클의 비는 상기 퇴적 공정 동안에 일회 이상의 슈퍼-사이클들에서 변화될 수 있다.
일부 실시예들에서, 상기 환원성 화합물로부터의 일부 실리콘 또는 보론, 및/또는 상기 질소 반응물로부터의 일부 질소를 포함하는, 금속 불화물을 포함하는 도전성 박막이 형성된다. 예를 들어, 일부 실시예들에서, 일부 실리콘 및 일부 질소를 포함하는 TiF3를 포함하는 도전성 박막이 퇴적된다.
여기에서 제공된 모든 원자 퍼센테이지(즉, 원자%)는 단순화를 위해 수소를 배제하며, 수소가 양적으로 정확하게 분석하는 것이 어렵기 때문이다.
일부 실시예들에서, 실란은 환원제로서 사용되며, 금속 불화물을 포함하는 상기 도전성 막은 또한 적은 양의 실리콘을 포함한다. 예를 들어, 일부 실시예들에서, 상기 실리콘 함량은 약 15 원자%보다 적을 수 있다. 일부 실시예들에서, 상기 실리콘 함량은 약 0.01 내지 약 10 원자%, 약 0.1 내지 약 5 원자%, 또는 약 0.1 내지 약 2 원자%일 수 있다. 일부 실시예들에서, 금속 불화물을 포함하는 도전성 막 내의 상기 실리콘 함량은 바람직하게는 약 1.5 원자%보다 적다.
일부 실시예들에서, 보란은 환원제로서 사용되며, 금속 불화물을 포함하는 상기 도전성 막은 또한 적은 양의 보론을 포함한다. 예를 들어, 일부 실시예들에서, 상기 보론 함량은 약 15 원자%보다 적을 수 있다. 일부 실시예들에서, 상기 보론 함량은 약 0.01 내지 약 10 원자%, 약 0.1 내지 약 5 원자%, 또는 약 0.1 내지 약 2 원자%일 수 있다. 일부 실시예들에서, 상기 보론 함량은 바람직하게는 약 1.5 원자% 보다 적다.
일부 실시예들에서, 상기 막들은 적은 양의 질소를 포함한다. 예를 들어, 일부 실시예들에서, 상기 질소 함량은 약 0.5 내지 약 50 원자%, 약 1 내지 약 20 원자%, 또는 약 2 내지 약 15 원자%의 범위일 수 있다.
일부 실시예들에서, 상기 막들은 약 10 원자%, 약 20 내지 약 75 원자%, 약 40 내지 약 70 원자%, 또는 약 45 내지 약 65 원자% 보다 많은 양의 불소를 포함한다.
일부 실시예들에서, 상기 막들은 약 0.25 내지 약 5, 약 0.5 내지 약 3, 또는 약 1 내지 약 2.5의 불소 대 티타늄 비(F/Ti(원자%/원자%)를 갖는다.
일부 실시예들에서, 상기 막들은 상기 막들이 산화 저항성이라는 사실에도 불구하고 적은 양의 산소를 포함할 수 있다. 예를 들어, 일부 실시예들에서, 상기 산소 함량은 약 2.5 원자% 보다 적은, 약 1.5 원자% 보다 적은, 약 1.0 원자% 보다 적은, 또는 심지어 약 0.5 원자% 보다 적을 수 있다.
일부 실시예들에서, 금속 불화물을 포함하며, 여기에서 기술된 바와 같은 ALD 공정에 의해 퇴적된 도전성 막은 예를 들어 ALD와 같은 공지의 기상 퇴적 공정에 의해 퇴적된 대응하는 금속 질화물 막보다 높은 산화 저항성을 갖는다.
일부 실시예들에서, 상기 금속 불화물 막들은 양호한 평활도(smoothness)를 가지며, 이것은 하지막(underlying film), 예를 들어 TiF3를 포함하는 층 아래의 TiN 막의 산화를 감소 또는 방지할 수 있다. 일부 실시예들에서, 금속 불화물을 포함하는 막의 산소 확산 또는 산화는 하지에 있는 TiN층과 같은 하지 막에서처럼 깊게 진행되지 않는다.
일부 실시예들에서, TiF3를 포함하는 도전성 박막은 기판 표면 상에 자기-제한적 방식으로 TiF4를 흡착하기 위한 제1 서브-사이클 및 상기 TiF4를 TiF3로 환원하기 위한 제2 서브-사이클을 포함하는 ALD 공정에 의해 퇴적된다. 예를 들어, TiF4는 TiF4의 단층까지 기판 표면 상에 형성되도록 제1 서브-사이클에서 제공될 수 있다. 상기 제1 서브-사이클은 2회 이상 반복될 수 있다. 일부 실시예들에서, 퍼지 단계가 각각의 제1 서브-사이클들 사이에 포함된다. 상기 제2 서브-사이클에서, 상기 기판은 실란 또는 보란 화합물과 같은 환원제, 및 암모니아와 같은 질소 반응물에 교대로 그리고 순차적으로 노출된다. 상기 제2 서브-사이클은 상기 기판 표면 상의 상기 TiF4의 적어도 일부를 TiF3로 환원시키는 역할을 한다. 일부 실시예들에서, 형성된 상기 막들은 상대적으로 적은 양의 실리콘 또는 보론, 및 질소를 갖는 TiF3를 포함한다. 일부 실시예들에서, 형성된 상기 막들은 TiF3 및 일부 질소의 혼합물을 포함한다. 일부 실시예들엣, 상기 막은 TiF3 및 TiN의 혼합물이다.
상기 제1 및 제2 서브-사이클들의 각각은 슈퍼-사이클에서 1회 이상 반복될 수 있다. 상기 슈퍼-사이클은 원하는 두께의 막이 달성될 때까지 반복된다. 한번 이상의 슈퍼-사이클들에서 상기 두 서브-사이클들의 비를 조절함으로써, 상기 TiF3의 양은 원하지 않는 양의 실리콘 또는 질소를 유입시키지 않고 증가될 수 있다. 특히, 일부 실시예들에서, 상기 제1 서브-사이클에 대하여, 상기 기판이 상기 환원제 및 상기 질소 반응물과 교대로 그리고 순차적으로 접촉되는 제2 서브-사이클들의 수를 증가시키는 것은 TiF3로 변환되는 TiF4의 양을 증가시킨다.
일부 실시예들에서, 상기 환원하는 (제2) 서브-사이클은 실리콘 화합물을 활용할 수 있지만, 그러나 다른 화합물들이 사용될 수도 있다. 일부 실시예들에서, 상기 실리콘 화합물은 예를 들어 SiH4, Si2H6, 또는 Si3H8과 같은 실란 화합물이다. 일부 실시예들에서, 보론 화합물은 적어도 하나의 환원하는 서브-사이클에서 사용될 수 있다. 예를 들어, 일부 실시예들에서, 상기 환원제는 예를 들어 BH3, B2H6 또는 트리보란 중의 하나 이상과 같은 보란 화합물일 수 있다. 다른 환원제들이 또한 사용될 수 있다고 인식될 수 있을 것이다. 일부 실시예들에서, 동일한 환원제가 각 서브-사이클에서 사용되며, 반면에 다른 실시예들에서는 다른 환원제가 하나 이상의 서브-사이클들에서 활용될 수도 있다.
일부 실시예들에서, 상기 질소 반응물은 NH3, 질소 원자들, 질소 라디칼들, 질소 플라즈마, 예를 들어 플라즈마 또는 다른 적합한 질소-함유 화합물들에 의해 발생할 수 있는 질소를 포함하는 다른 여기된(excited) 종들 중의 하나 이상을 포함할 수 있다.
일부 실시예들에서, TiF3를 포함하는 도전성 박막은 ALD에 의해 퇴적된 TiN 막과 같은, 상기 막 내에 불소를 결합시키지 않는 공지의 기상 퇴적 공정들에 의해 퇴적된 TiN 막보다 큰 산화 저항성을 가지며, 퇴적된다.
일부 실시예들에서, 적어도 일부의 질소를 포함하는 금속 불화물 박막과 같은, 불소를 포함하는 도전성 박막은 평활하며, 원주형의(columnar) 입자 구조를 갖지 않게 퇴적된다. 일부 실시예들에서, 상기 막은 예를 들어, 정상적인 ALD TiN 막들에 비하여 많은 또는 너무 많은 상당한 입자 경계들을 가지지 않는 입자 구조 또는 모폴로지를 가지며, 이것은 또한 상기 막의 산화를 억제하려는 경향이 있다. 일부 실시예들에서, 불화물을 포함하는 상기 도전성 박막은 ALD에 의해 퇴적된 TiN 막보다 적은 입자 경계들을 갖는다.
일부 실시예들에서, 약 500 nm 이하의 두께를 갖는, TiF3를 포함하는 도전성 박막이 퇴적된다. 일부 실시예들에서, 상기 박막은 약 100 nm 미만, 약 50 nm 미만, 또는 약 10 nm 미만의 두께를 갖는다. 일부 실시예들에서, 상기 두께는 상기 막이 사용되어질 적용대상에 의존하여 선택될 수 있다. 예를 들어, 상기 막이 p-형 캡핑층으로써, 또는 산화 방지층으로써 역할을 하는 경우에는 상기 막의 두께는 위에서 기술된 것보다 훨씬 작은, 예를 들어 약 2 내지 약 50Å, 약 3 내지 약 30Å, 그리고 일부 경우들에서는 약 5 내지 약 20Å일 수 있다.
일부 실시예들에서, 적어도 일부의 질소를 포함하는 금속 불화물 박막과 같은, 불소를 포함하는 상기 도전성 박막은 나노적층물(nanolaminate)은 아니며, 금속 불화물 및 금속 질화물의 분리된 층들은 보이지 않는다. 일부 실시예들에서, 약 60 미만 또는 약 40 미만의 연속적인 금속 불화물 퇴적 서브-사이클들(MF)이 하나의 슈퍼-사이클에서 수행된다. 일부 실시예들에서, 약 10 미만 또는 약 5 미만의 연속적인 환원성 서브-사이클들이 하나의 슈퍼-사이클에서 수행된다.
예를 들어, 일부 실시예들에서 TiF3를 포함하는 도전성 박막은 나노적층물 막 또는 티타늄 불화물 및 티타늄 질화물의 구별되며 분리된 층들이 관찰될 수 있는 막이 아니다.
TiF3를 포함하는 도전성 박막들을 형성하는 맥락에서 주로 설명되었지만, 다른 금속 불화물 막들 또는 적어도 일부의 불소를 함유하는 막들이, 금속 불화물 반응물이 활용되는 적어도 하나의 서브-사이클을 포함하는 ALD 슈퍼-사이클을 사용하여 퇴적될 수 있다. 예를 들어, 일부 실시예들에서, 두 개의 다른 금속들 및 불소를 포함하는 금속 질화물 막이, 기판이 제1 금속 반응물 및 제1 질소 반응물과 교대로 그리고 순차적으로 접촉되는 제1 서브-사이클, 및 상기 기판이 금속 불화물 및 실란 또는 보란과 같은 환원제와 접촉되는 제2 서브-사이클을 포함하는 ALD 공정에 의해 퇴적될 수 있다. 예를 들어, 예시적인 공정들이 미국 출원번호 제13/802,157호에 기술되어 있으며, 이것은 본 명세서에 그의 전체로서 통합된다.
일부 실시예들에서, M1 xM2 yNz을 퇴적하기 위한 방법들이 제공되며, 여기서 M1 및 M2는 다른 금속들이며, 예를 들어 Ti, Ta, Nb, Mo 및 W으로부터 선택될 수 있다. 일부 실시예들에서, 상기 방법들은 기판을 금속 할로겐화물과 같은 금속 전구체, 및 NH3와 같은 질소 반응물과 교대로 그리고 순차적으로 접촉시킴으로써 제1 금속 질화물이 퇴적되는 제1 ALD 서브-사이클, 및 상기 기판을 제2의 상이한 금속 불화물 반응물 및 Si2H6과 같은 제2 반응물과 교대로 그리고 순차적으로 접촉시킴으로써 원소 금속이 퇴적되는 제2 ALD 서브-사이클을 포함한다. 상기 두 서브-사이클들은 함께 특별한 적용대상을 위해 적합한 두께의 막을 달성하기 위해 원하는 바와 같이 여러 횟수만큼 반복될 수 있는 슈퍼-사이클을 형성한다. 각 슈퍼-사이클 내에서, 금속 질화물 대 금속 서브-사이클들의 비는 원하는 막 조성 및 성질들을 달성하기 위해 조절될 수 있다. 일부 실시예들에서, M1 xM2 yNz막의 표면은 약 0.5 원자% 내지 약 10 원자%의 불소를 포함한다. 일부 실시예들에서, 전체 M1 xM2 yNz막은 약 0.1 원자% 내지 약 5 원자%, 바람직하게는 약 0.3 원자% 내지 약 4 원자%의 불소를 포함한다.
일부 실시예들에서, TixWyNz 막을 형성하는 방법은, 기판을 TiCl4와 같은 티타늄 전구체와 NH3와 같은 질소 반응물과 교대로 그리고 순차적으로 접촉시킴으로써 티타늄 질화물이 퇴적되는 제1 ALD 서브-사이클 및 상기 기판을 WF6과 같은 텅스텐 불화물 반응물과 Si2H6과 같은 제2 환원성 반응물과 교대로 그리고 순차적으로 접촉시킴으로써 텅스텐이 퇴적되는 제2 ALD 서브-사이클을 포함한다. 상기 질소 반응물 및 환원성 반응물은 여기에서 다른 곳에서 기술된 바와 같을 수 있다. 상기 두 서브-사이클들은 함께 슈퍼-사이클을 형성하며, 이것은 특별한 적용대상을 위한 적절한 두께의 불소를 포함하는 박막을 달성하기 위해 원하는 바에 따라 여러 횟수 반복될 수 있다. 각각의 슈퍼-사이클 내에서, TiN 대 W 서브-사이클들의 비는 원하는 막 조성 및 성질을 달성하기 위해 조절될 수 있다.
여기에서 기술된 상기 ALD 공정들은 티타늄 불화물을 포함하는 막들과 같은, MF 막들로서 지칭될 수 있는, 금속 불화물을 포함하는 막들을 퇴적하기 위해 사용될 수 있다. 화학량론, 그리고 따라서 M 및 F의 상대적 양들은 변화될 수 있다. 예를 들어, 티타늄 불화물을 포함하는 막에서 Ti 및 F의 상대적 양들은 변화될 수 있다. 나아가, 전술한 바와 같이, 일부 실시예들에서 상기 막들은 두 상이한 금속들을 포함할 수 있다. 상기 막에서 각 원소의 양은 예를 들어, 상기 퇴적 공정들에서 상기 서브-사이클들의 비를 제어함으로써 제어될 수 있다.
예를 들어, 일부 실시예들에서, TiF3를 포함하는 도전성 막들을 형성하기 위해, 상기 티타늄 불화물 서브-사이클들에 대하여 환원성 서브-사이클들의 수를 증가시키는 것은, 상기 막에서 TiF3의 양을 증가시키는 반면에 상기 막에서 TiF4의 양을 감소시킬 수도 있다. 일부 실시예들에서, 상기 티타늄 불화물 대 환원성 서브-사이클 비는 약 1 이하이며, 그리고 약 10 원자%보다 적은 질소 함량을 갖는 TiF3 막들이 생성될 수 있다. 상기 티타늄 불화물 대 환원성 서브-사이클 비가 증가함에 따라서, 상기 막에서 일반적으로 불화물의 양은 증가하며, 그리고 상대적인 TiF3 함량은 증가하며, 그리고 질소 함량은 또한 감소될 수 있다. 어떠한 이론에 속박됨이 없이, 일부 환경들에서 고용체(solid solution)가 형성될 수 있다고 믿어진다. 일부 실시예들에서, 이것은 고용체 강화(solid solution strengthening)라 불리는 현상으로 유도될 수 있다.
원자층 퇴적(ALD)
ALD 타입 공정들은 전구체 케미컬들의 제어된, 자기-제한적 표면 반응들에 기초한다. 가스상(gas phase) 반응들은 상기 전구체들을 상기 반응 챔버 속으로 교대로 그리고 순차적으로 공급함으로써 방지된다. 기상 반응물들은 예를 들어, 반응물 펄스들 사이에서 상기 반응 챔버로부터 과잉의 반응물들 및/또는 반응 부산물들을 제거함으로써, 상기 반응 챔버에서 서로로부터 분리된다.
간단히, 기판이 반응 챔버 속으로 로딩되고, 일반적으로 하강된 압력에서 적합한 퇴적 온도로 가열된다. 일부 실시예들에서, 상기 기판은 300 mm 실리콘 웨이퍼를 포함한다. 일부 실시예들에서, 상기 기판은 450 mm 웨이퍼를 포함한다. 퇴적온도들은 상기 전구체 열 분해 온도 아래로, 그러나 반응물들의 응결을 방지하고 그리고 원하는 표면 반응들을 위한 활성 에너지를 제공하기 위해 충분히 높은 수준으로 유지된다. 물론, 어떠한 주어진 ALD 반응을 위해 적합한 온도 윈도우(window)는 표면 터미네이션(surface termination) 및 관련된 반응물 종에 의존한다.
제1 반응물은 기상 펄스의 형태로 상기 챔버 속으로 유도되거나 펄스되며, 그리고 상기 기판의 표면과 접촉된다. 조건들은 바람직하게는 상기 전구체의 약 하나보다 많지 않은 단층이 자기-제한적 방식으로 상기 기판의 표면 상에 흡착되도록 선택된다. 만약 있다면, 과잉의 제1 반응물 및 반응 부산물들은 흔히 질소 또는 아르곤과 같은 불활성 가스의 펄스와 함께 상기 반응 챔버로부터 퍼지된다.
상기 반응 챔버를 퍼지하는 것은, 기상 전구체들 및/또는 기상 부산물들이 예를 들어, 진공 펌프로 상기 챔버를 배기함으로써, 및/또는 아르곤 또는 질소와 같은 불활성 가스로 상기 반응기 내측에서 상기 가스를 대체함으로써 상기 반응 챔버로부터 제거되는 것을 의미한다. 전형적인 퍼지 시간은 약 0.05 내지 20초, 보다 바람직하게는 약 1과 10 사이, 더욱 바람직하게는 약 1과 2초 사이이다. 그러나, 예를 들어 극도로 큰 종횡비 구조물들, 또는 복잡한 표면 모폴로지를 갖는 다른 구조물들 위로 퇴적하는 층들이 요구될 경우와 같이, 필요하다면 다른 퍼지 시간들이 활용될 수 있다. 적합한 펄스 시간들은 특별한 환경들에 기초하여 당업자에 의해 용이하게 결정될 수 있다.
제2 가스 반응물이 상기 챔버 속으로 펄스되며, 여기서 이것은 상기 표면과 결합된 상기 제1 반응물과 반응한다. 과잉의 제2 반응물 및 상기 표면 반응의 가스 부산물들은 바람직하게는 불활성 가스의 지원과 함께 상기 반응 챔버 밖으로 퍼지된다. 펄스 및 퍼지의 단계들은, 각 사이클이 하나보다 많지 않은 분자의 단층을 남기면서, 원하는 두께의 박막이 상기 기판 상에 형성될 때까지 반복된다. TiF3와 같은 금속 불화물 막들을 형성함에 있어서, 두 서브-사이클들이 각 ALD 슈퍼-사이클에서 일회 이상 반복된다.
부가적인 반응물들이 상기 퇴적 공정에서 도움이 되도록 공급될 수도 있다. 이러한 반응물들은 그들 자신의 펄스들로 또는 전구체 펄스들을 따라 어느 하나로 제공될 수 있으며, 예를 들어 원하는 표면 터미네이션을 제공하기 위해, 또는 부착된 리간드들을 벗겨내거나(strip) 또는 제거(getter)하기 위해, 및/또는 부산물을 없애기(free) 위해 사용될 수 있다. 일부 실시예들에서, 상기 부가적인 반응물들은 상기 성장하는 막에 어떠한 종들을 부여하지 않는다.
상기 공정들에서 채용된 상기 전구체들은, 그들이 상기 반응 챔버 속으로 안내되고 그리고 상기 기판 표면과 접촉하기 전에 기상(vapor phase)이라면, 표준 조건들(상온 및 대기압) 하에서 고체, 액체 또는 기체 물질일 수 있다.
전술한 바와 같이, 각 사이클 또는 서브-사이클의 각 펄스 또는 단계(phase)는 바람직하게는 자기-제한적이다. 과잉의 반응물 전구체들이 민감한(susceptible) 구조물 표면들을 포화시키기 위해 각 단계에서 공급된다. 표면 포화는 모든 유용한 반응성 사이트들(예를 들어, 물리적 크기 또는 "입체 장애(steric hindrance)"에 부속되는)의 반응물 점령을 보증하며, 따라서 우수한 스텝 커버리지를 제공한다. 일부 실시예들에서, 자기-제한적 행위의 정도는 예를 들어, 컨포말리티(conformality)에 대하여 퇴적 속도를 트레이드 오프하기 위해 반응물 펄스들의 일부 중첩을 허용함으로써(일부 CVD 타입 반응들을 허용함으로써) 조절될 수 있다. 시간 및 공간에서 잘 분리된 반응물들을 갖는 이상적인 ALD 조건들은 거의 완벽한 자기-제한적 행위, 그리고 그에 따라서 최대 컨포말리티를 제공하지만, 입체 장애는 사이클 당 하나보다 적은 분자의 층을 초래한다. 상기 자기-제한적 ALD 반응들과 혼합된 제한된 CVD 반응들은 퇴적 속도를 상승시킬 수 있다.
상기 기판 상으로 증발된 반응물을 "펄스하는 것(pulsing)"은 상기 증기가 제한된 시간 주기 동안 상기 챔버 속으로 안내된다는 것을 의미한다. 전형적으로, 펄스 시간은 약 0.05초 내지 약 10초이다. 그러나, 기판 타입 및 그것의 표면 영역에 의존하여, 상기 펄스 시간은 심지어 약 10초보다 클 수 있다.
예로써, 단일 웨이퍼 ALD 반응기에서 300 mm 웨이퍼를 위해, 상기 전구체들이 약 0.05초 내지 약 10초, 보다 바람직하게는 약 0.1초 내지 약 5초, 그리고 최고로 바람직하게는 약 0.3초 내지 약 3초 동안 전형적으로 펄스된다. 그러나, 펄스 시간은 일부 경우들에서 분 단위일 수 있다. 최적의 펄스 시간은 특별한 환경들에 기초하여 당업자에 의해 용이하게 결정될 수 있다.
상기 금속 전구체의 질량 유량율(mass flow rate)은 당업자에 의해 결정될 수 있다. 일부 실시예들에서, 예를 들어 300 mm 웨이퍼들 상에 퇴적하기 위해, 상기 반응물의 유량율은 제한 없이, 바람직하게는 약 1 sccm과 약 1000sccm 사이, 약 10sccm 내지 약 800 sccm 사이, 또는 약 50sccm 내지 약 500sccm이다.
상기 반응물들의 각각의 펄스 시간 및 질량 유량율은 독립적으로 선택될 수 있다. 일부 실시예들에서, 상기 반응물들의 둘 이상의 펄스 시간(및/또는 질량 유량율)은 동일하며, 반면에 일부 실시예들에서, 상기 펄스 시간들(또는 질량 유량율)은 상이하다.
상기 반응 챔버 내의 압력은 전형적으로 약 0.01 mbar 내지 약 20 mbar, 보다 바람직하게는 약 1 mbar 내지 약 10 mbar이다. 그러나, 일부 경우들에서, 상기 압력은 예를 들어, 특별한 반응기가 사용되고, 상기 공정 및 상기 전구체들과 같은 다중의 파라미터들에 의존하여 당업자에 의해 용이하게 결정될 수 있는 바와 같이, 이 범위보다 높거나 또는 낮을 수도 있다.
상기 박막의 퇴적을 시작하기 전에, 상기 기판이 앞에서 논의된 바와 같이, 적합한 성장 온도로 가열될 수 있다. 바람직한 퇴적 온도는, 예를 들어 제한 없이 상기 반응물 전구체들, 압력, 유량율, 상기 반응기의 배열, 그리고 그 위에 퇴적될 물질의 성질을 포함하는 상기 기판의 조성 등과 같은 다수의 팩터들에 의존하여 변화될 수 있다. 특정 성장 온도는 특별한 환경들에 기초하여 당업자에 의해 선택될 수 있다.
일부 실시예들에서, 상기 퇴적 온도는 약 100℃ 내지 약 700℃, 약 200℃ 내지 약 500℃, 약 250℃ 내지 약 400℃, 또는 약 325℃ 내지 약 375℃이다.
상기 공정 시간은, 부분적으로, 생성될 막의 두께, 상기 막의 조성, 개별적 퇴적 서브-사이클들의 성장율 및 전체 성장율에 의존한다.
사용될 수 있는 적합한 반응기들의 예들은 ASM 아메리카, 포에닉스사, 애리조나 그리고 네덜란드, 알미레의 ASM Europe B.V.로부터 구할 수 있는 예를 들어, F-120®, Pulsar® 반응기 그리고 Advance® 400 시리즈 반응기 등과 같은 상업적으로 구할 수 있는 ALD 장치를 포함한다. 이들 ALD 반응기들에 부가하여, 상기 전구체들을 펄스하기 위한 적합한 장비 및 수단을 구비한 CVD 반응기들을 포함하여, 박막들의 ALD 성장이 가능한 많은 다른 종류의 반응기들이 채용될 수 있다. 일부 실시예들에서, 플로우 타입 ALD 반응기가 사용된다.
일부 실시예들에서, 상기 반응기는 약 50보다 많은 기판들, 약 100보다 많은 기판들, 약 125보다 많은 기판들을 보유할 수 있는 뱃치(batch) 반응기이다. 일부 실시예들에서, 상기 반응기는 미니-뱃치(mini-batch) 반응기이며, 2 내지 약 20 기판들, 3 내지 약 15 기판들, 또는 4 내지 약 10 기판들을 갖는다. 일부 실시예들에서, 상기 기판은 실리콘 웨이퍼이며, 예를 들어 적어도 약 150 mm의 직경을 갖는 실리콘 웨이퍼이다. 일부 실시예들에서, 상기 기판은 적어도 약 200 mm 또는 적어도 약 300 mm의 직경을 갖는 실리콘 웨이퍼이다. 일부 실시예들에서, 상기 기판은 적어도 약 450 mm의 직경을 갖는 실리콘 웨이퍼일 수 있다.
여기에서 기술된 금속 불화물을 포함하는 도전성 막들을 퇴적하기 위한 상기 ALD 공정들은 선택적으로 클러스터 툴(cluster tool)에 연결된 반응기 또는 반응 공간에서 수행될 수 있다. 클러스터 툴에서, 각 반응 공간은 하나의 공정 타입에 전념되기 때문에, 각 모듈에서 상기 반응 공간의 온도는 일정하게 유지될 수 있으며, 이것은 상기 기판이 각 런(run) 전에 공정 온도까지 가열되는 반응기에 비하여 생산량을 향상시킨다.
독립형의(stand-alone) 반응기는 로드락이 장착될 수 있다. 그 경우에, 각 런 사이에서 상기 반응 공간을 반드시 냉각시킬 필요는 없다.
금속 불화물을 포함하는 도전성 막들의 퇴적
앞에서 언급되고, 아래에서 상세하게 논의되는 바와 같이, 금속 불화물을 포함하는 도전성 막들은 금속 불화물 퇴적 서브-사이클 및 환원성 서브-사이클을 사용하여 퇴적될 수 있다. 일부 실시예들에서, 상기 금속은 Ti, Ta, Nb, Mo 및 W로부터 선택될 수 있다. 평활한 및/또는 나노결정성 막을 형성하기 위해 두 서브-사이클들이 슈퍼-사이클에서 원하는 비율로 반복될 수 있다. 일부 실시예들에서, 금속 불화물을 포함하는 박막들과 같은 상기 도전성 박막들은 원주형의 입자 구조를 갖지 않는다.
일부 실시예들에서, 상기 퇴적 공정은 ALD 공정이다. 일부 실시예들에서, 상기 퇴적 공정은 ALD 공정과 동일한 전구체 및 조건들의 선택들을 활용하는 순차적인 또는 펄스된 CVD 공정과 같은, 순차적 또는 주기적 공정이다. 일부 실시예들에서, 상기 퇴적은 PECVD 공정이다. 일부 실시예들에서, 상기 퇴적 공정은 LPCVD/RTCDV 공정이다. 일부 실시예들에서, 상기 퇴적공정은 자기-제한적이지 않은 단계를 갖는다. 일부 실시예들에서, 상기 공정은 CVD 조건들에 근접하는 공정 조건 체제에서 또는 일부 경우들에서는 완전히 CVD 조건들에서 동작할 수 있다.
일부 실시예들에서, 금속 불화물을 포함하는 도전성 박막이 다수의 슈퍼-사이클들을 포함할 수 있는 공정에 의해 퇴적되며, 여기서 각 슈퍼-사이클은 적어도 하나의 MF(금속 불화물) 서브-사이클 및 적어도 하나의 환원성(reducing) 서브-사이클을 포함한다. 각 슈퍼-사이클에서 상기 MF 서브-사이클 및 환원성 서브-사이클의 비율은 원하는 조성을 달성하기 위해 변화될 수 있으며, 슈퍼-사이클들의 수는 원하는 두께의 불소-함유 막을 퇴적하기 위해 선택될 수 있다. 일부 실시예들에서, 하나의 슈퍼-사이클에서 연속적으로 수행되는 각 서브-사이클의 수는, 금속 불화물을 포함하는 도전성 막과 같은 동종의 도전성 박막이 형성되도록 제한되며, 여기서 MF 및 MN의 구별되는 층들이 예를 들어, 단면의 TEM 또는 SEM 이미지에서 가시적이지 않다.
상기 슈퍼-사이클은 아래와 같이 기재될 수 있다:
a[b(MF) + c(환원제 + 질소 화합물)], 여기서 MF는 MxFy 서브-사이클을 나타내며, b는 각 슈퍼-사이클에서 MF 서브-사이클들의 수이며: (환원제 + 질소 화합물)은 환원성 서브-사이클들을 나타내며, c는 각 슈퍼-사이클에서 환원성 서브-사이클들의 수이며: a는 슈퍼-사이클들의 수이다. 금속 불화물 대 환원성 서브-사이클들의 비율은 b:c로 주어질 수 있다.
상기 제1 및 제2 퇴적 서브-사이클들(b 및 c)은 원하는 조성 및 원하는 성질들을 갖는 박막을 퇴적하기 위하여 선택된 비율로 제공될 수 있다. 예를 들어, 일부 실시예들에서, 하나 이상의 슈퍼-사이클들에서 상기 제1, 금속 불화물 퇴적 서브-사이클 대 상기 제2 환원성 서브-사이클의 비율(b:c)는 약 0.01 내지 약 100, 약 0.05 내지 약 50 또는 약 0.1 내지 약 1일 수 있다. 일부 실시예들에서, 하나 이상의 슈퍼-사이클들에서 금속 불화물 흡착 서브-사이클들 대 환원성 서브-사이클들의 비율은 1보다 작다. 일부 실시예들에서, 하나 이상의 슈퍼-사이클들에서 금속 불화물 흡착 서브-사이클들 대 환원성 서브-사이클들의 비율은 약 1과 약 3의 사이이다. 일부 실시예들에서, 하나 이상의 슈퍼-사이클들에서 금속 불화물 흡착 서브-사이클들 대 환원성 서브-사이클들의 비율은 약 1과 약 50 사이, 약 3과 약 30 사이, 또는 약 5와 약 20 사이이다. 일부 실시예들에서, 하나 이상의 슈퍼-사이클들에서 금속 불화물 흡착 서브-사이클들 대 환원성 서브-사이클들의 비율은 약 0.5, 약 1, 약 3, 약 5, 약 10, 약 20, 약 40 또는 약 50이다.
일부 실시예들에서, 제1 금속 불화물 흡착 서브-사이클들 대 제2 환원성 서브-사이클들의 비율(b:c)은 상기 공정에서 수행된 완성된(complete) 슈퍼-사이클들의 모두에서 동일하다. 다른 실시예들에서, 제1 금속 불화물 흡착 서브-사이클들 대 제2 환원성 서브-사이클들의 특정 비율은 상이한 완성된 슈퍼-사이클들에서 변화될 수 있다. 상기 특정 비율은 상기 막 내에서 금속, 불화물 및 질소의 원하는 함량을 제공하고, 그리하여 원하는 성질들을 갖는 막을 달성하기 위해 당업자에 의해 선택될 수 있다.
비록 상기 제1 금속 불화물 흡착 서브-사이클 및 상기 제2 환원성 서브-사이클로서 지칭되지만, 일부 실시예들에서 하나 이상의 슈퍼-사이클들은 상기 환원성 서브-사이클로 시작하며, 이것은 상기 금속 불화물 흡착 서브-사이클(이것은 다른 슈퍼-사이클을 시작하기 전에 원하는 횟수 만큼 반복될 수 있다)에 의해 (원하는 횟수 만큼 반복된 후에) 후속된다.
일부 실시예들에서, 상기 슈퍼-사이클은 아래와 같이 기재될 수 있다:
a[b(MF + 환원제) + c(질소 화합물)], 여기서 b는 각 슈퍼-사이클에서 MF 서브-사이클들(-이것은 환원제를 포함-)의 수이며: c는 각 슈퍼-사이클에서 질소 반응물 서브-사이클들의 수이며: a는 슈퍼-사이클들의 수이다. 금속 불화물 대 질소 서브-사이클들의 비율은 b:c로 주어질 수 있다.
일부 실시예들에서, 상기 금속, 또는 M은 Ti, Ta, Nb, Mo 또는 W를 포함한다.
일부 실시예들에서, 상기 환원제는 실란 또는 보란을 포함한다. 일부 실시예들에서, 상기 환원제는 실란, 디실란, 또는 트리실란이다. 일부 실시예들에서, 상기 환원제는 보란, 디보란, 또는 트리보란이다. 전술한 바와 같이, 비록 "환원제"로서 지칭되었지만, 일부 실시예들에서 반드시 실제 화학적 환원이 일어날 필요가 있는 것은 아니다. 유사하게, 일부 실시예들에서, 환원이 "환원성 서브-사이클"에서 반드시 일어날 필요가 있는 것은 아니다.
일부 실시예들에서, 질소-전구체는 암모니아, N2H4, 질소 원자들, 질소-함유 플라즈마 또는 플라즈마에서 발생된 질소 라디칼들 또는 다른 종들로 구성되는 그룹으로부터 선택될 수 있다.
일부 실시예들에서, 도전성 불화물 막을 퇴적하기 위해 열적 ALD 공정이 사용되며, 상기 N-전구체는 암모니아 또는 N2H4이다. 일부 실시예들에서, 플라즈마 ALD 공정이 사용되며, 도전성 불소-함유 막을 퇴적하기 위한 상기 N-전구체는 질소 원자들, 질소-함유 플라즈마, 또는 질소 라디칼들을 포함한다.
특정한 공정 조건들 및 파라미터들이 TiF3를 포함하는 예시적인 도전성 박막들 및 불소-함유 TiWN 막들의 퇴적을 위하여 아래에 제공되며, 하지만 이들 공정들에 관하여 기술된 상기 공정 조건들은 불화물을 포함하는 다른 도전성 막들의 퇴적에 적용될 수 있다.
일부 실시예들에서, 상기 제1 및 제2 퇴적 서브-사이클들은 동일한 반응 온도에서 수행된다. 일부 실시예들에서, 상기 금속 불화물 서브-사이클 및 환원성 서브-사이클 중의 하나 또는 양쪽 모두를 위한 퇴적 온도는 약 100℃ 내지 약 700℃, 약 200℃ 내지 약 500℃, 약 250℃ 내지 약 400℃, 또는 약 325℃ 내지 약 375℃이다. 일부 실시예들에서, 상기 TiF4 서브-사이클 및 환원성 서브-사이클의 양쪽 모두 약 350℃에서 수행된다.
일부 실시예들에서, 금속 불화물 서브-사이클들 대 환원성 서브-사이클들의 비율은, 예를 들어 약 3 nm 보다 작은(하지 기판의 원자들이 예를 들어, LEIS에 의해 결정된 바와 같이, 더 이상 최외곽 표면에서 검출될 수 없다는 것을 의미하는), 매우 얇은 두께로 근접하는 막을 퇴적하기 위해 선택된다. 일부 실시예들에서, 상기 서브-사이클들의 비율은, 상기 막이 전기적으로 연속되도록, 즉 예를 들어 약 3 nm 보다 작은, 약 2 nm 보다 작은, 약 1.5nm 보다 작은, 또는 심지어 약 1.0 nm 보다 작은 매우 얇은 두께들에서 전류를 흐르도록 선택된다. 일부 실시예들에서, 상기 서브-사이클들의 비율은, 상기 막이 하나의 층으로써 연속적이도록, 그러나 예를 들어, 약 3 nm 보다 작은, 약 2 nm 보다 작은, 약 1.5nm 보다 작은, 또는 심지어 약 1.0 nm 보다 작은 매우 얇은 두께들에서, 연속적인 매트릭스에서, 홀들과 같은 일부 비-연속적인 피쳐(feature)들을 포함할 수 있도록 선택된다. 일부 실시예들에서, 상기 서브-사이클들의 비율은, 상기 막이 폐쇄되지 않고 그리고 연속적이지 않지만, 여전히 약 3 nm 보다 작은, 약 2 nm 보다 작은, 약 1.5nm 보다 작은, 또는 심지어 약 1.0 nm 보다 작은 매우 얇은 두께들에서 확산 장벽으로서 역할을 하도록 선택된다.
일부 실시예들에서, 불화물을 포함하는 도전성 막은 약 2 nm 아래, 약 1.5 nm 아래, 약 1.0 nm 아래, 또는 심지어 약 0.7 nm 아래의 RMS 거칠기를 가지며 퇴적되며, 여기서 상기 두께는 약 20 내지 약 50 nm 이다. 그러나, 일부 실시예들에서, 상기 RMS 거칠기는 약 10 nm 보다 작은 두께를 갖는 막들에 대해 약 0.5 nm 아래, 약 0.4 nm 아래, 또는 심지어 약 0.3 nm 아래이다. RMS 거칠기는 예를 들어, x-레이 반사도(XRR)에 의해 측정될 수 있다.
일부 실시예들에서, 각 슈퍼-사이클에서 환원성 서브-사이클의 상대적인 수를 증가시키는 것은 상기 금속 불화물 막의 면저항(sheet resistance) 및/또는 저항률(resistivity)을 증가시킨다.
일부 실시예들에서, 본 개시물에 따라 형성된 도전성 불화물-함유 막은 약 200,000 Ω/sq 보다 작은, 약 140,000 Ω/sq 보다 작은, 약 20,000 Ω/sq 보다 작은, 약 10,000 Ω/sq 보다 작은, 약 1,000 Ω/sq 보다 작은, 또는 심지어 약 1,000 Ω/sq 보다 작은 면저항을 가질 수 있다.
일부 실시예들에서, 본 개시물에 따라 형성된 도전성, 불화물-함유 막은 약 106 μΩ㎝ 보다 작은, 약 105 μΩ㎝ 보다 작은, 또는 약 50000 μΩ㎝ 보다 작은 층 저항률을 가질 수 있다.
일부 실시예들에서, 본 개시물에 따라 형성된 도전성, 불화물-함유 막은 적어도 약 500 μΩ㎝ 보다 작은, 적어도 약 1,000 μΩ㎝ 보다 작은, 적어도 약 5,000 μΩ㎝ 보다 작은, 또는 심지어 적어도 약 10,000 μΩ㎝ 보다 작은 층 저항률을 가질 수 있다.
일부 실시예들에서, 본 개시물에 따라 형성된 금속 불화물을 포함하는 막은 산소를 함유하는 대기에서 약 500℃ 아래, 약 400℃ 아래, 약 300℃ 아래, 또는 약 250℃ 아래의 온도에서 실질적으로 산화가 없는 것을 드러낼 수 있다. 일부 실시예들에서, 상기 막들은 주변 공기와 같은 산소 함유 대기들에서, 실온 또는 예를 들어 약 -50℃ 내지 약 50℃ 실외에서 자연적으로 발생하는 온도들에서 연장된 주기들 동안 산화에 저항성이다. 예를 들어, 일부 실시예들에 따라, 본 방법들에 따라 형성된 막들은 6보다 많은 시간 동안, 바람직하게는 24보다 많은 시간 동안 산화 저항성이며, 일부 경우들에서, 상기 막의 조성에 의존하여, 상기 막은 10일 보다 많은, 바람직하게는 30일 보다 많은, 일부 경우들에서는 원한다면 1년 보다 많은 기간 동안 산화에 저항성이다. 예를 들어, 주변 공기에 대한 노출이 일부 특정 적용대상들에서, 예를 들어 금속 불화물을 포함하는 상기 막이 또한 습기/물을 포함할 수 있는 주변 공기에 대한 보호층으로써 사용되는 경우에서, 발생될 수 있다. 산소를 포함하는 다른 대기들은 산소 원자들, 플라즈마 또는 라디칼들, 오존, 물/습기, 또는 OH-기를 함유하는 다른 종들을 포함할 수 있다.
ALD에 의한 TiF 3 를 포함하는 막들의 퇴적
[0095] 전술한 바와 같이, 일부 실시예들에서, TiF3와 같은 TiFx 화합물을 포함하는 도전성 막들과 같은, TiF3를 포함하는 막들을 퇴적하기 위한 원자층 퇴적 공정은 복수의 슈퍼-사이클들을 포함할 수 있으며, 여기서 각 슈퍼-사이클은 적어도 하나의 TiF4 서브-사이클 및 적어도 하나의 환원성 서브-사이클을 포함한다. 상기 TiF4 서브-사이클에서, 상기 기판은 상기 기판 표면 상에 단층이 흡착되기에 이르도록 기상 TiF4에 노출된다. 상기 환원성 서브-사이클에서는, 상기 기판은 실란 또는 보란 등과 같은 환원제 및 질소 반응물에 노출된다. 상기 TiF4 서브-사이클 및 환원성 서브-사이클의 비율은 원하는 조성을 얻기 위해 변화될 수 있으며, 슈퍼-사이클들의 수는 원하는 두께의 티타늄 불화물 막을 퇴적하기 위해 선택될 수 있다. 상기 TiF4 서브-사이클은 상기 환원성 서브-사이클에 선행할 수 있으며, 그 반대일 수도 있다. 유사하게, 상기 환원성 사이클에서, 상기 환원제는 상기 질소 반응물에 선행할 수 있으며, 그 반대일 수도 있다.
일부 실시예들에서, 상기 TiF4 서브-사이클은 실란 화합물 또는 보란 화합물과 같은 환원제를 포함할 수 있다. 그리고 일부 실시예들에서, 상기 제2 서브-사이클은 실란 또는 보란 화합물을 포함하지 않는다.
상기 슈퍼-사이클은 아래와 같이 기재될 수 있다:
a[b(티타늄 불화물) + c(환원제 + 질소 반응물)], 여기서 (티타늄 불화물)은 TiF4 서브-사이클을 나타내며, b는 각 슈퍼-사이클에서 TiF4 서브-사이클들의 수이며, (환원제 + 질소 반응물)은 환원성 서브-사이클을 나타내며, c는 각 슈퍼-사이클에서 환원성 서브-사이클들의 수이며, a는 슈퍼-사이클들의 수이다. 상기 슈퍼-사이클에 처음에 오는 상기 TiF4 서브-사이클을 가지고 설명되었으나, 일부 실시예들에서, 하나 이상의 슈퍼-사이클들에서, 상기 환원성 서브-사이클이 먼저 온다. 따라서, 일부 실시예들에서, 상기 TiF4 서브-사이클은 상기 제1 서브-사이클로 고려될 수 있으며, 상기 환원성 서브-사이클은 상기 제2 서브-사이클로 고려될 수 있으며, 반면에서 일부 실시예들에서는, 상기 환원성 서브-사이클이 상기 제1 서브-사이클로 고려되고, 상기 TiF4 서브-사이클이 상기 제2 서브-사이클로 고려될 수 있다.
하지만, 일부 실시예들에서는 상기 슈퍼-사이클은 아래와 같이 기재될 수 있다:
a[b(TiF4 + 환원제) + c(질소 반응물)], 여기서, b는 각 슈퍼-사이클에서 - 환원제를 포함하는 - TiF4 서브-사이클들의 수이며; c는 각 슈퍼-사이클에서 질소 반응물 서브-사이클들의 수이며, 그리고 a는 슈퍼-사이클들의 수이다. 금속 불화물 대 질소 서브-사이클들의 비율은 b:c로 주어질 수 있다.
일부 실시예들에서, 상기 환원제는 예를 들어, 디보란, 트리보란, 디실란, 또는 트리실란 등과 같은 보란 또는 실란일 수 있다. 일부 실시예들에서, 상기 환원제는 디실란이다. 일부 실시예들에서, 상기 환원제는 트리실란이다. 일부 실시예들에서 상기 질소 반응물은 암모니아, N2H4, 질소 원자들, 질소-함유 플라즈마, 또는 질소 라디칼들일 수 있다.
일부 실시예들에서, 슈퍼-사이클은 a[b(TiF4) + c(Si2H6 + NH3)]일 수 있으며, 여기서 b는 각 슈퍼-사이클에서 TiF4 서브-사이클들의 수이며, c는 각 슈퍼-사이클에서 환원성 서브-사이클들의 수이며, a는 슈퍼-사이클들의 수이다.
따라서, TiF4 서브-사이클 대 환원성 서브-사이클의 비율은 b:c(또는 TiF4 : 환원성)로 주어질 수 있다. 일부 실시예들에서, 서브-사이클들의 비율은 상기 ALD 공정에서 각 ALD 슈퍼-사이클에서 일정하다. 다른 실시예들에서, 서브-사이클들의 상기 비율은 하나 이상의 슈퍼-사이클에서 변경될 수 있다. 다르게 지시되지 않는다면, 서브-사이클들의 비율이 여기에서 제공된 경우, 이것은 복수의 슈퍼-사이클들을 포함하는 완성된(complete) ALD 공정에서의 서브-사이클들의 비율을 지칭한다.
일부 실시예들에서, 상기 제1 및 제2 퇴적 서브-사이클들은 동일한 반응 온도에서 수행된다. 일부 실시예들에서, 상기 TiF4 서브-사이클 및 상기 환원성 서브-사이클 중의 하나 또는 양쪽 모두에 대한 퇴적 온도는 약 100℃ 내지 약 700℃, 약 200℃ 내지 약 500℃, 약 250℃ 내지 약 400℃, 또는 약 325℃ 내지 약 375℃이다. 일부 실시예들에서, 상기 TiF4 및 환원성 서브-사이클들의 양쪽 모두는 약 350℃에서 수행된다.
일부 실시예들에서, 상기 제1 및 제2 서브-사이클들은 동일한 반응기에서 수행된다.
상기 제1 및 제2 서브-사이클들은 원하는 조성 및 원하는 성질들을 갖는 박막을 퇴적하기 위한 선택된 비율로 제공될 수 있다. 예를 들어, 일부 실시예들에서, 하나 이상의 ALD 슈퍼-사이클들에서 상기 제1, TiF4 퇴적 서브-사이클 대 상기 제2 환원성 서브-사이클의 비율은 약 0.01 내지 약 100, 약 0.05 내지 약 50, 또는 약 0.1 내지 약 1일 수 있다. 일부 실시예들에서, 하나 이상의 ALD 슈퍼-사이클들에서 TiF4 퇴적 서브-사이클 대 환원성 서브-사이클의 비율은 1보다 작다. 일부 실시예들에서, 하나 이상의 슈퍼-사이클들에서 TiF4 퇴적 서브-사이클 대 환원성 서브-사이클의 비율은 약 1과 약 3 사이이다. 일부 실시예들에서, 하나 이상의 슈퍼-사이클들에서 TiF4 퇴적 서브-사이클 대 환원성 서브-사이클의 비율은 약 1과 약 50 사이, 약 3과 약 30 사이, 또는 약 5와 약 20 사이이다. 일부 실시예들에서, 하나 이상의 슈퍼-사이클들에서 TiF4 퇴적 서브-사이클 대 환원성 서브-사이클의 비율은 약 0.01, 약 0.2, 약 0.3, 약 0.4, 약 0.5, 약 0.6, 약 0.8, 또는 약 1이다.
전술한 바와 같이, 서브-사이클들의 상기 비율은 원하는 조성 및 원하는 막 성질들을 얻기 위해 선택될 수 있다. 일부 실시예들에서, 상기 TiF4의 보다 큰 퍼센테이지가 상기 TiF4 서브-사이클들에 대하여 환원성 서브-사이클들의 수를 증가시킴으로써 TiF3로 변환된다. 일부 실시예들에서, TiF4 대 환원성 서브-사이클들의 비율은 퇴적된 막의 면저항 및/또는 저항률을 증가시키기 위해 증가된다.
일부 실시예들에서, 제1 TiF4 퇴적 서브-사이클 대 제2 환원성 서브-사이클들의 비율은 상기 ALD 공정에서 수행된 완성된 ALD 슈퍼-사이클들의 모두에서 동일하다. 일부 실시예들에서, 제1 TiF4 퇴적 서브-사이클 대 제2 환원성 서브-사이클들의 특정한 비율은 상이한 완성된 ALD 슈퍼-사이클들에서 변화될 수 있다. 상기 특정한 비율들은 상기 막 내에 원하는 양의 티타늄, 불소, 질소를 제공하기 위해, 그리하여 원하는 성질들을 갖는 막을 얻기 위해 당업자에 의해 선택될 수 있다.
일부 실시예들에서, 퇴적된 TiF3를 포함하는 상기 막은 도전성 막이다. 일부 실시예들에서, 예를 들어 ALD와 같은, 상기 막 내에 불소를 결합하지 않는 공지된 기상 퇴적 공정들에 의해 퇴적된 TiN 막보다 더 큰 산화 저항성을 갖는 TiF3를 포함하는 막이 퇴적된다(예를 들어, 주변 공기에서 300℃에서 측정된 바와 같이).
일부 실시예들에서, 상기 환원성 화합물로부터의 일부 실리콘 또는 보론, 및 상기 질소 반응물로부터의 일부 질소를 포함하는 TiF3를 포함하는 도전성 막이 형성된다. 예를 들어, 일부 실시예들에서, 일부 실리콘 및 일부 질소를 함유하는 TiF3를 포함하는 도전성 막이 퇴적된다.
일부 실시예들에서, 실란은 환원제로서 사용되며, TiF3를 포함하는 상기 막은 작은 양의 실리콘을 포함한다. 예를 들어, 일부 실시예들에서, 상기 실리콘 함량은 약 15 원자%로부터, 바람직하게는 약 0.01 내지 약 10 원자%, 보다 바람직하게는 약 0.1 내지 약 5 원자%, 그리고 가장 바람직하게는 약 0.1 내지 약 2 원자% 범위일 수 있다. 일부 실시예들에서, 상기 실리콘 함량은 바람직하게는 약 1.5 원자% 보다 작다.
일부 실시예들에서, 보란은 환원제로서 사용되며, TiF3를 포함하는 상기 막은 작은 양의 보론을 포함한다. 예를 들어, 일부 실시예들에서, 상기 보론 함량은 약 15 원자% 보다 작은, 바람직하게는 약 0.01 내지 약 10 원자%, 보다 바람직하게는 약 0.1 내지 약 5 원자%, 그리고 가장 바람직하게는 약 0.1 내지 약 2 원자% 범위일 수 있다. 일부 실시예들에서, 상기 보론 함량은 바람직하게는 약 1.5 원자% 보다 작다.
일부 실시예들에서, TiF3를 포함하는 상기 막들은 또는 작은 양의 질소를 포함한다. 예를 들어, 일부 실시예들에서, 질소 함량은 약 0.5 내지 약 50 원자%, 약 1 내지 20 원자%, 또는 약 2 내지 약 15 원자%의 범위이다.
일부 실시예들에서, 상기 막들은 약 10 원자%보다 큰, 바람직하게는 약 20 내지 약 75 원자%, 약 40 내지 약 70 원자%, 또는 약 45 내지 약 65 원자%의 양으로 불소를 포함한다.
일부 실시예들에서, TiF3를 포함하는 상기 막들은 약 1 원자%보다 작은 산소를 포함한다.
도 1은 복수의 ALD 슈퍼-사이클들(100)을 포함하는, 반응챔버에서 기판 상에 TiF3를 포함하는 막을 형성하기 위한 ALD 공정을 보여준다. 각각의 슈퍼-사이클은 제1 TiF4 퇴적 서브-사이클(200) 및 제2 환원성 서브-사이클(300)을 포함한다. 상기 슈퍼-사이클(100)은 원하는 두께의 TiF3 막을 퇴적하기 위하여 원하는 바에 따라 많은 횟수 반복된다. 상기 슈퍼-사이클(100) 내에서 서브-사이클(200)과 서브-사이클(300) 사이의 비율은 원하는 조성 및 성질들을 갖는 막을 얻기 위해 선택될 수 있다.
제1 티타늄 불화물 퇴적 서브-사이클은: 기판 상에 티타늄 불화물의 기껏해야 분자 단층을 형성하기 위해 증발된 TiFx, 예를 들어 TiF4를 반응 챔버로 펄스하는 단계(210); 만약 있다면, 과잉의 티타늄 불화물 및 반응 부산물을 제거하기 위해 상기 반응 챔버를 퍼지하는 단계(220); 및 상기 펄스하는 단계 및 퍼지하는 단계를 반복하는 단계(250)를 포함한다.
일부 실시예들에서, 제1 퇴적 서브-사이클은 연속하여 1,2,3,4,5,10,20,50, 100, 또는 그 이상 횟수로 반복된다. 일부 실시예들에서, 상기 제1 퇴적 서브-사이클은 연속적으로 약 30-60 횟수보다 많지 않게, 연속적으로 약 30 내지 50 횟수에 이르도록, 또는 연속적으로 약 40 횟수에 이르도록 반복된다.
상기 TiF3/TiN 막을 형성하기 위한 원자층 퇴적 슈퍼-사이클(100)은 또는 하나 이상의 제2 환원성 서브-사이클들(300)을 포함한다. 일부 실시예들에서, 상기 제2 환원성 서브-사이클(300)은: 상기 TiF4의 적어도 일부를 TiF3로 환원하기 위해 증발된 환원제, 예를 들어 디실란 또는 트리실란을 상기 반응 챔버 속으로 펄스하는 단계(310); 만약 있다면, 과잉의 환원제 및 반응 부산물들은 제거하기 위해 상기 반응 챔버(320)를 퍼지하는 단계(320); 질소 반응물, 예를 들어 NH3를 상기 반응 챔버 속으로의 펄스를 제공하는 단계(330)로서, 여기서 상기 질소 반응물은 상기 티타늄 불화물 막에 적어도 일부의 질소를 기부하는, 상기 펄스를 제공하는 단계; 과잉의 질소 반응물 및 어떠한 가스 부산물들을 제거하기 위해 상기 반응 챔버를 퍼지하는 단계(340); 및 상기 펄스하는 단계 및 퍼지하는 단계를 반복하는 단계(350)를 포함한다.
일부 실시예들에서, 상기 제2 환원성 서브-사이클(300)은 1,2,3,4,5,10,20,50,100 또는 그 이상 연속적으로 반복된다. 일부 실시예들에서, 상기 제2 환원성 서브-사이클은 약 3 내지 6 횟수, 또는 약 5 횟수 반복된다.
상기 제1 및 제2 서브-사이클들(200,300)은 완성된 ALD 슈퍼-사이클(100)에서 복수 회 반복되며, 상기 완성된 ALD 슈퍼-사이클(100)은 티타늄, 불소 및 질소의 원하는 농도를 포함하는 원하는 두께의 TiF3 막을 형성하기 위해 반복된다.
일부 실시예들에서, 상기 제1 퇴적 서브-사이클(200) 및 제2 환원성 서브-사이클(300)이 반복되는 횟수는 각각의 완성된 ALD 슈퍼-사이클(100)에서 동일하다. 다른 실시예들에서, 제1 및 제2 서브-사이클들(100,200)의 수는 하나 이상의 완성된 ALD 슈퍼-사이클들(100)에서 변화한다. 각각의 완성된 ALD 슈퍼-사이클(100)에서 제1 및 제2 서브-사이클들(200,300)의 수, 그리고 제1 및 제2 서브-사이클들(100,200)의 전체 수 및 전체 ALD 슈퍼-사이클들(100)은 원하는 두께 및 조성의 TiF3/TiN 막의 퇴적을 얻기 위해 조절될 수 있다.
상기 제1 퇴적 서브-사이클(200)을 시작으로 설명되었지만, 각각의 완성된 ALD 사이클은 상기 제1 서브-사이클(200) 또는 제2 서브-사이클(300) 중의 어느 하나로 시작하거나 끝날 수 있다. 예를 들어, TiF3 막을 형성하기 위한 각각의 ALD 슈퍼-사이클은 상기 제1 티타늄 불화물 퇴적 서브-사이클 또는 상기 환원성 서브-사이클로 시작될 수 있다. 일부 실시예들에서, 하나 이상의 슈퍼-사이클들은 상기 환원성 서브-사이클로 시작할 수 있다.
일부 실시예들에서, TiF3를 포함하는 상기 막은 500 nm 이하의 컨포말한(conformal) 박막을 형성하기 위해 기판 표면 위로 ALD에 의해 퇴적된다. 일부 실시예들에서, 상기 막의 두께는 100 nm보다 작거나, 약 50 nm보다 작거나, 또는 약 10 nm보다 작다. 적용대상에 의존하여, 상기 두께는 예를 들어, p-형 캡핑층 또는 산화방지층으로서 사용된 경우 더욱 작을 수 있으며, 상기 막의 두께는 예를 들어, 약 2 내지 약 50Å, 바람직하게는 약 3 내지 약 30Å, 그리고 일부 경우들에서는 약 5 내지 약 20Å일 수 있다.
일부 실시예들에서, 약 300℃ 위의 온도에서 주변 공기와 같은, 산소 또는 물/습기-함유 대기에서 단지 산화하기 시작하는 TiF3를 포함하는 막이 형성된다.
일부 실시예들에서, 약 1.6-1.8의 n 및 약 0.1-0.2의 k값을 갖는 TiF3를 포함하는 막이 형성된다.
다양한 수정들, 생략들 및 부가들이 본 발명의 사상으로부터 벗어남이 없이 위에서 기술된 방법들 및 구조들에 대하여 이루어질 수 있을 것이다. 모든 이러한 수정들 및 변경들은 첨부된 청구항들에 의해 정의된 바와 같이, 본 발명의 사상 내로 들어가도록 의도된다.
예들
다수의 TiF3 막들이 Pulsar® 2000 R&D 반응기에서 ALD에 의해 퇴적되었다. 상기 막들은, TiF4 서브-사이클 및 환원성 서브-사이클: z[x(TiF4 + y(Si3H8 + NH3)] 및 z[x(TiF4 + y(Si2H6 + NH3)]을 포함하는, 기본적인 슈퍼-사이클을 사용하는 슈퍼-사이클 방법으로 퇴적되었다. 상기 반응기 온도는 350℃이었다.
상기 기본적인 공정 파라미터들은, TiF4; 3초 펄스/5초 퍼지, NH3; 10초 펄스/5초 퍼지, Si2H6/Si3H8; 1초 펄스/5초 퍼지이었다.
상기 막들은 자연산화물과 함께 실리콘 상에 퇴적되었다. 막 조성들은 상기 TiF4/환원성 서브-사이클 비율(x/y)을 변경함으로써 변화되었으며, 막 두께는 슈퍼-사이클들의 수(z)에 의해 제어되었다.
상기 막들은, 면저항을 위해 CDE Resmap 168을 가지고 행한 4 지점에 대한 프로브 측정들에 의해, 두께, 거칠기 및 밀도를 위해 Bruker D8을 가지고 행한 x-레이 반사도(XRR)에 의해, 조성을 위해 모노크롬의 AlKα를 사용하는 PHI Quantum 2000을 가지고 행한 x-레이 광전자 스펙트로스코피(XPS)(뉴저지, 이스트윈저, EAG 랩에서 이루어진 분석)에 의해, 모폴로지 및 컨포말리티를 위한 Hitachi S-4800 전계 방출 주사 전자 현미경으로 행한 2차 전자 현미경(SEM)에 의해, 그리고 어닐링 온도의 함수로서 결정학적 상 변화를 위해 질소 및 공기 분위기에서 CuKα방사를 갖는 PANalytical X'Pert Pro MPD X-ray 회절계 및 HTK 1200 Anton Parr 오븐을 가지고 행한 가열된 스테이지 x-레이 회절(XRD)에 의해 특징지어 진다.
상기 ALD 공정들은 상당한 양의 불소를 함유하는 막들로 귀결된다. XPS 및 XRD 분석은 상기 막들이 TiF3 및 TiN의 혼합물인 것을 드러낸다. 상기 막들은 투명하며, 전기적으로 도전성이다. 표 1은 조성, 저항률, 거칠기, 밀도 및 상이한 TiF4/환원성 서브-사이클 비율을 갖는 상기 공정들의 성장 속도를 요약한다.
1 2 3 4 5 6
환원제 Si3H8 Si3H8 Si3H8 Si2H6 Si2H6 Si2H6
TiF4/환원성 서브-사이클 비율 0.1 0.2 1 0.1 0.2 1
TiF4/(TiF4+환원성) 서브-사이클 비율 0.09 0.17 0.50 0.09 0.17 0.50
서브-사이클들 440 360 400 440 360 400
슈퍼-사이클들 400 300 200 400 300 200
Rs,Ω/sq(센터 지점) - - 141000 20200 217000 263
층저항률,μΩ㎝(센터 지점) - - 696540 88314 911400 822
층두께,nm(3mm EE,21지점들, 평균,엘립소미터) 60.1 60.3 49.4 43.7 42.0 31.3
층 Th Uf(3mm EE,21지점들, 평균,엘립소미터) 10.6% 6.9% 17.2% 13.6% 14.4% 13.9%
층R1(평균,21지점들,엘립소미터) 1.66 1.63 1.75 1.83 1.83 2.02
N, 원자% 8.6 8 5.5 12.7 9.9 0.6
O, 원자% 0.6 0.9 0.6 0.9 0.6 2.1
F, 원자% 59.3 60.6 65 55 58.6 15.7
Si, 원자% 2.3 1.6 0.4 1.6 1 -
Ti, 원자% 28.9 28.7 28.2 29.6 29.8 41.4
(상이한 펄스 비율들을 갖는 TiF4 + Si2H6/Si3H8 + NH3의 Rs 및 엘립소미터 데이터)도 2는 환원제로서 Si3H8을 사용하는 본 실험의 예 3의 막의 XRD 패턴을 보여준다.
TiF3를 포함하는 막들이 TiN보다 산화에 훨씬 더 저항성이라는 것이 밝혀졌다. 본 실험에서 형성된 상기 TiF3/TiN 막들은 약 1 원자%보다 작은 산소를 함유한다. 열역학 평형 계산들은 상기 TiF3/TiN 혼합물 산화가 주변 공기(즉, N2, O2, 및 H2O)에서 진행되고, 그리하여 TiN이 먼저 산화된다는 것을 보여줬다(도 3)
TiF3를 포함하는 막들은 주변 공기에서 300℃ 위의 온도에서만 산화하기 시작한다는 것이 또한 결정되었다. 어떠한 특별한 이론에 구애받음이 없이, Ti-F 결합이 Ti-O 결합보다 강하기 때문에, TiF3는 산화에 대하여 TiN보다 더 큰 저항성을 나타낸다고 믿어진다. 상기 TiF3는 각각, 약 1.6-1.8 및 0.1-0.2의 n 및 k를 갖는다고 믿어진다.
분리된 예에서, TixWyNz 막들은 Pulsar® 2000 R&D 반응기에서 ALD에 의해 퇴적되었다. 상기 막들은 TiN 및 W을 위한 다음의 기본적 이원 케미스트리들(binary chemistries)을 사용하는 슈퍼-사이클로 퇴적되었다: z[x(TiCl4 + NH3) + y(Si2H6 + WF6)]. 상기 반응기 온도는 350℃이었다. Si2H6 및 WF6에 대한 안정 상태 유량율은 100 sccm, 및 NH3에 대해 240 sccm이었다. TiCl4는 액체 소오스로 충전되었으며, 이것은 실온(21℃)에서 증기 밀기 모드(push mode)이었으며, 캐리어 가스로서 N2를 사용하였다.
상기 기본적 공정 파라미터들은, TiCl4; 50 ms 펄스/ 5 s 퍼지, NH3; 10s 펄스/5s 퍼지, Si2H6; 0.5 펄스/5s 퍼지 및 WF6; 0.5s 펄스/5s 퍼지이었다.
상기 막들은 200mm, 20nm TiN/20nm SiO2/Si 및 20nm SiO2/Si 웨이퍼들 상에, 그리고 2 nm HfO2/Si 플래너 웨이퍼 피스들(≒10 x 10 ㎝) 상에, 또는 컨포말리티를 위해 패턴된 자연 SiO2/Si(≒5 x 5 ㎝) 피스들 상에 퇴적되었다. 상기 피스들(pieces)은 퇴적 런들(runs) 동안에 200 mm 어댑터 웨이퍼들 상에 위치하였다. 막 조성들은 TiN/W 사이클 비율(x/y)를 변경함으로써 변화되었고, 막 두께는 슈퍼-사이클들의 수(z)에 의해 제어되었다.
상기 막들은, 면저항을 위해 CDE Resmap 168을 가지고 행한 4 지점에 대한 프로브 측정들에 의해, 두께, 거칠기 및 밀도를 위해 Bruker D8을 가지고 행한 x-레이 반사도(XRR)에 의해, 조성을 위해 모노크롬의 AlKα를 사용하는 PHI Quantum 2000을 가지고 행한 x-레이 광전자 스펙트로스코피(XPS)(뉴저지, 이스트윈저, EAG 랩에서 이루어진 분석)에 의해, 모폴로지 및 컨포말리티를 위한 Hitachi S-4800 전계 방출 주사 전자 현미경으로 행한 2차 전자 현미경(SEM)에 의해, 그리고 어닐링 온도의 함수로서 결정학적 상 변화를 위해 질소 및 공기 분위기에서 CuKα방사를 갖는 PANalytical X'Pert Pro MPD X-ray 회절계 및 HTK 1200 Anton Parr 오븐을 가지고 행한 가열된 스테이지 x-레이 회절(XRD)에 의해 특징지어진다.
표 2는 조성, 저항률, 거칠기, 밀도 및 상이한 TiN/W 사이클 비율을 갖는 상기 TiN/W 혼합 공정의 성장 속도를 요약한다. 표 2에서 보여질 수 있는 바와 같이, 상기 막들의 불소 함량은 TiN/W 사이클 비율들의 증가 그리고 거칠기의 감소와 함께 증가한다.
TiN/W 사이클 비율 TiN/(TiN+W)사이클비율 층 거칠기,nm(RMS,XRR) 층 밀도,g/㎤(XRR) 층 저항률,μΩ㎝ GR, Å/서브-사이클 N,
원자%
O,
원자%
F,
원자%
Si, 원자% Ti, 원자% W,
원자%
W 0 4.15 17.3 122.2 6.26 0.5 1.3 0.3 3.0 0.1 94.8
0.5 0.33 2.14 16.5 187.8 2.24 8.5 0.5 0.3 2.0 0.0 88.7
1 0.50 0.65 16.1 173.6 0.78 9.6 0.7 0.0 0.9 0.1 88.7
3 0.75 1.15 12.5 622.3 0.77 21.0 0.6 3.0 1.0 3.1 71.4
5 0.83 1.96 11.9 711.4 0.63 25.7 0.4 3.0 1.2 7.2 62.4
20 0.95 1.01 8.6 553.7 0.33 39.9 0.3 2.3 0.5 24.9 32.0
40 0.98 0.65 7.8 381.6 0.30 44.0 0.6 1.6 0.8 32.2 20.8
TiN 1 2.74 5.3 143.1 0.24 53.2 0.8 0.0 0.2 45.7 0.0
(ALD TixWyNz층들의 성질들. 표에 보고된 조성들은 신호들에서 표면 탄소 오염이 부재할 때까지 2keV Ar+ 이온들로 스퍼터링한 후에 XPS에 의해 측정된 상기 막들의 조성들이다)순수 W 막들은 Al2O3 상에서 문헌에서 보고된 성장 속도에 비하여, 6Å/사이클의 높은 성장 속도로 성장한다. 그러나, 상기 W 막의 거칠기는 또한 매우 높다. 상기 W 사이클들 사이에서 일부 TiN 사이클들을 부가하는 것은 상기 막들의 성장 속도를 감소시켰으며, 동시에 상기 막의 거칠기도 실질적으로 감소되었다. 하지만 놀랍게도, 상기 막들은 상기 TiN/W 사이클 비율이 1 이하일 때 어떠한 티타늄도 함유하지 않았다. 대신에, 결과의 막은 10 원자% 보다 작은 질소 및 일부 실리콘 불순물을 갖는 WxNy이었다. 이것은 상기 W 사이클들 사이에서 상기 TiN 사이클들이 W의 핵생성 행위를 수정하였으며, 그리고 더 낮은 성장 속도와 더 평탄한 막들로 결과되었다는 것을 가리킬 수 있다.
상기 TiN/W 사이클 비율이 3 이상일 때, 상기 막들은 TiN/W 사이클 비율의 증가와 함께 질소 함량에서 추가로 증가되고, 티타늄 함량에서 낮은 증가를 보여주기 시작하였다. 이것은, 적절한 양의 TiN 사이클들이 상기 W 사이클 전에 이루어진 때, 상기 Si2H6 및 WF6은 상기 표면으로부터 모든 티타늄을 제거할 수 없었고, 따라서 상기 막들의 티타늄 함량은 점진적으로 증가하기 시작하였다는 것을 암시하였다.
상기 막들의 저항률은, 상기 막의 티타늄 함량이 낮은 때에 질소 함량의 증가와 함께 처음에 증가하였고, 이어서 상기 막들의 티타늄 함량이 ≒20 원자%보다 많을 때에 다시 감소하기 시작하였다.
상기 막들의 결정학적 상들은 X-레이 회절 분석에 의해 연구되었다. 순수 W 막들은 β-W 결정 구조를 보여준다. 상기 순수 ALD 텅스텐을 위한 상기 준안정의 β-W 상의 안정화는 이전에 보고되었다. 상기 β-W 안정화가 상기 ALD W 공정 자체의 일반적인 결과인지 결정하기 위하여, 또는 만약 이것이 상기 HfO2 기판에 의해 안정화된 것이라면, 상기 순수 W 공정은 TiN 및 SiO2 기판들 상에서 또한 진행되었다. 이러한 결과들이 도 5a 내지 5c에 나타났으며, 이것들은 TiN(도 5a), SiO2(도 5b), 및 HfO2(도 5c) 기판들 상에 퇴적된 순수 ALD-W 막들의 100 사이클들의 XRD 패턴들을 보여준다. 더 높은 2θ-값들로 상기 XRD 피크가 시프트한 것은 상기 막들이 모든 경우들에서 잔류 인장 응력을 갖는다는 것을 나타낸다. 도 5a에서 피크 강도 증가는 더 높은 퇴적 온도와 함께 상기 증가된 입자 크기에 의해 주로 야기되며, 부분적으로 더 높은 퇴적 온도와 함께 더 높은 성장 속도 때문이다. 150℃에서, 상기 TiN 표면 상에서 막 성장은 없었다.
상기 TiN 기판은 β-W 결정 구조의 안정화를 촉진하는 것으로 발견되었고, 반면에 SiO2 기판 상에서 결과되는 막은 ≒40°에서 넓은 XRD 2θ 피크에 의해 나타나는 바와 같이 작은 결정 크기를 갖는 α-W으로 보인다. 모든 경우들에서, 상기 XRD 2θ 피크들은 분말 회절 기준 값들과 비교하여 더 높은 2θ 값들로 시프트되었으며, 이것은 상기 텅스텐 막이 모든 표면들 상에서 인장 잔류 응력을 갖는다는 것을 나타낸다. 그러나, 상기 시프트는 SiO2 상에서 상기 α-W에 대한 것보다 TiN 및 HfO2 상에서 β-W에 대하여 더 크다. 상기 α-W 대 β-W 전이는, SiO2 상에서 보고된 성장 속도(≒3Å/사이클)와 비교하여, TiN 및 HfO2 상에서 관찰된 W에 대한 그리고 Al2O3 상에서 문헌에서 보고된 것에 대하여 더 높은 ALD 성장 속도들(≒6Å/사이클)을 또한 부분적으로 설명할 수 있다. β-W는 5.05Å의 격자 파라미터를 가지며, 반면에 α-W에 대한 격자 파라미터는 3.16Å이다.
도 6a 및 6b는 HfO2 상에서 혼합된 TixWyNz 막들의 퇴적에 대한 결과들을 보여준다. 3보다 작은 TiN/W 사이클 비율들에 대하여 상기 XRD 분석은 40°및 70Å에서 두 개의 매우 넓은 피크들을 드러낸다. 이들 피크들은 상기 XRD 데이터베이스에서 W 및 N을 함유하는 화합물들 중의 어느 것에 배정되지 않는다: 그러나 그들의 위치는 상기 β-W 피크들과 어울리며(match), 따라서 이들 막들이 여전히 β-W의 결정 구조를 갖지만, 극도로 작은 결정 크기를 갖는다는 것이 가능하다.
TiN/W 사이클 비율들 3≤5(Ti 함량 3≤7 원자%)로 형성된 상기 TixWyNz 막들은 상기 격자에서 티타늄 원자들에 의해 랜덤하게 대체된 텅스텐 원자들을 갖는 W2N의 결정 구조를 조정하였다. 3과 5 사이의 TiN/W 사이클 비율들에 대하여, 상기 TixWyNz 막들에서 상기 W2N 피크들은 하지만 상기 W2N과 TiN 피크들 사이에서 2 세타 값들을 가지며 가시적이었다. 또한 상기 XRD 피크들의 강도 비율들은 상기 TixWyNz 층의 조성과 함께 변경되었다. 상기 XRD 패턴에서 이러한 타입의 행위는 고용체에 대하여 전형적이다.
보다 큰 TiN/W 사이클 비율들에 대하여, 상기 XRD 피크들은 상기 TiN 피크들에 더 근접하도록 시프트되었다. TiN/W 사이클 비율들 ≥20(Ti 함량 ≥25 원자%)을 사용하여 퇴적된 상기 막들의 경우에서, 상기 막들은 상기 격자에서 텅스텐 원자들에 의해 랜덤하게 대체된 티타늄 원자들로 TiN의 결정 구조를 조정한다.
WxNy 및 TixWyNz 막들의 양쪽 모두는 비교할 만한 두께를 갖는 순수 W 또는 TiN 막들보다 실질적으로 더 넓은 XRD 피크들을 나타냈다. Debye-Scherrer 방법으로 추정된 입자 크기는 W0.9N0.1(1:1 TiN/W 사이클 비율) 막에 대해 ≒2 nm이며, Ti0.26W0.33N0.41(20:1 TiN/W 사이클 비율) 막에 대해 ≒20 nm이었다. 도 7은 순수 W 및 TiN을 따라서, 다양한 TiN:W 서브-사이클 비율들에서 퇴적된 상기 WxNy 및 TixWyNz의 모폴로지의 비교를 보여준다. 순수 W 및 TiN에서 명백하게 가시적인 원주형의 입자 구조가 혼합된 공정 막들의 SEM 이미지들에서는 부재하다. 이것은 평탄한 막 표면들이 상기 XRD 분석에서 모형을 만들며, 그리고 상기 XRD 패턴들에서 상기 넓은 피크들은 상기 SEM 분석에서 가시적이지 않은 입자 모폴로지를 갖는 상기 혼합된 공정 막들의 나노결정질 상의 결과이다라는 것을 확인해준다.
도 8은 3D 트렌치 구조에서 W0.9N0.1(1:1 TiN/W 사이클 비율) 막의 SEM 이미지를 보여준다. 상기 막의 성장에서 진정한 ALD 성질이 상기 트렌치 내에서 입증되며, 이것은 트렌치 폭이 그 깊이와 함께 증가되더라도 상기 트렌치 내측에 일정한 막 두께를 보여준다.
Ti0.26W0.33N0.41(20:1 TiN/W 사이클 비율)의 조성을 갖는 3원 TixWyNz 막의 나노결정질 상의 안정도(stability) 및 산화 저항성이 가열된 스테이지 XRD에 의해 조사되었다. 질소 분위기에서 상기 나노결정질 상은 도 9a에서 보여지는 바와 같이 가열 사이클들 동안에 입자 조대화(grain coarsening)의 신호 없이 875℃에 이르기까지 안정되었다. 도 9b는 유사한 두께를 갖는 순수 TiN 막과의 비교를 보여준다. FWHM은 Ti0.26W0.33N0.41에 대해 ≒0.7°이며, TiN에 대해 ≒0.4°이었다. 이 결과는 Ti-W 합금에서 상기 나노결정질 상의 이론적으로 예측된 높은 열역학적 안정도가 상기 Ti-W-N 시스템에서 또한 사실일 수 있다는 것을 암시한다. 상기 Debye-Sherrer 방법을 가지고 추정된 입자 크기는 40 nm의 두꺼운 Ti0.26W0.33N0.41 막에 대하여 약 20 nm이었다.
TiWN 박막들에 의해 얻어진 산화 저항성을 TiN 막들에 의해 얻어진 것과 비교하는 추가 테스트가 수행되었다. 여기에서 개시된 ALD 공정을 사용하여, TiWN 막들이 ALD-퇴적된 TiN 막들에서 얻어진 것보다 더 높은 산화 저항성을 갖는 나노결정질 금속성 막들을 생성하면서 성장되었다. 특히, 상기 TiWN 막들은 TiCl4 및 NH3의 x 서브-사이클들 및 Si2H6 및 WF6의 y 서브-사이클들로부터 ALD에 의해 퇴적되었다. 아래의 표 3은 동일한 세 명목상(nominal) 두께들에서 순수 TiN 막들의 산화 저항성과 비교하여, 20:1(x:y) 및 40:1(x:y)의 사이클 비율로 퇴적된 TiWN 박막들의 산화 저항성을 나타낸다.
재료 명목상 두께(nm) 퇴적된 대로의 XRR두께(nm) 퇴적된 대로의 Rs(Ω/sq) O3 노출* 후 Rs(Ω/sq) O3 노출** 후 Rs(Ω/sq) O3 노출* 후 추정 산화물 두께(nm) O3 노출** 후 추정 산화물 두께(nm)

TiN
5.0 5.1 468 N/A N/A 5.1 5.1
7.0 7.1 232 N/A N/A 7.1 7.1
9.0 9.1 152 4305 N/A 8.8 9.1
TiWN
20:1
5.0 5.2 2063 N/A N/A 5.2 5.2
7.0 7.2 1197 220000 N/A 7.2 7.2
9.0 8.7 900 2384 24985 5.4 8.4
TiWN
40:1
5.0 5.3 1377 N/A N/A 5.3 5.3
7.0 7.8 710 1643000 N/A 7.8 7.8
9.0 8.8 584 2874 120000 7.0 8.8
(얇은 TiWN 및 TiN 막들의 산화 전 및 후의 Rs)* O3 노출 조건들 : 250g/N㎥, 500sccm, 400℃, 15분
** O3 노출 조건들 : 250g/N㎥, 500sccm, 400℃, 30분
이것은 TiWN이 TiN 보다 더 늦게 산화된다는 것을 보여준다. 어떠한 특정 이론에 제한됨이 없이, 이것은 TiN과 비교하여 보다 많은 TiWN 막들의 나노결정질 구조에 의해 야기되는 것으로 믿어진다. TiWN 막들은 원주형 구조를 나타내지 않기 때문에 그들은 상기 막 내측에서 더 늦은 산소 확산을 경험한다. 부가적으로, TiWN 막들 내의 불소 함량은 산소의 확산에 저항한다. 상기 TiWN 막들의 표면은 상기 막의 벌크와 비교하여 불소가 풍부하다. 그리고 상기 불화물의 산화(즉, 2TiF3 + 2O2 --> 2TiO2 + F2)는 열역학적으로 불리한 반응이다.
비록 어떠한 실시예들 및 예들이 논의되었지만, 이것은 청구항들의 범위가 특별히 개시된 실시예들을 넘어서 다른 대안적인 실시예들 및/또는 용도들 및/또는 그들의 명백한 수정들 및 등가물들로 확장된다는 것은 통상의 기술자에 의해 이해될 수 있을 것이다.

Claims (37)

  1. 기판 상에 금속 불화물 박막을 퇴적하기 위한 원자층 퇴적(ALD) 공정으로서, 상기 공정은 복수의 슈퍼-사이클들을 포함하며, 각 슈퍼-사이클은 제1 서브-사이클 및 제2 서브-사이클을 포함하며,
    상기 제1 서브-사이클은 상기 기판을 금속 불화물을 포함하는 반응물과 접촉시키는 단계를 포함하며, 그리고
    상기 제2 서브-사이클은 상기 기판을 실란 화합물 및 보란 화합물 중의 적어도 하나 및 질소 반응물과 교대로 그리고 순차적으로 접촉시키는 단계를 포함하며, 그리고
    상기 복수의 슈퍼-사이클들은 상기 기판 상에 106 μΩ㎝ 보다 작은 층 저항률을 갖는 연속적인 금속 불화물 박막을 형성하는 것을 특징으로 하는 원자층 퇴적 공정.
  2. 청구항 1에 있어서, 상기 금속 불화물은 Ti, Ta, Nb, Mo 및 W로부터 선택된 금속을 포함하는 것을 특징으로 하는 원자층 퇴적 공정.
  3. 청구항 1에 있어서, 상기 금속 불화물 박막은 TiF3를 포함하는 것을 특징으로 하는 원자층 퇴적 공정.
  4. 청구항 3에 있어서, 상기 금속 불화물 박막은 5 내지 40 원자%의 질소를 포함하는 것을 특징으로 하는 원자층 퇴적 공정.
  5. 청구항 1에 있어서, 상기 금속 불화물 박막은 도전성인 것을 특징으로 하는 원자층 퇴적 공정.
  6. 청구항 1에 있어서, 상기 금속 불화물 박막은 300℃ 보다 낮은 주변 공기에 의해 산화되지 않는 것을 특징으로 하는 원자층 퇴적 공정.
  7. 청구항 1에 있어서, 금속 불화물을 포함하는 상기 반응물은 TiF4를 포함하는 것을 특징으로 하는 원자층 퇴적 공정.
  8. 청구항 1에 있어서, 상기 실란 화합물 및 보란 화합물 중의 적어도 하나는 실란 또는 보란인 것을 특징으로 하는 원자층 퇴적 공정.
  9. 청구항 8에 있어서, 상기 실란 화합물 및 보란 화합물 중의 적어도 하나는 디실란 또는 트리실란을 포함하는 것을 특징으로 하는 원자층 퇴적 공정.
  10. 청구항 1에 있어서, 상기 질소 반응물은 암모니아, N2H4, 질소 원자들, 질소함유 플라즈마 및 질소 라디칼들로 구성된 그룹으로부터 선택되는 것을 특징으로 하는 원자층 퇴적 공정.
  11. 청구항 1에 있어서, 상기 제1 서브-사이클 및 상기 제2 서브-사이클은 상기 복수의 슈퍼-사이클들 중의 적어도 하나에서 상기 제2 서브-사이클에 대한 상기 제1 서브-사이클이 적어도 0.1의 비율로 수행되는 것을 특징으로 하는 원자층 퇴적 공정.
  12. 청구항 1에 있어서, 상기 금속 불화물 박막은 나노적층물(nanolaminate) 막이 아닌 것을 특징으로 하는 원자층 퇴적 공정.
  13. 원자층 퇴적(ALD) 공정으로서,
    106 μΩ㎝ 보다 작은 저항률을 갖는 연속적인 불소-함유 박막을 기판 상에 형성하기 위해 복수의 슈퍼-사이클들을 수행하는 단계를 포함하며, 각각의 슈퍼-사이클은 제1 서브-사이클 및 제2 서브-사이클을 포함하며, 그리고
    상기 제1 서브-사이클은 상기 기판을 금속 불화물과 접촉시키는 단계를 포함하며, 그리고
    상기 제2 서브-사이클은 상기 기판을 질소 반응물과 접촉시키는 단계를 포함하며,
    실란 화합물 및 보란 화합물 중의 적어도 하나가 상기 제1 서브-사이클 및 상기 제2 서브-사이클 중의 적어도 하나에 분리되어 제공되는 것을 특징으로 하는 원자층 퇴적 공정.
  14. 청구항 13에 있어서, 실란 화합물 및 보란 화합물 중의 적어도 하나는 상기 제1 서브-사이클에 제공되는 것을 특징으로 하는 원자층 퇴적 공정.
  15. 청구항 13에 있어서, 실란 화합물 및 보란 화합물 중의 적어도 하나는 상기 제2 서브-사이클에 제공되는 것을 특징으로 하는 원자층 퇴적 공정.
  16. 청구항 13에 있어서, 상기 불소-함유 박막은 100 nm 보다 작은 두께를 갖는 것을 특징으로 하는 원자층 퇴적 공정.
  17. 청구항 13에 있어서, 상기 실란 화합물, 보란 화합물, 및 질소 반응물 중의 적어도 하나는 상기 금속 불화물 중의 상기 금속의 적어도 일부를 환원시키는 것을 특징으로 하는 원자층 퇴적 공정.
  18. 청구항 13에 있어서, 상기 불소-함유 박막은 300℃ 아래의 온도에서 실질적으로 산화 없음을 나타내는 것을 특징으로 하는 원자층 퇴적 공정.
  19. 청구항 13에 있어서, 상기 불소-함유 박막은 TiF3를 포함하는 것을 특징으로 하는 원자층 퇴적 공정.
  20. 청구항 13에 따른 원자층 퇴적 공정을 수행함으로써 얻어진 106 μΩcm 보다 작은 저항률을 갖는 연속적인 금속 불화물 박막.
  21. 청구항 20에 있어서, 상기 박막은 5 내지 40 원자%의 질소를 포함하는 박막.
  22. 청구항 20에 있어서, 상기 박막은 100 nm 보다 작은 두께를 갖는 것을 특징으로 하는 박막.
  23. 청구항 20에 있어서, 상기 박막은 기상 퇴적 공정에 의해 퇴적되는 것을 특징으로 하는 박막.
  24. 청구항 20에 있어서, 상기 박막은 도전성인 것을 특징으로 하는 박막.
  25. 청구항 20에 있어서, 상기 박막은 500 μΩcm 내지 105 μΩcm의 저항률을 갖는 것을 특징으로 하는 박막.
  26. 청구항 20에 있어서, 상기 박막은 5,000 μΩcm 내지 50,000 μΩcm의 저항률을 갖는 것을 특징으로 하는 박막.
  27. 청구항 20에 있어서, 상기 박막은 10 원자% 보다 많은 불소를 포함하는 것을 특징으로 하는 박막.
  28. 청구항 27에 있어서, 상기 박막은 20 내지 75 원자%의 불소를 포함하는 것을 특징으로 하는 박막.
  29. 청구항 20에 있어서, 상기 박막은 나노적층물 막이 아닌 것을 특징으로 하는 박막.
  30. 청구항 20에 있어서, 상기 박막은 TiF3 및 TiN을 포함하는 것을 특징으로 하는 박막.
  31. 청구항 20에 있어서, 상기 박막은 TiF3를 포함하는 것을 특징으로 하는 박막.
  32. 청구항 31에 있어서, 상기 박막은 0.25 내지 5의 불소 대 티타늄의 비(F/Ti(원자%/원자%))를 갖는 것을 특징으로 하는 박막.
  33. 청구항 20에 있어서, 상기 박막은 두 개의 상이한 물질들을 포함하는 것을 특징으로 하는 박막.
  34. 청구항 33에 있어서, 상기 박막은 티타늄 이외의 금속들이 20 원자%보다 많이 포함되지 않는 것을 특징으로 하는 박막.
  35. 청구항 20에 있어서, 상기 박막은 2.5 원자% 보다 적은 산소를 포함하는 것을 특징으로 하는 박막.
  36. 청구항 20에 있어서, 상기 박막은 원주형의(columnar) 입자 구조를 포함하지 않는 것을 특징으로 하는 박막.
  37. 청구항 20에 있어서, 상기 박막은 2 nm 아래의 RMS(root mean squared) 표면 거칠기를 갖는 것을 특징으로 하는 박막.
KR1020217004586A 2014-04-17 2015-03-31 불소-함유 도전성 막들 KR102472965B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227041693A KR20220165801A (ko) 2014-04-17 2015-03-31 불소-함유 도전성 막들

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/255,799 2014-04-17
US14/255,799 US10643925B2 (en) 2014-04-17 2014-04-17 Fluorine-containing conductive films
PCT/US2015/023492 WO2015160499A1 (en) 2014-04-17 2015-03-31 Fluorine-containing conductive films
KR1020167032051A KR102218668B1 (ko) 2014-04-17 2015-03-31 불소-함유 도전성 막들

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020167032051A Division KR102218668B1 (ko) 2014-04-17 2015-03-31 불소-함유 도전성 막들

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227041693A Division KR20220165801A (ko) 2014-04-17 2015-03-31 불소-함유 도전성 막들

Publications (2)

Publication Number Publication Date
KR20210021408A KR20210021408A (ko) 2021-02-25
KR102472965B1 true KR102472965B1 (ko) 2022-12-01

Family

ID=54322626

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020167032051A KR102218668B1 (ko) 2014-04-17 2015-03-31 불소-함유 도전성 막들
KR1020217004586A KR102472965B1 (ko) 2014-04-17 2015-03-31 불소-함유 도전성 막들
KR1020227041693A KR20220165801A (ko) 2014-04-17 2015-03-31 불소-함유 도전성 막들

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020167032051A KR102218668B1 (ko) 2014-04-17 2015-03-31 불소-함유 도전성 막들

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020227041693A KR20220165801A (ko) 2014-04-17 2015-03-31 불소-함유 도전성 막들

Country Status (6)

Country Link
US (3) US10643925B2 (ko)
JP (1) JP6576362B2 (ko)
KR (3) KR102218668B1 (ko)
CN (2) CN110265295A (ko)
TW (3) TW202305167A (ko)
WO (1) WO2015160499A1 (ko)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US9394609B2 (en) * 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10529563B2 (en) * 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US20200024735A1 (en) * 2018-07-18 2020-01-23 Applied Materials, Inc. Erosion resistant metal fluoride coatings deposited by atomic layer deposition
US11390947B2 (en) * 2019-03-04 2022-07-19 Applied Materials, Inc. Method of forming a fluorinated metal film
WO2020179474A1 (ja) * 2019-03-06 2020-09-10 株式会社Kokusai Electric 半導体装置の製造方法、プログラム及び基板処理装置
TWI807195B (zh) * 2019-06-28 2023-07-01 美商應用材料股份有限公司 用於改良高k可靠度之摻氟氮化物膜
KR20220045037A (ko) * 2019-09-18 2022-04-12 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 방법, 반도체 장치의 제조 방법, 프로그램 및 기판 처리 장치
KR102359908B1 (ko) * 2019-10-08 2022-02-09 주식회사 유진테크 박막 증착장치 및 박막 증착방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101013231B1 (ko) * 2001-09-14 2011-02-10 에이에스엠 인터내셔널 엔.브이. 환원펄스를 이용한 원자층증착에 의한 질화금속증착

Family Cites Families (195)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
US3925337A (en) 1969-07-31 1975-12-09 Air Prod & Chem Post chlorinated vinyl chloride copolymers
US3708728A (en) 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
JPS6037041B2 (ja) 1977-08-08 1985-08-23 エクソン リサ−チ アンド エンヂニアリング コムパニ− 元素の硫黄の製造
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS5833841A (ja) 1981-08-24 1983-02-28 Hitachi Ltd 半導体装置
EP0149044B1 (en) 1983-11-11 1987-05-13 Research Development Corporation of Japan Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
CA2059818C (en) 1991-08-21 1995-01-03 Gokichi Hatouchi Apparatus for storing articles
DE4242292C2 (de) 1991-12-20 2002-06-27 Ntn Toyo Bearing Co Ltd Selbsttätige hydraulische Spannvorrichtung
JPH05335257A (ja) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk p型シリコンカーバイドの形成方法
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
FR2694451B1 (fr) 1992-07-29 1994-09-30 Asulab Sa Cellule photovoltaïque.
JPH0669157A (ja) 1992-08-21 1994-03-11 Sony Corp チタン膜の形成方法
FR2695944B1 (fr) 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
JP3356531B2 (ja) 1994-02-15 2002-12-16 東京エレクトロン株式会社 ボロン含有ポリシリコン膜の形成方法
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
DE69517158T2 (de) 1994-11-30 2001-01-25 Micron Technology Inc Verfahren zum auftragen von wolframnitrid unter verwendung eines silicium enthaltenden gases
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
US5964943A (en) 1994-12-27 1999-10-12 Siemens Aktiengesellschaft Method of producing boron-doped monocrystalline silicon carbide
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
DE19514081A1 (de) 1995-04-13 1996-10-17 Siemens Ag Verfahren zum Herstellen eines elektrischen Kontakts auf einer SiC-Oberfläche
US5744254A (en) 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5595784A (en) 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
JPH0987857A (ja) 1995-09-27 1997-03-31 Res Dev Corp Of Japan プラズマcvdによる炭化物コーティング方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
KR0155918B1 (ko) 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
US6509098B1 (en) 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US5946594A (en) 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5965004A (en) 1996-03-13 1999-10-12 Sterling Pulp Chemicals, Ltd. Chlorine dioxide generation for water treatment
US5789024A (en) 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
JP3353874B2 (ja) 1996-09-24 2002-12-03 シャープ株式会社 半導体装置及びその製造方法
EP0841690B1 (en) 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
DE19733261C2 (de) 1997-08-01 2001-07-19 Dornier Gmbh Lindauer Rotations-Kantendreher mit direkt elektromagnetischem Antrieb für Webmaschinen
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
KR100287174B1 (ko) 1998-03-17 2001-04-16 윤종용 다원자계산화물 및 질화물의박막제조방법
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
DE19832245A1 (de) 1998-07-17 2000-01-20 Heidelberger Druckmasch Ag Verfahren zum Bedienen von Geräten in der Reproduktionstechnik
JP2960928B1 (ja) 1998-07-24 1999-10-12 スタンレー電気株式会社 車両用信号灯具
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
EE200100421A (et) 1999-02-11 2002-12-16 Hardide Limited Volframkarbiidist kattematerjal, seda sisaldav kate ning meetod volframkarbiidi saamiseks ja katte valmistamiseks
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (ko) 1999-03-16 2000-10-16 이경수 산화알루미늄 막의 형성 방법
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
JP5173098B2 (ja) 1999-10-15 2013-03-27 エーエスエム インターナショナル エヌ.ヴェー. ダマシン・メタライゼーションのためのコンフォーマルライニング層
WO2001029280A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Deposition of transition metal carbides
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR20010075977A (ko) 2000-01-21 2001-08-11 윤덕용 부가 단량체 단일선구물질을 이용한 13 족 질화물 박막의제조방법
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
DE10018156A1 (de) 2000-04-12 2001-10-25 Bosch Gmbh Robert Elektromotor
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
EP1282911B1 (en) 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US6194310B1 (en) 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6713177B2 (en) 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
JP4160732B2 (ja) 2001-03-13 2008-10-08 富士フイルム株式会社 ハロゲン化銀写真乳剤
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US6884719B2 (en) 2001-03-20 2005-04-26 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20040206008A1 (en) 2001-07-16 2004-10-21 Chien-Min Sung SiCN compositions and methods
JP4666912B2 (ja) 2001-08-06 2011-04-06 エー・エス・エムジニテックコリア株式会社 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
US20030054628A1 (en) 2001-09-17 2003-03-20 Chartered Semiconductor Manufacturing Ltd. Method of forming a low resistance multi-layered TiN film with superior barrier property using poison mode cycling
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR20030057938A (ko) 2001-12-29 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 실리콘 질화막 제조방법
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US7589029B2 (en) * 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
GB2389230A (en) 2002-05-28 2003-12-03 Univ Nat Taiwan Nanoparticle light emitting device (LED)
KR20030093575A (ko) 2002-06-03 2003-12-11 주식회사 하이닉스반도체 고선택성 질화막을 이용한 캐패시터 제조방법
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
KR20040060402A (ko) 2002-12-30 2004-07-06 주식회사 하이닉스반도체 반도체소자의 콘택 형성방법
KR20030016346A (ko) 2003-01-29 2003-02-26 윤태식 차량 부재 운행 자동 관리 시스템
US7198820B2 (en) 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
KR100494129B1 (ko) 2003-03-06 2005-06-10 주식회사 하이닉스반도체 반도체 소자의 전극 형성방법
KR100511913B1 (ko) 2003-03-06 2005-09-02 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
JP4423914B2 (ja) 2003-05-13 2010-03-03 東京エレクトロン株式会社 処理装置及びその使用方法
KR20040100767A (ko) 2003-05-24 2004-12-02 주식회사 하이닉스반도체 저압 실리콘 질화막 형성 방법
US20040238876A1 (en) 2003-05-29 2004-12-02 Sunpil Youn Semiconductor structure having low resistance and method of manufacturing same
WO2004113585A2 (en) 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
KR100543517B1 (ko) 2003-06-23 2006-01-20 주식회사 포스코 수처리 설비의 원격 전력량 계측 시스템
US7030430B2 (en) 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US7235482B2 (en) * 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
JP4651955B2 (ja) 2004-03-03 2011-03-16 東京エレクトロン株式会社 成膜方法
US7105430B2 (en) 2004-03-26 2006-09-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a notched control electrode and structure thereof
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
FR2873849A1 (fr) * 2004-07-27 2006-02-03 St Microelectronics Sa Procede d'obtention d'un film mince magnetique doux, a forte aimantation et isolant, film et circuit integre correspondants
JP2006079159A (ja) 2004-09-07 2006-03-23 Masui Yoshiharu 防犯装置
KR100714269B1 (ko) 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US7015153B1 (en) 2004-10-20 2006-03-21 Freescale Semiconductor, Inc. Method for forming a layer using a purging gas in a semiconductor process
KR100640550B1 (ko) 2005-01-26 2006-10-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7438949B2 (en) 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US8486845B2 (en) 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
KR100705397B1 (ko) 2005-07-13 2007-04-10 삼성전자주식회사 저 저항의 텅스텐막 형성 방법
GB2472542B (en) 2005-08-12 2011-03-23 Nanoco Technologies Ltd Nanoparticles
US7544596B2 (en) 2005-08-30 2009-06-09 Micron Technology, Inc. Atomic layer deposition of GdScO3 films as gate dielectrics
US20070054046A1 (en) 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
WO2007041089A2 (en) 2005-09-29 2007-04-12 Praxair Technology, Inc. Organometallic compounds and methods of use thereof
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7407876B2 (en) 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
US7985995B2 (en) * 2006-08-03 2011-07-26 Micron Technology, Inc. Zr-substituted BaTiO3 films
US8268409B2 (en) 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
KR100809719B1 (ko) * 2007-01-18 2008-03-06 삼성전자주식회사 폴리실리콘막과 배선금속막을 구비하는 게이트 전극의형성방법
US7598170B2 (en) * 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
KR100956210B1 (ko) * 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US7851307B2 (en) 2007-08-17 2010-12-14 Micron Technology, Inc. Method of forming complex oxide nanodots for a charge trap
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7794798B2 (en) 2007-09-29 2010-09-14 Tel Epion Inc. Method for depositing films using gas cluster ion beam processing
WO2009129332A2 (en) 2008-04-16 2009-10-22 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8054669B2 (en) 2008-08-12 2011-11-08 International Business Machines Corporation Non-volatile programmable optical element employing F-centers
US10513772B2 (en) 2009-10-20 2019-12-24 Asm International N.V. Process for passivating dielectric films
US9843041B2 (en) * 2009-11-11 2017-12-12 Zenlabs Energy, Inc. Coated positive electrode materials for lithium ion batteries
KR101211043B1 (ko) * 2010-04-05 2012-12-12 에스케이하이닉스 주식회사 매립게이트를 구비한 반도체 장치 제조방법
WO2013043501A1 (en) 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-aluminum alloy films from metal amidinate precursors and aluminum precursors
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
CN103160802B (zh) * 2011-12-15 2015-07-08 中国科学院微电子研究所 掺氮二氧化钛薄膜的制备方法
KR101400593B1 (ko) * 2012-12-06 2014-05-27 삼성정밀화학 주식회사 양극 활물질, 이의 제조방법 및 이를 포함하는 리튬 이차 전지
US9412602B2 (en) * 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
JP6069157B2 (ja) 2013-09-30 2017-02-01 Necパーソナルコンピュータ株式会社 情報処理装置、制御方法、及びプログラム
CN105659201B (zh) 2013-10-18 2019-12-03 三菱电机株式会社 字符串显示装置
JP6922332B2 (ja) 2017-03-29 2021-08-18 大日本印刷株式会社 化粧シート

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101013231B1 (ko) * 2001-09-14 2011-02-10 에이에스엠 인터내셔널 엔.브이. 환원펄스를 이용한 원자층증착에 의한 질화금속증착

Also Published As

Publication number Publication date
TW202115274A (zh) 2021-04-16
US11823976B2 (en) 2023-11-21
CN106471154A (zh) 2017-03-01
KR20220165801A (ko) 2022-12-15
CN106471154B (zh) 2019-06-28
CN110265295A (zh) 2019-09-20
US20150303101A1 (en) 2015-10-22
KR20160145165A (ko) 2016-12-19
TWI716346B (zh) 2021-01-21
TW202305167A (zh) 2023-02-01
KR20210021408A (ko) 2021-02-25
JP2017521549A (ja) 2017-08-03
US10643925B2 (en) 2020-05-05
US11450591B2 (en) 2022-09-20
TWI780541B (zh) 2022-10-11
WO2015160499A1 (en) 2015-10-22
US20230085443A1 (en) 2023-03-16
JP6576362B2 (ja) 2019-09-18
US20200235037A1 (en) 2020-07-23
TW201542856A (zh) 2015-11-16
KR102218668B1 (ko) 2021-02-23

Similar Documents

Publication Publication Date Title
KR102472965B1 (ko) 불소-함유 도전성 막들
US10074541B2 (en) Deposition of smooth metal nitride films
US11362222B2 (en) Photoactive devices and materials
US20210351031A1 (en) Selective deposition using hydrophobic precursors
TWI730987B (zh) NbMC層
JP5739574B2 (ja) 誘電体膜をパッシベーションする方法
US10458018B2 (en) Structures including metal carbide material, devices including the structures, and methods of forming same
US7611751B2 (en) Vapor deposition of metal carbide films
WO2021041442A1 (en) Group vi metal deposition process

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant