TW201542856A - 原子層沈積方法及氟化物薄膜 - Google Patents

原子層沈積方法及氟化物薄膜 Download PDF

Info

Publication number
TW201542856A
TW201542856A TW104111853A TW104111853A TW201542856A TW 201542856 A TW201542856 A TW 201542856A TW 104111853 A TW104111853 A TW 104111853A TW 104111853 A TW104111853 A TW 104111853A TW 201542856 A TW201542856 A TW 201542856A
Authority
TW
Taiwan
Prior art keywords
cycle
film
sub
layer deposition
atomic
Prior art date
Application number
TW104111853A
Other languages
English (en)
Other versions
TWI716346B (zh
Inventor
Tom E Blomberg
Linda Lindroos
Hannu Huotari
Original Assignee
Asm Ip Holding Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Ip Holding Bv filed Critical Asm Ip Holding Bv
Publication of TW201542856A publication Critical patent/TW201542856A/zh
Application granted granted Critical
Publication of TWI716346B publication Critical patent/TWI716346B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

用於在基板上沈積含氟薄膜之原子層沈積(ALD)方法可包含多個超循環。各超循環可包含金屬氟化物子循環及還原子循環。所述金屬氟化物子循環可包含使所述基板與金屬氟化物接觸。所述還原子循環可包含交替且依序使所述基板與還原劑及氮反應物接觸。

Description

含氟導電膜
本申請案大體上是關於半導體裝置製造之領域且更特定言之,關於形成含氟化物導電薄膜之方法。
原子層沈積(Atomic layer deposition,ALD)基於依序、自飽和表面反應,不論待塗佈之結構之幾何形狀,其可提供良好保形性及步階覆蓋。然而,藉由ALD沈積金屬膜具挑戰性,部分原因為ALD基本上是基於熱力學上有利之半反應。
耐火金屬導電層在微電子及奈米電子中為基本構建塊。在多種情況下需要抗氧化金屬薄膜。舉例而言,氮化鈦層通常例如作為閘電極材料或作為銅擴散障壁用於半導體製造產業。然而,已知當在空氣中儲存時,氮化鈦自表面氧化,可能通過晶界氧化多至數十奈米之深度。
在一個態樣中,提供用於沈積導電含氟薄膜之原子層沈積(ALD)方法。在一些實施例中,ALD方法可包括多個超循環, 其中至少一個超循環包括兩個子循環:金屬氟化物子循環及第二子循環。在一些實施例中,金屬氟化物子循環包括使基板與金屬氟化物接觸,且第二子循環包括交替且依序使基板與矽烷或硼烷及氮反應物接觸。在一些實施例中,第二子循環稱為還原子循環且基板與還原劑及氮反應物接觸。
根據一些實施例,金屬氟化物包括由Ti、Ta、Nb、Mo以及W中選出之金屬。在一些實施例中,金屬氟化物包括TiF4。在一些實施例中,還原劑為矽烷或硼烷。在一些實施例中,還原劑包括二矽烷或三矽烷。在一些實施例中,還原劑包括二硼烷或三硼烷。在一些實施例中,氮反應物是由以下所構成的族群中選出:氨、N2H4、氮原子、含氮電漿以及氮自由基。在一些實施例中,金屬氟化物為TiF4且還原劑為Si3H8。在一些實施例中,金屬氟化物子循環及還原子循環在多個超循環中之至少一者中以至少約0.1之比率進行。在一些實施例中,含氟薄膜包括TiF3
根據形成含氟薄膜之方法之一些實施例,含氟薄膜包括約0.4原子%至約2.3原子%矽。在一些實施例中,含氟薄膜包括約5原子%至約40原子%氮。在一些實施例中,含氟薄膜具導電性。在一些實施例中,含氟薄膜之層電阻率小於約106微歐姆公分。在一些實施例中,含氟薄膜在低於約300℃下不被空氣環境氧化。
根據一些實施例,本文中揭露一種包括TiF3之導電氟化物薄膜。在一些實施例中,薄膜包括約5原子%至約40原子%氮。在一些實施例中,薄膜包括約0.4原子%至約2.3原子%矽。在一些實施例中,薄膜之厚度小於約100奈米。在一些實施例中,薄 膜之厚度小於約10奈米。
根據一些實施例,本文中揭露一種用於在基板上沈積含氟薄膜之ALD方法,所述方法包括多個超循環,各超循環包括金屬氟化物子循環及第二子循環。在一些實施例中,金屬氟化物子循環包括使基板與金屬氟化物接觸。在一些實施例中,第二子循環包括使基板與氮反應物接觸。在一些實施例中,在金屬氟化物子循環及第二子循環中之至少一者中單獨提供矽烷化合物及硼烷化合物中之至少一者。
在ALD方法之一些實施例中,在金屬氟化物子循環中提供矽烷化合物及硼烷化合物中之至少一者。在一些實施例中,在第二子循環中提供矽烷化合物及硼烷化合物中之至少一者。在一些實施例中,藉由所述方法獲得之含氟薄膜之厚度小於約100奈米。在一些實施例中,矽烷化合物、硼烷化合物以及氮反應物中之至少一者還原金屬氟化物中之至少一些金屬。在一些實施例中,藉由所述方法獲得之含氟薄膜包括TiF3。在一些實施例中,藉由所述方法獲得之含氟薄膜展示小於約106微歐姆公分之層電阻率。在一些實施例中,藉由所述方法獲得之含氟薄膜在低於約300℃之溫度下實質上不展示氧化。
100‧‧‧ALD超循環
200‧‧‧第一TiF4沈積子循環
210、220、310、320、330、340‧‧‧反應腔室
250、350‧‧‧脈衝及淨化步驟
300‧‧‧第二還原子循環
自實施方式及自附圖將更好地理解本發明,實施方式及附圖意欲說明而非限制本發明,且其中:
圖1為說明根據一個實施例之用於沈積TiF3/TiN膜之ALD方法的流程圖。
圖2顯示根據本發明之一個實施例形成之膜之XRD圖。
圖3為根據本發明之一個實施例形成之膜之氧化行為的分析。
圖4為根據本發明之一個實施例形成之膜之氧化行為的另一分析。
圖5A至圖5C顯示在TiN(圖5A)、SiO2(圖5B)以及HfO2(圖5C)表面上沈積純ALD-W膜之100次循環的XRD圖。
圖6A及圖6B顯示使用不同TiN/W循環比率沈積之TixWyNz膜之XRD圖。
圖7顯示用各種比率之TiN與W沈積循環沈積之WxNy及TixWyNz層以及純W及TiN之形態之比較。
圖8顯示在3D溝槽結構中沈積之W0.9N0.1(TiN/W循環比率=1)膜之SEM影像。晶粒尺寸太小而不能用SEM偵測。膜之保形性及步階覆蓋似乎極好。
圖9A及圖9B顯示在氮氣氛圍中Ti0.26W0.33N0.41(20:1 TiN/W循環比率)膜之加熱階段XRD圖。未見晶粒隨著加熱至875℃而粗化之跡象。圖9B顯示膜與具有類似厚度之純TiN膜之比較。
如本文中所論述,含氟導電薄膜可藉由氣相沈積方法,例如藉由原子層沈積法(ALD)來沈積。所述膜可合乎需要地具抗氧化性。氟化鈦(TiF3)為可用於多種情況(例如用作催化劑)之穩定固體氟化物;然而,先前未實現藉由氣相沈積方法沈積氟化鈦膜。
一些金屬薄膜中氟之存在增強抗氧化性。金屬氮化物(諸如氮化鈦)通常例如作為障壁膜用於半導體產業。然而,如上文所論述,氮化鈦膜可經受非所需之氧化。本申請案部分基於包括金屬氟化物之導電薄膜(諸如包括氟化鈦之導電薄膜)可藉由ALD沈積之出人意料的發現。在一些實施例中,與TiN膜(諸如藉由已知氣相沈積方法(例如藉由ALD)沈積之TiN膜)相比,含氟化鈦膜具有較大抗氧化性。
導電含氟膜可用於多種情況。舉例而言,導電氟化物膜,或包括金屬氟化物之導電膜,諸如包括TiF3之導電薄膜可在TiN層或其他金屬膜上用作氧氣障壁膜。在一些實施例中,根據本發明形成之導電含氟膜將適用作抗灰化或其他氧化條件之障壁膜。在一些實施例中,根據本發明形成之導電含氟膜可用作抵抗包括氧氣之周圍環境(諸如周圍空氣及/或水或水分)之保護層。在一些實施例中,本發明之導電含氟膜適用作犧牲層,諸如用於圖案化層中或用於需要良好抗氧化性之其他應用中。在一些實施例中,導電氟化物薄膜保形地沈積於垂直表面及水平表面上。在一些實施例中,包括金屬氟化物之導電膜可在閘極堆疊上(例如高k層(諸如HfO2)頂部上及實際閘電極層或導電閘極介電障壁層下)用作p型罩蓋層。在一些實施例中,當包括金屬氟化物之導電膜用作p型罩蓋層時,堆疊中電極之有效功函數為大於約4.9eV,較佳在約5.0eV與約5.2eV之間。
在一些實施例中,導電含氟膜不包括以下材料中之一或多種:MgF2、CaF2、ZnF2、SrF2、YF3或LaF3。在一些實施例中,導電含氟膜不包括以下材料中之一或多種:AlF3或LiF。在一些實 施例中,導電含氟膜不包括以下材料中之一或多種:鹼金屬氟化物(元素週期表中之第1族),諸如KF,或鹼土(元素週期表中之第2族)金屬氟化物,諸如MgF2或CaF2。在一些實施例中,導電含氟膜不包括以下材料中之一或多種:第3族金屬氟化物,諸如YF3或LaF3。在一些實施例中,導電氟化物膜不包括大於約20原子%,較佳大於約10原子%,更佳大於約5原子%,且最佳大於約1原子%之以下金屬中之一或多種:鹼金屬、鹼土金屬以及第3族金屬。在一些實施例中,導電含氟膜不包括大於約20原子%,較佳大於約10原子%,更佳大於約5原子%,且最佳大於約1原子%之以下金屬中之一或多種:Mg、Ca、Zn、Sr、Y或La。在一些實施例中,導電含氟膜不包括大於約20原子%,較佳大於約10原子%,更佳大於約5原子%,且最佳大於約1原子%之不同於以下金屬中之一或多種的金屬:Ti、Zr、Hf、V、Nb、Ta、Cr、Mo或W,且較佳不同於以下金屬中之一或多種的金屬:Ti、Nb、Ta、Mo以及W。
在一些實施例中,提供ALD方法以用於在基板上沈積包括金屬氟化物之導電膜。在一些實施例中,所述方法可包括第一子循環,其中基板暴露於氣相金屬氟化物,諸如TiF4,且金屬氟化物之單層吸附於基板表面上。在第二子循環中,交替且依序提供氣相矽烷或硼烷化合物,或其他「還原劑」,及氣相氮反應物。還原劑及氮反應物與基板表面上之金屬氟化物反應形成包括金屬氟化物之導電膜。在一些實施例中,第一子循環可包含氣相金屬氟化物,諸如TiF4,及還原劑,諸如矽烷或硼烷。在一些實施例中,第二循環不包含矽烷或硼烷。因此在一些實施例中,第一循 環包括氣相金屬氟化物及矽烷或硼烷,且第二循環包括氣相氮反應物。儘管使用術語「還原劑」,在一些實施例中不需要化學還原。因此,在一些實施例中術語「還原劑」簡單地表示矽烷化合物或硼烷化合物。然而,不受任何理論束縛,咸信在一些實施例中,如本文中所述之還原劑可能還原表面上之金屬物質之氧化狀態。
在一些實施例中金屬可由例如Ti、Ta、Nb、Mo以及W中選出。還原劑可為例如矽烷或硼烷化合物。氮反應物可為例如NH3。在使用氮反應物之一些實施例中,氮反應物可對基板表面上之金屬物質之氧化狀態展示至少一些還原作用。
第一子循環及第二子循環共同形成ALD超循環。在各超循環中,第一子循環及第二子循環可獨立地重複一或多次。另外,超循環可重複一或多次以將包括金屬氟化物之導電膜沈積至所需厚度。第一子循環及第二子循環可以任何順序進行。舉例而言,在一些實施例中可首先進行第二子循環。此外,各子循環中反應物之順序可變化。舉例而言,在一些實施例中,在可首先或其次進行之還原子循環中,第二氮反應物在矽烷化合物或硼烷化合物之前脈衝或反之亦然。
在一或多個超循環內第一子循環與第二子循環之比率可變化以沈積具有所需組成及/或所需特性之膜。在一些實施例中,ALD方法之各超循環中第一子循環與第二子循環之比率相同。在一些實施例中,在沈積方法期間在一或多個超循環中第一子循環與第二子循環之比率可變化。
在一些實施例中,形成包括金屬氟化物之導電薄膜,其包括來自還原化合物之一些矽或硼及/或來自氮反應物之一些氮。 舉例而言,在一些實施例中,所沈積之包括TiF3之導電薄膜含有一些Si及一些N。
為簡單起見且因為氫難以精確定量分析,本文中所提供之所有原子百分比(亦即原子%)值排除氫。
在一些實施例中,使用矽烷作為還原劑且包括金屬氟化物之導電膜亦包括少量矽。舉例而言,在一些實施例中矽含量可小於約15原子%。在一些實施例中,矽含量可為約0.01原子%至約10原子%,約0.1原子%至約5原子%,或約0.1原子%至約2原子%。在一些實施例中,包括金屬氟化物之導電膜中的矽含量較佳為小於約1.5原子%。
在一些實施例中,使用硼烷作為還原劑且包括金屬氟化物之導電膜亦包括少量硼。舉例而言,在一些實施例中,硼含量可小於約15原子%。在一些實施例中,硼含量為約0.01原子%至約10原子%,約0.1原子%至約5原子%,或約0.1原子%至約2原子%。在一些實施例中,硼含量小於約1.5原子%。
在一些實施例中,膜包括少量氮。舉例而言,在一些實施例中,氮含量可在約0.5原子%至約50原子%,約1原子%至約20原子%,或約2原子%至約15原子%範圍內。
在一些實施例中,膜包括大於約10原子%,約20原子%至約75原子%,約40原子%至約70原子%,或約45原子%至約65原子%之量的氟。
在一些實施例中,膜中之氟與鈦比率(F/Ti(原子%/原子%))為約0.25至約5,約0.5至約3,或約1至約2.5。
在一些實施例中,儘管事實上膜抗氧化,但膜可包括少 量氧。舉例而言,在一些實施例中,氧含量為小於約2.5原子%,小於約1.5原子%,小於約1.0原子%,或甚至小於約0.5原子%。
在一些實施例中,包括金屬氟化物且藉由如本文所述之ALD方法沈積之導電膜具有比藉由已知氣相沈積方法(諸如藉由ALD)沈積之對應金屬氮化物膜大的抗氧化性。
在一些實施例中,金屬氟化物膜具有良好光滑度,其可減少或防止下伏膜(例如包括TiF3之層下方之TiN膜)之氧化。在一些實施例中,包括金屬氟化物之膜中之氧擴散或氧化不如下伏膜(諸如下伏TiN層)那樣深。
在一些實施例中,包括TiF3之導電薄膜藉由ALD方法沈積,所述ALD方法包括以自我限制方式在基板表面上吸附TiF4之第一子循環及將TiF4還原成TiF3之第二子循環。舉例而言,可在第一子循環中提供TiF4,直至在基板表面上形成TiF4單層。第一子循環可重複兩次或多於兩次。在一些實施例中,在各別第一子循環之間包含淨化步驟。在第二子循環中,基板交替且依序暴露於還原劑,諸如矽烷化合物或硼烷化合物,及氮反應物,諸如氨。第二子循環用以將基板表面上之至少一部分TiF4還原成TiF3。在一些實施例中,所形成之膜包括TiF3,及相對較少量之矽或硼及氮。在一些實施例中,所形成之膜包括TiF3與一些氮之混合物。在一些實施例中,膜為TiF3與TiN之混合物。
第一子循環及第二子循環中之每一者可在超循環中重複一或多次。重複超循環直至獲得具有所需厚度之膜。藉由調整一或多個超循環中之兩個子循環之比率,可增加TF3之量而不引入非所需量之矽或氮。特定言之,在一些實施例中,相對於第一 子循環增加其中基板交替且依序與還原劑及氮反應物接觸之第二子循環之數目增加轉化成TiF3之TiF4之量。
在一些實施例中,還原(第二)子循環可使用矽化合物;然而,可使用其他化合物。在一些實施例中,矽化合物為矽烷化合物,諸如SiH4、Si2H6或Si3H8。在一些實施例中,可在至少一個還原子循環中使用硼化合物。舉例而言,在一些實施例中,還原劑可為硼烷化合物,諸如BH3、B2H6或三硼烷中之一或多者。應瞭解亦可使用其他還原劑。在一些實施例中,各子循環中使用相同還原劑,而在其他實施例中,一或多個子循環中可使用不同還原劑。
在一些實施例中,氮反應物可包括以下中之一或多者:NH3、氮原子、氮自由基、氮電漿、可例如由電漿產生之包括氮之其他受激物質,或其他適合含氮化合物。
在一些實施例中,沈積包括TiF3之導電薄膜,所述導電薄膜之抗氧化性大於膜中未併入氟之藉由已知氣相沈積方法沈積之TiN膜,諸如藉由ALD沈積之TiN膜。
在一些實施例中,沈積光滑且不具有柱狀晶粒結構之包括氟之導電薄膜,諸如包括至少一些氮之金屬氟化物薄膜。在一些實施例中,例如與一般ALD TiN膜相比,所述膜之晶粒結構或形態不具有許多或過多實質晶界,其亦傾向於抑制膜之氧化。在一些實施例中,包括氟之導電薄膜之晶界比藉由ALD沈積之TiN膜少。
在一些實施例中,沈積包括TiF3具有約500奈米或少於500奈米厚度之導電薄膜。在一些實施例中,薄膜之厚度為小於約 100奈米,小於約50奈米,或小於約10奈米。在一些實施例中,可根據使用膜之應用而選擇厚度。舉例而言,在膜充當p型罩蓋層或防氧化層之情況下,膜之厚度可比上文所述之厚度小得多,例如為約2埃至約50埃,約3埃至約30埃,且在一些情況下約5埃至約20埃。
在一些實施例中,包括氟之導電薄膜,諸如包括至少一些氮之金屬氟化物薄膜不為奈米層壓物且金屬氟化物及金屬氮化物之單獨層不可見。在一些實施例中,在超循環中進行少於約60個或少於約40個連續金屬氟化物沈積子循環(metal fluoride,MF)。在一些實施例中,在超循環中進行少於約10個或少於約5個連續還原子循環。
舉例而言,在一些實施例中,包括TiF3之導電薄膜不為奈米層壓膜或其中可觀察到氟化鈦及氮化鈦之不同及單獨層之膜。
雖然主要在形成包括TiF3之導電薄膜之情況下說明,但其他金屬氟化物膜或含有至少一些氟之膜可使用包括至少一個使用金屬氟化物反應物之子循環之ALD超循環沈積。舉例而言,在一些實施例中,包括兩種不同金屬及氟之金屬氮化物膜可藉由包括以下之ALD方法沈積:第一子循環,其中基板交替且依序與第一金屬反應物及第一氮反應物接觸,及第二子循環,其中基板交替且依序與金屬氟化物及還原劑(諸如矽烷或硼烷)接觸。例示性方法描述於例如美國申請案第13/802,157號中,其以全文引用的方式併入本文中。
在一些實施例中,提供用於沈積M1 xM2 yNz膜之方法, 其中M1及M2為不同金屬,且可由例如Ti、Ta、Nb、Mo以及W中選出。在一些實施例中,所述方法包括第一ALD子循環,其中第一金屬氮化物藉由交替且依序使基板與金屬前驅體(諸如金屬鹵化物)及氮反應物(諸如NH3)接觸來沈積;及第二ALD子循環,其中元素金屬藉由交替且依序使基板與第二不同金屬氟化物反應物及第二反應物(諸如Si2H6)接觸來沈積。兩個子循環共同形成可視需要重複許多次以獲得用於特定應用之具有適當厚度之膜的超循環。在各超循環內,可調整金屬氮化物與金屬子循環之比率以獲得所需膜組成及特性。在一些實施例中,M1 xM2 yNz膜之表面包括約0.5原子%至約10原子%氟。在一些實施例中,整個M1 xM2 yNz膜包括約0.1原子%至約5原子%,較佳約0.3原子%至約4原子%氟。
在一些實施例中,形成TixWyNz膜之方法包括第一ALD子循環,其中氮化鈦藉由交替且依序使基板與鈦前驅體(諸如TiCl4)及氮反應物(諸如NH3)接觸來沈積;及第二ALD子循環,其中鎢藉由交替且依序使基板與氟化鎢反應物(諸如WF6)及第二還原反應物(諸如Si2H6)接觸來沈積。氮及還原反應物可如本文中他處所描述。兩個子循環共同形成可視需要重複許多次以獲得用於特定應用之具有適當厚度之含氟薄膜的超循環。在各超循環內,可調整TiN與W子循環之比率以獲得所需膜組成及特性。
本文所述之ALD方法可用於沈積包括金屬氟化物之膜,其可稱為MF膜,諸如包括氟化鈦之膜。化學計量,及因此M及F之相對量可變化。舉例而言,在包括氟化鈦之膜中Ti與F之相對量可變化。另外,如上文所論述,在一些實施例中膜可包 括兩種不同金屬。膜中各元素之量可例如藉由控制沈積方法中之子循環之比率來控制。
舉例而言,在形成包括TiF3之導電膜之一些實施例中,相對於氟化鈦子循環增加還原子循環之數目可降低膜中之TiF4之量同時增加膜中之TiF3之量。在一些實施例中,氟化鈦與還原子循環比率小於或等於約1且可產生氮含量小於約10原子%之TiF3膜。隨著氟化鈦與還原子循環比率增加,一般在膜中之氟化物之量增加且相對TiF3含量增加且氮含量亦可降低。不受任何理論束縛,咸信在某些情況下可形成固溶體。在一些實施例中,此可引起稱為固溶體強化之現象。
原子層沈積(ALD)
ALD類型方法基於前驅體化學品之受控自我限制表面反應。藉由將前驅體交替且依序饋至反應腔室中來避免氣相反應。例如藉由在反應物脈衝之間自反應腔室移除過量反應物及/或反應物副產物使氣相反應物在反應腔室中彼此分離。
簡言之,將基板載入反應腔室中且一般在減壓下加熱至適合之沈積溫度。在一些實施例中,基板包括300毫米矽晶圓。在一些實施例中,基板包括450毫米晶圓。維持沈積溫度低於前驅體熱分解溫度,但程度夠高足以避免反應物冷凝且為所需表面反應提供活化能。當然,適用於任何給定ALD反應之溫度窗將視所涉及之表面封端及反應物物質而定。
以氣相脈衝形式將第一反應物引導或脈衝至腔室中且使其與基板表面接觸。較佳選擇使得前驅體之不超過約一個單層以自我限制方式吸附於基板表面上的條件。過量第一反應物及反 應副產物(若存在)通常用惰性氣體(諸如氮氣或氬氣)之脈衝自反應腔室淨化。
淨化反應腔室意謂諸如藉由用真空泵抽空腔室及/或用惰性氣體(諸如氬氣或氮氣)置換反應器內之氣體來自反應腔室移除氣相前驅體及/或氣相副產物。典型淨化時間為約0.05秒至20秒,更佳在約1秒與10秒之間,且再更佳在約1秒與2秒之間。然而,必要時可使用其他淨化時間,諸如需要在極高縱橫比結構或具有複雜表面形態之其他結構上沈積層時。所屬領域之技術人員可基於特定情況容易地確定適當脈衝時間。
第二氣態反應物脈衝至其與結合至表面之第一反應物反應之腔室中。表面反應之過量第二反應物及氣態副產物較佳藉助於惰性氣體淨化出反應腔室。重複脈衝及淨化之步驟直至在基板上形成所需厚度之薄膜,其中各循環得到不超過一個分子單層。在形成金屬氟化物膜(諸如TiF3膜)時,各ALD超循環中之兩個子循環重複一或多次。
亦可供應有助於沈積方法之其他反應物。所述反應物可在其自身脈衝中或與前驅體脈衝一起提供,且可用於例如提供所需表面封端,或去除或吸收所黏附之配位體及/或自由副產物。在一些實施例中,其他反應物未對生長膜產生任何物質。
所述方法中使用之前驅體可在標準條件(室溫及大氣壓)下為固體、液體或氣態物質,其限制條件為其在引入反應腔室中且與基板表面接觸之前呈氣相形式。
如上所述,各循環或子循環之各脈衝或相較佳為自我限制。在各相中供應過量反應物前驅體以使易受影響之結構表面飽 和。表面飽和確保反應物佔據所有可利用之反應位點(例如,受物理尺寸或「位阻(steric hindrance)」限制),且因此提供極佳步階覆蓋。在一些配置中,可調整自我限制行為之程度,例如允許反應物脈衝之一些重疊以權衡沈積速度(藉由允許一些CVD型反應)與保形性。反應物在時間及空間上很好分離之理想ALD條件提供幾乎完美的自我限制行為及因此最大保形性,但位阻導致每個循環少於一個分子層。與自我限制ALD反應混合之有限CVD反應可提高沈積速度。
將汽化反應物「脈衝」至基板上意謂將蒸汽引入腔室中持續有限時段。脈衝時間通常為約0.05秒至約10秒。然而,視基板類型及其表面積而定,脈衝時間可甚至高於約10秒。
舉例而言,對於單一晶圓ALD反應器中之300毫米晶圓,前驅體通常脈衝約0.05秒至約10秒、更佳約0.1秒至約5秒且最佳約0.3秒至約3.0秒。然而,在一些情況下,脈衝時間可為約數分鐘。所屬領域之技術人員可基於特定情況容易地確定最佳脈衝時間。
金屬前驅體之質量流動速率可由所屬領域之技術人員確定。在一些實施例中,例如對於在300毫米晶圓上沈積而言,反應物之流動速率較佳(但不限於)約1sccm至約1000sccm,約10sccm至約800sccm,或約50sccm至約500sccm。
可分別選擇反應物中之每一者之脈衝時間及質量流動速率。在一些實施例中,反應物中之兩者或多於兩者之脈衝時間(及/或質量流動速率)相同,而在一些實施例中,脈衝時間(或質量流動速率)不同。
反應腔室中之壓力通常為約0.01mbar至約20mbar,更佳約1mbar至約10mbar。然而,在一些情況下,如所屬領域之技術人員可根據多種參數(諸如所用特定反應器、方法以及前驅體)容易地確定,壓力將高於或低於此範圍。
在開始沈積膜之前,基板可加熱至適合生長溫度,如上文所論述。較佳沈積溫度可根據多個因素而變化,諸如(但不限於)反應物前驅體、壓力、流動速率、反應器配置以及包含基板上所沈積材料之性質的基板組成。所屬領域之技術人員可基於特定情況選擇特定生長溫度。
在一些實施例中,沈積溫度為約100℃至約700℃,約200℃至約500℃,約250℃至約400℃,或約325℃至約375℃。
處理時間部分視將產生之層厚度、膜組成、個別沈積子循環之生長速率以及總體生長速率而定。
可使用之適合反應器之實例包含市售ALD設備,諸如F-120®反應器、Pulsar®反應器以及Advance® 400系列反應器,其可購自亞利桑那州菲尼克斯之ASM美國公司(ASM America,Inc of Phoenix,Arizona)及荷蘭阿爾梅勒之ASM歐洲公司(ASM Europe B.V.,Almere,Netherlands)。除這些ALD反應器以外,可使用能夠進行薄膜之ALD生長的許多其他種類反應器,包含裝備有用於脈衝前驅體之適當設備及構件的CVD反應器。在一些實施例中,使用流動型ALD反應器。
在一些實施例中,反應器為能夠容納大於約50個基板,大於約100個基板,或大於約125個基板之分批反應器。在一些實施例中,反應器為小型分批反應器且具有2個至約20個基板, 3個至約15個基板,或4個至約10個基板。在一些實施例中,基板為矽晶圓,諸如具有至少約150毫米之直徑之矽晶圓。在一些實施例中,基板為具有至少約200毫米或至少約300毫米之直徑之矽晶圓。在一些實施例中,基板可為具有至少約450毫米之直徑之矽晶圓。
本文所述之用於沈積包括金屬氟化物之導電膜的ALD方法可視需要在與叢集工具連接之反應器或反應空間中進行。在叢集工具中,由於各反應空間專用於一種方法,因此各模組中反應空間之溫度可保持恆定,與在各操作之前將基板加熱至方法溫度之反應器相比,其提高產出率。
獨立反應器可配備有負載鎖定。在彼情況下,各操作之間不必冷卻反應空間。
沈積包括金屬氟化物之導電膜
如上文所提到及下文詳細論述,包括金屬氟化物之導電膜可使用金屬氟化物沈積子循環及還原子循環沈積。在一些實施例中,金屬可由Ti、Ta、Nb、Mo以及W中選出。兩個子循環可在超循環中以所需比率重複以形成光滑及/或奈米晶膜。在一些實施例中,導電薄膜(諸如包括金屬氟化物之薄膜)不具有柱狀晶粒結構。
在一些實施例中,沈積方法為ALD方法。在一些實施例中,沈積方法為依序或循環方法,諸如使用與ALD方法相同之前驅體及條件選擇之依序或脈衝CVD方法。在一些實施例中,沈積方法為PECVD方法。在一些實施例中,沈積方法為LPCVD/RTCDV方法。在一些實施例中,沈積方法具有不自我限 制之步驟。在一些實施例中,所述方法可在接近CVD條件之方法條件方案中或在一些情況下,完全在CVD條件中操作。
在一些實施例中,包括金屬氟化物之導電薄膜藉由可包括多個超循環之方法沈積,其中各超循環包括至少一個金屬氟化物(metal fluoride,MF)子循環及至少一個還原子循環。各超循環中MF子循環與還原子循環之比率可變化以獲得所需組成,且可選擇超循環之數目以沈積具有所需厚度之含氟膜。在一些實施例中,限制超循環中連續進行之各子循環之數目以形成均質導電薄膜(諸如包括金屬氟化物之導電膜),其中MF及MN之不同層例如在橫截面TEM或SEM影像中不可見。
超循環可寫為:a[b(MF)+c(還原劑+氮化合物)],其中MF表示MxFy子循環且b為各超循環中MF子循環之數目;(還原劑+氮化合物)表示還原子循環且c為各超循環中還原子循環之數目;以及a為超循環之數目。金屬氟化物與還原子循環之比率可以b:c之形式給出。
可以所選比率提供第一沈積子循環及第二沈積子循環(b及c)以沈積具有所需組成及所需特性之薄膜。舉例而言,在一些實施例中,一或多個超循環中第一金屬氟化物沈積子循環與第二還原子循環之比率(b:c)可為約0.01至約100,約0.05至約50或約0.1至約1。在一些實施例中,一或多個超循環中金屬氟化物吸附子循環與還原子循環之比率小於1。在一些實施例中,一或多個超循環中金屬氟化物吸附子循環與還原子循環之比率在約1與約3之間。在一些實施例中,一或多個超循環中金屬氟化物吸附子循環與還原子循環之比率在約1與約50之間,在約3與約30 之間或在約5與約20之間。在一些實施例中,一或多個超循環中金屬氟化物吸附子循環與還原子循環之比率為約0.5、約1、約3、約5、約10、約20、約40或約50。
在一些實施例中,在所述方法中進行之所有完全超循環中第一金屬氟化物吸附子循環與第二還原子循環之比率(b:c)相同。在其他實施例中,第一金屬氟化物吸附子循環與第二還原子循環之特定比率在不同完全超循環中可變化。特定比率可由所屬領域之技術人員選擇以在膜中提供所需量之金屬、氟化物以及氮且因此獲得具有所需特性之膜。
儘管稱為第一金屬氟化物吸附子循環及第二還原子循環,但在一些實施例中,一或多個超循環始於還原子循環,隨後(在重複所需次數之後)為金屬氟化物吸附子循環(其亦可重複所需次數,隨後開始另一超循環)。
在一些實施例中,超循環可寫為:a[b(MF+還原劑)+c(氮反應物)],其中b為各超循環中包含還原劑之MF子循環之數目;c為各超循環中氮反應物子循環之數目;以及a為超循環之數目。金屬氟化物與氮子循環之比率可以b:c之形式給出。
在一些實施例中,金屬或M包括Ti、Ta、Nb、Mo或W。
在一些實施例中,還原劑包括矽烷或硼烷。在一些實施例中,還原劑為矽烷、二矽烷或三矽烷。在一些實施例中,還原劑為硼烷、二硼烷或三硼烷。如上文所提及,儘管稱為「還原劑」,但在一些實施例中不需要進行實際化學還原。類似地,在一些實施例中,還原不必在「還原子循環」中進行。
在一些實施例中,氮前驅體可由以下所構成的族群中選出:氨、N2H4、氮原子、含氮電漿或氮自由基或在電漿中產生之其他物質。
在一些實施例中,熱ALD方法用於沈積導電氟化物膜且N-前驅體為氨或N2H4。在一些實施例中,使用電漿ALD方法且用於沈積導電含氟化物膜之N-前驅體包括氮原子、含氮電漿或氮自由基。
下文提供特定方法條件及參數以用於沈積包括TiF3之例示性導電薄膜及含氟TiWN膜,然而相對於這些方法描述之方法條件可應用於沈積包括氟化物之其他導電膜。
在一些實施例中,第一沈積子循環及第二沈積子循環在相同反應溫度下進行。在一些實施例中,金屬氟化物子循環及還原子循環中之一者或兩者之沈積溫度為約100℃至約700℃,約200℃至約500℃,約250℃至約400℃,或約325℃至約375℃。在一些實施例中,TiF4子循環及還原子循環均在約350℃下進行。
在一些實施例中,選擇金屬氟化物子循環與還原子循環之比率以沈積在極薄厚度(諸如小於約3奈米)下封閉之膜(其中封閉意謂如例如藉由LEIS所測定,在最外表面不再偵測到下伏基板之原子)。在一些實施例中,選擇子循環之比率以使得膜在極薄厚度(諸如小於約3奈米,小於約2奈米,小於約1.5奈米或甚至小於約1.0奈米)下為電連續的,亦即導電。在一些實施例中,選擇子循環之比率以使得在連續基質中、在極薄厚度(諸如小於約3奈米,小於約2奈米,小於約1.5奈米或甚至小於約1.0奈米)下,膜作為層為連續的,但可含有一些不連續特徵,諸如孔。在 一些實施例中,選擇子循環之比率以使得膜在極薄厚度(諸如小於約3奈米,小於約2奈米,小於約1.5奈米或甚至小於約1.0奈米)下不封閉且可不連續,但仍充當擴散障壁。
在一些實施例中,包括氟化物之導電膜以小於約2奈米,小於約1.5奈米,小於約1.0奈米或甚至小於約0.7奈米之RMS粗糙度沈積,其中厚度為約20至約50奈米。然而,在一些實施例中,對於厚度小於約10奈米之膜,RMS粗糙度小於約0.5奈米,小於約0.4奈米或甚至小於約0.3奈米。RMS粗糙度可例如藉由x射線反射率(x-ray reflectivity,XRR)量測。
在一些實施例中,增加各超循環中還原子循環之相對數目增加金屬氟化物膜之薄層電阻及/或電阻率。
在一些實施例中,根據本發明形成之導電含氟化物膜之薄層電阻可小於約200,000歐姆/平方,小於約140,000歐姆/平方,小於約20,000歐姆/平方,小於約10,000歐姆/平方,小於約1,000歐姆/平方,或甚至小於約1,000歐姆/平方。
在一些實施例中,根據本發明形成之導電含氟化物膜之層電阻率可小於約106微歐姆公分,小於約105微歐姆公分,或小於約50000微歐姆公分。
在一些實施例中,根據本發明形成之導電含氟化物膜之層電阻率可為至少約500微歐姆公分,至少約1,000微歐姆公分,至少約5,000微歐姆公分,或甚至至少約10,000微歐姆公分。
在一些實施例中,根據本發明形成之包括金屬氟化物之膜在含有氧氣之氛圍中,在低於約500℃,低於約400℃,低於約300℃,或低於約250℃之溫度下可實質上不展示氧化。在一些實 施例中,在含氧氣氛圍(諸如周圍空氣)中,在室溫或天然存在之室外溫度(諸如約-50℃至約50℃)下,膜抗氧化的時段延長。舉例而言,根據一些實施例,根據本發明方法形成之膜的抗氧化時間可超過6小時,較佳超過24小時,且在一些情況下視膜組成而定,膜抗氧化時間可超過10天,較佳超過30天,且在一些情況下,若需要則可超過1年。暴露於例如周圍空氣可能出現在一些特定應用中,例如在包括金屬氟化物之膜用作保護層抵抗亦可包括水分/水之周圍空氣的情況下。其他含有氧氣之氛圍可包含氧原子、電漿或自由基、臭氧、水/水分或含有OH基團之其他物質。
藉由ALD沈積包括TiF 3 之膜
如上文所提及,在一些實施例中,用於沈積包括TiF3之膜(諸如包括TiFx化合物(諸如TiF3)之導電膜)之原子層沈積方法可包括多個超循環,其中各超循環包括至少一個TiF4子循環及至少一個還原子循環。在TiF4子循環中,基板暴露於氣相TiF4以使得至多一個單層吸附於基板表面上。在還原子循環中,基板暴露於還原劑,諸如矽烷或硼烷,及氮反應物。TiF4與還原子循環之比率可變化以獲得所需組成,且可選擇超循環之數目以沈積具有所需厚度之氟化鈦膜。TiF4子循環可在還原子循環之前且反之亦然。類似地,在還原循環中,還原劑可在氮反應物之前且反之亦然。
在一些實施例中,TiF4子循環可包含還原劑,諸如矽烷化合物或硼烷化合物。且在一些實施例中,第二子循環不包含矽烷或硼烷化合物。
超循環可寫為: a[b(氟化鈦)+c(還原劑+氮反應物)],其中(氟化鈦)表示TiF4子循環且b為各超循環中TiF4子循環之數目;(還原劑+氮反應物)表示還原子循環且c為各超循環中還原子循環之數目;以及a為超循環之數目。儘管說明在超循環中TiF4子循環首先出現,但在一些實施例中,在一或多個超循環中,還原子循環首先出現。因此在一些實施例中,TiF4子循環可認為是第一子循環且還原子循環可認為是第二子循環,而在一些實施例中,還原子循環可認為是第一子循環且TiF4子循環可認為是第二子循環。
然而,在一些實施例中,超循環可寫為:a[b(TiF4+還原劑)+c(氮反應物)],其中b為各超循環中包含還原劑之TiF4子循環之數目;c為各超循環中氮反應物子循環之數目;以及a為超循環之數目。金屬氟化物與氮子循環之比率可以b:c之形式給出。
在一些實施例中,還原劑可為硼烷或矽烷,諸如二硼烷、三硼烷、二矽烷或三矽烷。在一些實施例中,還原劑為二矽烷。在一些實施例中,還原劑為三矽烷。在一些實施例中,氮反應物可為氨、N2H4、氮原子、含氮電漿或氮自由基。
在一些實施例中,超循環可寫為a[b(TiF4)+c(Si2H6+NH3)],其中b為各超循環中TiF4子循環之數目,c為各超循環中還原子循環之數目,且a為超循環之數目。
TiF4與還原子循環之比率可因此以b:c(或TiF4:還原)之形式給出。在一些實施例中,在ALD方法中,各ALD超循環中子循環之比率恆定。在其他實施例中,一或多個超循環中子循環之比率可變化。除非另外指示,否則當本文中提供子循環之比 率時,其指包括多個超循環之完全ALD方法中之子循環之比率。
在一些實施例中,第一沈積子循環及第二沈積子循環在相同反應溫度下進行。在一些實施例中,TiF4子循環及還原子循環中之一者或兩者之沈積溫度為約100℃至約700℃,約200℃至約500℃,約250℃至約400℃,或約325℃至約375℃。在一些實施例中,TiF4子循環及還原子循環均在約350℃下進行。
在一些實施例中,第一子循環及第二子循環在同一反應 器中進行。
可以所選比率提供第一子循環及第二子循環以沈積具有所需組成及所需特性之薄膜。舉例而言,在一些實施例中,一或多個ALD超循環中第一TiF4沈積子循環與第二還原子循環之比率可為約0.01至約100,約0.05至約50或約0.1至約1。在一些實施例中,一或多個超循環中TiF4沈積子循環與還原子循環之比率小於1。在一些實施例中,一或多個超循環中TiF4沈積子循環與還原子循環之比率在約1與約3之間。在一些實施例中,一或多個超循環中TiF4沈積子循環與還原子循環之比率在約1與約50之間,在約3與約30之間或在約5與約20之間。在一些實施例中,一或多個超循環中TiF4沈積子循環與還原子循環之比率為約0.01、約0.2、約0.3、約0.4、約0.5、約0.6、約0.8或約1。
如上文所提及,可選擇子循環之比率以獲得所需組成及所需膜特性。在一些實施例中,藉由相對於TiF4子循環增加還原子循環之數目將較大百分比之TiF4轉化成TiF3。在一些實施例中,增加TiF4與還原子循環之比率以增加沈積膜之薄層電阻及/或電阻率。
在一些實施例中,以ALD方法進行之所有完全ALD超循環中,第一TiF4沈積子循環與第二還原子循環之比率相同。在其他實施例中,在不同的完全ALD超循環中,第一TiF4沈積子循環與第二還原沈積子循環之特定比率可變化。特定比率可由所屬領域之技術人員選擇以在膜中提供所需量之鈦、氟、氮且因此獲得具有所需特性之膜。
在一些實施例中,經沈積之包括TiF3之膜為導電膜。在一些實施例中,沈積包括TiF3之膜,所述膜之抗氧化性大於膜中未併入氟之藉由已知氣相沈積方法(諸如ALD)沈積之TiN膜(例如如在300℃下在空氣環境中所量測)。
在一些實施例中,形成包括TiF3之導電膜,其包括來自還原化合物之一些矽或硼及來自氮反應物之一些氮。舉例而言,在一些實施例中沈積含有一些Si及一些N的包括TiF3之導電膜。
在一些實施例中,矽烷用作還原劑且包括TiF3之膜亦包括少量矽。舉例而言,在一些實施例中,矽含量可在約15原子%,較佳約0.01原子%至約10原子%,更佳約0.1原子%至約5原子%,且最佳約0.1原子%至約2原子%範圍內。在一些實施例中,矽含量較佳小於約1.5原子%。
在一些實施例中,硼烷用作還原劑且包括TiF3之膜亦包括少量硼。舉例而言,在一些實施例中,硼含量可在小於約15原子%,約0.01原子%至約10原子%,約0.1原子%至約5原子%,或約0.1原子%至約2原子%範圍內。在一些實施例中,硼含量較佳小於約1.5原子%。
在一些實施例中,包括TiF3之膜亦包括少量氮。舉例而 言,在一些實施例中,氮含量可在約0.5原子%至約50原子%,約1原子%至約20原子%,或約2原子%至約15原子%範圍內。
在一些實施例中,膜包括大於約10原子%,較佳約20原子%至約75原子%,約40原子%至約70原子%,或約45原子%至約65原子%之量的氟。
在一些實施例中,包括TiF3之膜包括小於約1原子%氧。
圖1說明在反應腔室中在基板上形成包括TiF3之膜的ALD方法,其包括多個ALD超循環100。各超循環包括第一TiF4沈積子循環200及第二還原子循環300。超循環100視需要重複許多次以沈積具有所需厚度之TiF3膜。可選擇超循環100內子循環200與子循環300之間的比率以獲得具有所需組成及特性之膜。
第一氟化鈦沈積子循環包括:將汽化TiFx(諸如TiF4)脈衝至反應腔室210中以在基板上形成氟化鈦之至多一個分子單層,及淨化反應腔室220以移除過量氟化鈦及反應副產物(若存在),以及重複250脈衝及淨化步驟。
在一些實施例中,第一沈積子循環依次重複1次、2次、3次、4次、5次、10次、20次、50次、100次或大於100次。在一些實施例中,第一沈積子循環連續重複不超過約30次至60次,連續重複至多約30次至50次,或連續重複至多約40次。
用於形成TiF3/TiN膜之原子層沈積超循環100亦包括一或多個第二還原子循環300。在一些實施例中,第二還原子循環300包括: 將汽化還原劑(諸如二矽烷或三矽烷)脈衝至反應腔室310中以將至少一些TiF4還原成TiF3,淨化反應腔室320以移除過量還原劑及反應副產物(若存在),將氮反應物(諸如NH3)脈衝提供至反應腔室330中,其中氮反應物向氟化鈦膜貢獻至少一些氮,淨化反應腔室340以移除過量氮反應物及任何氣態副產物,以及重複350脈衝及淨化步驟。
在一些實施例中,第二還原子循環300依次重複1次、2次、3次、4次、5次、10次、20次、50次、100次或大於100次。在一些實施例中,第二還原子循環重複約3次至6次,或約5次。
在完全ALD超循環100中第一子循環200及第二子循環300重複多次,且重複完全ALD超循環100以形成包括所需濃度之鈦、氟以及氮的具有所需厚度之TiF3膜。
在一些實施例中,各完全ALD超循環100中第一沈積子循環200及第二還原子循環300重複之次數相同。在其他實施例中,第一子循環100及第二子循環200之數目在一或多個完全ALD超循環100中變化。可調整第一子循環100及第二子循環200在各完全ALD超循環100中之數目及第一子循環100及第二子循環200以及總ALD超循環100之總數以實現具有所需厚度及組成之TiF3/錫膜之沈積。
儘管說明的是始於第一沈積子循環200,但各完全ALD 循環可始於且結束於第一子循環100或第二200子循環。舉例而言,用於形成TiF3膜之各ALD超循環可始於第一氟化鈦沈積子循環或還原子循環。在一些實施例中,一或多個超循環可始於還原子循環。
在一些實施例中,包括TiF3之膜藉由ALD在基板表面上沈積以形成500奈米或小於500奈米之保形薄膜。在一些實施例中,膜之厚度小於100奈米,小於約50奈米或小於約10奈米。視應用而定,厚度可小得多,諸如當用作p型罩蓋層或防氧化層時,且膜之厚度可為例如約2埃至約50埃,較佳約3埃至約30埃且在一些情況下約5埃至約20埃。
在一些實施例中,所形成之包括TiF3之膜僅在含氧氣或水/水分之氛圍(諸如周圍空氣)中、在高於約300℃之溫度下開始氧化。
在一些實施例中,形成包括TiF3膜之膜,其n為約1.6至1.8且k值為約0.1至0.2。
可在不脫離本發明之範疇之情況下,對上文所述之方法及結構進行各種修改、省略以及添加。所有此類修改及變化均意欲屬於本發明之範疇,如由所附申請專利範圍所定義。
實例
在Pulsar® 2000 R&D反應器中藉由ALD沈積多個TiF3膜。用超循環方法、使用以下基本超循環沈積膜,所述基本超循環包括TiF4子循環及還原子循環:z[x(TiF4+y(Si3H8+NH3)]及z[x(TiF4+y(Si2H6+NH3)]。反應器溫度為350℃。
基本方法參數為:TiF4;3秒脈衝/5秒淨化,NH3;10 秒脈衝/5秒淨化,Si2H6/Si3H8;1秒脈衝/5秒淨化。
膜沈積在具有自然氧化物之矽上。藉由改變TiF4/還原子循環比率(x/y)改變膜組成且藉由超循環(z)之數目控制膜厚度。
膜藉由以下表徵:使用CDE Resmap 168、藉由四點探針量測獲得薄層電阻;使用Brüker D8 Advance、藉由x射線反射率(XRR)獲得厚度、粗糙度以及密度;利用使用單鉻酸鹽化AlKα之PHI Quantum 2000、藉由x射線光電子光譜(x-ray photoelectron spectroscopy,XPS)獲得組成(藉由新澤西州東溫莎之EAG實驗室(EAG labs,East Windsor,New Jersey)進行分析);使用Hitachi S-4800場發射掃描電子顯微鏡、藉由二級電子顯微鏡(secondary electron microscope,SEM)獲得形態及保形性;以及使用利用CuKα輻射的PANalytical X'Pert Pro MPD X射線繞射儀及HTK 1200 Anton Paar烘箱、在氮氣及空氣氛圍中藉由加熱階段x射線繞射(x-ray diffraction,XRD)獲得結晶相演變與退火溫度的關係。
ALD方法產生含有出人意料之量之氟的膜。XPS及XRD分析揭示膜為TiF3與TiN之混合物。膜透明且導電。表1概述具有不同TiF4/還原子循環比率之方法之組成、電阻率、粗糙度、密度以及生長速率。
圖2說明使用Si3H8作為還原劑之此實驗之樣品3之膜的XRD圖。
發現包括TiF3之膜比TiN更抗氧化。在本發明實驗中形成之TiF3/TiN膜含有少於約1原子%氧。熱力學平衡計算顯示TiF3/TiN混合物氧化在周圍空氣(亦即N2、O2以及H2O)中進行,使得TiN首先氧化(圖3)。
亦測定包括TiF3之膜僅在高於300℃之溫度下在空氣環境中開始氧化(圖4)。不受任何特定理論束縛,咸信因為Ti-F鍵比Ti-O鍵強,所以TiF3展示比TiN大的抗氧化性。咸信TiF3之n及k分別為約1.6-1.8及0.1-0.2。
在單獨實例中,TixWyNz膜在Pulsar® 2000 R&D反應器中藉由ALD沈積。膜用超循環方法、使用TiN及W之以下基本二元化學反應沈積:z[x(TiCl4+NH3)+y(Si2H6+WF6)]。反應器溫度為350℃。Si2H6及WF6之穩態流動速率為100sccm,且NH3之穩態流動速率為240sccm。TiCl4填充在液體源中,其在室溫(21℃)下處於蒸汽推動模式中且使用N2作為載氣。
基本方法參數為:TiCl4:50毫秒脈衝/5秒淨化,NH3:10秒脈衝/5秒淨化,Si2H6:0.5脈衝/5秒淨化以及WF6:0.5秒脈 衝/5秒淨化。
膜沈積在200毫米、20奈米TiN/20奈米SiO2/Si及20奈米SiO2/Si晶圓上及2奈米HfO2/Si平坦晶圓片(約10公分×10公分)上或圖案化自然SiO2/Si(約5公分×5公分)片上以用於保形性。在沈積操作期間,晶圓片置放於200毫米轉接器晶圓上。藉由改變TiN/W循環比率(x/y)改變膜組成且藉由超循環(z)之數目控制膜厚度。
膜藉由以下表徵:使用CDE Resmap 168、藉由四點探針量測獲得薄層電阻;使用Brüker D8 Advance、藉由x射線反射率(XRR)獲得厚度、粗糙度以及密度;利用使用單鉻酸鹽化AlKα之PHI Quantum 2000、藉由x射線光電子光譜(XPS)獲得組成(藉由新澤西州東溫莎之EAG實驗室進行分析);使用Hitachi S-4800場發射掃描電子顯微鏡、藉由二級電子顯微鏡(SEM)獲得形態及保形性;以及使用利用CuKα輻射的PANalytical X'Pert Pro MPD X射線繞射儀及HTK 1200 Anton Paar烘箱、在氮氣及空氣氛圍中藉由加熱階段x射線繞射(XRD)獲得結晶相演變與退火溫度的關係。
表2概述具有不同TiN/W循環比率之TiN/W混合方法之組成、電阻率、粗糙度、密度以及生長速率。如表2中可見,膜之氟含量隨著TiN/W循環比率增加及粗糙度降低而增加。
與文獻中關於Al2O3報導之生長速率類似,純W膜以6埃/循環之高生長速率生長。然而,W膜之粗糙度亦極高。在W循環中間添加一些TiN循環降低膜之生長速率且同時實質上降低膜之粗糙度。然而出人意料地,當TiN/W循環比率1時膜不含有任何鈦。實情為,所得膜為具有小於10原子%氮及一些矽雜質之WxNy。此可表明W循環之間之TiN循環改變W之凝核行為且得到較低生長速率及較光滑膜。
當TiN/W循環比率增加至3時,膜開始顯示,隨著TiN/W循環比率增加,氮含量進一步增加及鈦含量緩慢增加。此表明當在W循環之前進行足量之TiN循環時,Si2H6及WF6不能夠自表面移除所有鈦且因此膜之鈦含量開始逐漸增加。
膜之電阻率在膜之鈦含量較低時首先隨著氮含量增加而增加,且隨後在膜之鈦含量大於約20原子%時又開始降低。
藉由x射線繞射分析研究膜之結晶相。純W膜顯示β-W晶體結構。之前未報導純ALD鎢之介穩態β-W相之穩定。為了確定β-W穩定是否為ALD W方法自身之一般結果,或其是否藉由HfO2基板穩定,亦在TiN及SiO2基板上進行純W方法。這些結果呈現在圖5A至圖5C中,其顯示在TiN(圖5A)、SiO2(圖5B)以及HfO2(圖5C)表面上沈積之純ALD-W膜之100次循環的 XRD圖。XRD峰位移至較高2θ值表明膜在所有情況下具有殘餘張應力。圖5A中峰強度增加主要歸因於晶粒尺寸隨著沈積溫度升高而增加且部分歸因於生長速率隨著沈積溫度升高而提高。在150℃下,TiN表面上不存在膜生長。
發現TiN基板促進β-W晶體結構之穩定,而在SiO2基板上所得膜似乎為具有小微晶尺寸之α-W,如約40°下之寬XRD2θ峰所指示。在所有情況下,與粉末繞射參考值相比,XRD 2θ峰位移至較高2θ值,指示鎢膜的所有表面上均具有殘餘張應力。然而,β-W在TiN及HfO2上之位移比α-W在SiO2上大。α-W至β-W轉變亦可部分解釋與對SiO2報導之生長速率(約3埃/循環)相比,在TiN及HfO2上觀察之W之ALD生長速率更高(約6埃/循環)及就Al2O3而言亦已報導於文獻中。β-W之晶格參數為5.05埃,而α-W之晶格參數為3.16埃。
圖6A及圖6B顯示在HfO2上沈積混合TixWyNz膜之結果。在TiN/W循環比率小於3之情況下,XRD分析揭示40°及70°之兩個峰極寬。這些峰在XRD資料庫中不可指派給含有W及N之化合物中之任一者;然而其位置與β-W峰匹配,因此有可能這些膜仍具有β-W之晶體結構,但具有極小微晶尺寸。
在TiN/W循環比率35(Ti含量37原子%)之情況下形成之TixWyNz膜適應W2N之晶體結構,其中在晶格中鎢原子經鈦原子隨機置換。TiN/W循環比率在3與5之間時,TixWyNz膜中之W2N峰可見,但在W2N與TiN峰之間的2θ值位移。XRD峰之強度比率亦隨著TixWyNz層之組成變化。XRD圖中之此類型之行為對於固溶體而言為典型的。
TiN/W循環比率愈大,XRD峰位移愈接近至TiN峰。在使用TiN/W循環比率20(Ti含量25原子%)沈積膜的情況下,膜適應TiN之晶體結構,其中在晶格中鈦原子經鎢原子隨機置換。
與具有類似厚度之純W或TiN膜相比,WxNy及TixWyNz膜均展示實質上較寬XRD峰。用德拜-謝樂方法(Debye-Scherrer method)估計之晶粒尺寸對於W0.9N0.1(1:1 TiN/W循環比率)膜為約2奈米且對於Ti0.26W0.33N0.41(20:1 TiN/W循環比率)膜為約20奈米。圖7呈現以各種TiN:W子循環比率沈積之WxNy及TixWyNz層以及純W及TiN之形態之比較。在純W及TiN膜中清楚可見之柱狀晶粒結構在混合方法膜之SEM影像中不存在。此證明,XRR分析中模型化之光滑膜表面及XRD圖中之寬峰為SEM分析中具有不可見晶粒形態之混合方法膜之奈米晶相之結果。
圖8呈現3D溝槽結構中之W0.9N0.1(1:1 TiN/W循環比率)膜之SEM影像。在膜之生長中,真實ALD性質在溝槽內顯而易見,顯示溝槽內之恆定膜厚度,儘管溝槽寬度隨著其深度而增加。
藉由加熱階段XRD研究具有Ti0.26W0.33N0.41(20:1 TiN/W循環比率)組成之三元TixWyNz膜之奈米晶相的穩定性及抗氧化性。在氮氣氛圍中,奈米晶相在高達875℃下穩定,在加熱循環期間無晶粒粗化之跡象,如圖9A中所示。圖9B顯示與具有類似厚度之純TiN膜之比較。對於Ti0.26W0.33N0.41,FWHM為約0.7°且對於TiN,FWHM為約0.4°。此結果表明Ti-W合金中之奈米晶相之理論上預測之高熱力學穩定性亦可適用於Ti-W-N系 統。對於40奈米厚Ti0.26W0.33N0.41膜,用德拜-謝樂方法估計之晶粒尺寸為約20奈米。
進行進一步測試,其比較藉由TiWN薄膜獲得之抗氧化性及藉由TiN膜獲得之抗氧化性。使用如本文所揭露之ALD方法,使TiWN膜生長,產生抗氧化性比ALD沈積TiN膜所獲得之抗氧化性高的奈米晶金屬膜。特定言之,TiWN膜藉由ALD自TiCl4及NH3之x子循環及Si2H6及WF6之y子循環沈積。下表3說明在相同三個標稱厚度下與純TiN膜之抗氧化性相比,以20:1(x:y)及40:1(x:y)之循環比率沈積之TiWN薄膜之抗氧化性。
可見TiWN氧化比TiN慢。不受任何特定理論限制,咸信此由TiWN膜之奈米晶結構比TiN更多所引起。因為TiWN膜不展示柱狀結構,所以其在膜內經歷較慢氧擴散。另外,TiWN膜中之氟含量阻止氧擴散。與膜之主體相比,TiWN膜之表面富含氟。且氟化物之氧化(亦即2TiF3+2O2→2TiO2+F2)為熱力學上不利之反應。
儘管已描述某些實施例及實例,但所屬領域之技術人員應理解,申請專利範圍之範疇超出特定揭露之實施例而延伸至其他替代性實施例及/或用途以及其顯而易見之修改及等效物。
100‧‧‧ALD超循環
200‧‧‧第一TiF4沈積子循環
210、220、310、320、330、340‧‧‧反應腔室
250、350‧‧‧脈衝及淨化步驟
300‧‧‧第二還原子循環

Claims (22)

  1. 一種原子層沈積(atomic layer deposition,ALD)方法,用於在基板上沈積含氟薄膜,所述方法包括多個超循環,各所述超循環包括金屬氟化物子循環及還原子循環,其中:所述金屬氟化物子循環包括使所述基板與金屬氟化物接觸;以及所述還原子循環包括交替且依序使所述基板與還原劑及氮反應物接觸。
  2. 如申請專利範圍第1項所述之原子層沈積方法,其中所述金屬氟化物包括由Ti、Ta、Nb、Mo以及W中選出之金屬。
  3. 如申請專利範圍第1項所述之原子層沈積方法,其中所述金屬氟化物包括TiF4
  4. 如申請專利範圍第1項所述之原子層沈積方法,其中所述還原劑為矽烷或硼烷。
  5. 如申請專利範圍第4項所述之原子層沈積方法,其中所述還原劑包括二矽烷或三矽烷。
  6. 如申請專利範圍第1項所述之原子層沈積方法,其中所述氮反應物是由以下所構成的族群中選出:氨、N2H4、氮原子、含氮電漿以及氮自由基。
  7. 如申請專利範圍第1項所述之原子層沈積方法,其中所述金屬氟化物子循環及所述還原子循環在所述多個超循環中之至少一者中以至少約0.1之比率進行。
  8. 如申請專利範圍第1項所述之原子層沈積方法,其中所述含氟薄膜包括TiF3
  9. 如申請專利範圍第8項所述之原子層沈積方法,其中所述含氟薄膜包括約5原子%至約40原子%氮。
  10. 如申請專利範圍第8項所述之原子層沈積方法,其中所述含氟膜薄具導電性。
  11. 如申請專利範圍第8項所述之原子層沈積方法,其中所述含氟薄膜在低於約300℃下不被空氣環境氧化。
  12. 一種導電氟化物薄膜,其包括TiF3
  13. 如申請專利範圍第12項所述之導電氟化物薄膜,其中所述薄膜包括約5原子%至約40原子%氮。
  14. 如申請專利範圍第12項所述之導電氟化物薄膜,其中所述薄膜之厚度小於約100奈米。
  15. 一種原子層沈積(ALD)方法,用於在基板上沈積含氟薄膜,所述方法包括多個超循環,各所述超循環包括金屬氟化物子循環及第二子循環,其中:所述金屬氟化物子循環包括使所述基板與金屬氟化物接觸;以及所述第二子循環包括使所述基板與氮反應物接觸;其中在所述金屬氟化物子循環及所述第二子循環中之至少一者中單獨提供矽烷化合物及硼烷化合物中之至少一者。
  16. 如申請專利範圍第15項所述之原子層沈積方法,其中在所述金屬氟化物子循環中提供所述矽烷化合物及所述硼烷化合物中之至少一者。
  17. 如申請專利範圍第15項所述之原子層沈積方法,其中在所述第二子循環中提供所述矽烷化合物及所述硼烷化合物中之至 少一者。
  18. 如申請專利範圍第15項所述之原子層沈積方法,其中藉由所述方法獲得之所述含氟薄膜之厚度小於約100奈米。
  19. 如申請專利範圍第15項所述之原子層沈積方法,其中所述矽烷化合物、所述硼烷化合物以及所述氮反應物中之至少一者還原所述金屬氟化物之至少一些金屬。
  20. 如申請專利範圍第15項所述之原子層沈積方法,其中藉由所述方法獲得之所述含氟薄膜包括TiF3
  21. 如申請專利範圍第15項所述之原子層沈積方法,其中藉由所述方法獲得之所述含氟薄膜展示小於約106微歐姆公分之層電阻率。
  22. 如申請專利範圍第15項所述之原子層沈積方法,其中藉由所述方法獲得之所述含氟薄膜在低於約300℃之溫度下實質上不展示氧化。
TW104111853A 2014-04-17 2015-04-14 原子層沈積方法及氟化物薄膜 TWI716346B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/255,799 2014-04-17
US14/255,799 US10643925B2 (en) 2014-04-17 2014-04-17 Fluorine-containing conductive films

Publications (2)

Publication Number Publication Date
TW201542856A true TW201542856A (zh) 2015-11-16
TWI716346B TWI716346B (zh) 2021-01-21

Family

ID=54322626

Family Applications (3)

Application Number Title Priority Date Filing Date
TW111133830A TW202305167A (zh) 2014-04-17 2015-04-14 原子層沈積方法及氟化物薄膜
TW109144589A TWI780541B (zh) 2014-04-17 2015-04-14 原子層沈積方法及氟化物薄膜
TW104111853A TWI716346B (zh) 2014-04-17 2015-04-14 原子層沈積方法及氟化物薄膜

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW111133830A TW202305167A (zh) 2014-04-17 2015-04-14 原子層沈積方法及氟化物薄膜
TW109144589A TWI780541B (zh) 2014-04-17 2015-04-14 原子層沈積方法及氟化物薄膜

Country Status (6)

Country Link
US (3) US10643925B2 (zh)
JP (1) JP6576362B2 (zh)
KR (3) KR102218668B1 (zh)
CN (2) CN110265295A (zh)
TW (3) TW202305167A (zh)
WO (1) WO2015160499A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI789622B (zh) * 2019-09-18 2023-01-11 日商國際電氣股份有限公司 半導體裝置的製造方法,程式及基板處理裝置

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US9394609B2 (en) * 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10529563B2 (en) * 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US20200024735A1 (en) * 2018-07-18 2020-01-23 Applied Materials, Inc. Erosion resistant metal fluoride coatings deposited by atomic layer deposition
US11390947B2 (en) * 2019-03-04 2022-07-19 Applied Materials, Inc. Method of forming a fluorinated metal film
WO2020179474A1 (ja) * 2019-03-06 2020-09-10 株式会社Kokusai Electric 半導体装置の製造方法、プログラム及び基板処理装置
TWI807195B (zh) * 2019-06-28 2023-07-01 美商應用材料股份有限公司 用於改良高k可靠度之摻氟氮化物膜
KR102359908B1 (ko) * 2019-10-08 2022-02-09 주식회사 유진테크 박막 증착장치 및 박막 증착방법

Family Cites Families (196)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
US3925337A (en) 1969-07-31 1975-12-09 Air Prod & Chem Post chlorinated vinyl chloride copolymers
US3708728A (en) 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
JPS6037041B2 (ja) 1977-08-08 1985-08-23 エクソン リサ−チ アンド エンヂニアリング コムパニ− 元素の硫黄の製造
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS5833841A (ja) 1981-08-24 1983-02-28 Hitachi Ltd 半導体装置
EP0149044B1 (en) 1983-11-11 1987-05-13 Research Development Corporation of Japan Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
CA2059818C (en) 1991-08-21 1995-01-03 Gokichi Hatouchi Apparatus for storing articles
DE4242292C2 (de) 1991-12-20 2002-06-27 Ntn Toyo Bearing Co Ltd Selbsttätige hydraulische Spannvorrichtung
JPH05335257A (ja) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk p型シリコンカーバイドの形成方法
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
FR2694451B1 (fr) 1992-07-29 1994-09-30 Asulab Sa Cellule photovoltaïque.
JPH0669157A (ja) 1992-08-21 1994-03-11 Sony Corp チタン膜の形成方法
FR2695944B1 (fr) 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
JP3356531B2 (ja) 1994-02-15 2002-12-16 東京エレクトロン株式会社 ボロン含有ポリシリコン膜の形成方法
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
DE69517158T2 (de) 1994-11-30 2001-01-25 Micron Technology Inc Verfahren zum auftragen von wolframnitrid unter verwendung eines silicium enthaltenden gases
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
US5964943A (en) 1994-12-27 1999-10-12 Siemens Aktiengesellschaft Method of producing boron-doped monocrystalline silicon carbide
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
DE19514081A1 (de) 1995-04-13 1996-10-17 Siemens Ag Verfahren zum Herstellen eines elektrischen Kontakts auf einer SiC-Oberfläche
US5744254A (en) 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5595784A (en) 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
JPH0987857A (ja) 1995-09-27 1997-03-31 Res Dev Corp Of Japan プラズマcvdによる炭化物コーティング方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
KR0155918B1 (ko) 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
US6509098B1 (en) 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US5946594A (en) 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5965004A (en) 1996-03-13 1999-10-12 Sterling Pulp Chemicals, Ltd. Chlorine dioxide generation for water treatment
US5789024A (en) 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
JP3353874B2 (ja) 1996-09-24 2002-12-03 シャープ株式会社 半導体装置及びその製造方法
EP0841690B1 (en) 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
DE19733261C2 (de) 1997-08-01 2001-07-19 Dornier Gmbh Lindauer Rotations-Kantendreher mit direkt elektromagnetischem Antrieb für Webmaschinen
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
KR100287174B1 (ko) 1998-03-17 2001-04-16 윤종용 다원자계산화물 및 질화물의박막제조방법
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
DE19832245A1 (de) 1998-07-17 2000-01-20 Heidelberger Druckmasch Ag Verfahren zum Bedienen von Geräten in der Reproduktionstechnik
JP2960928B1 (ja) 1998-07-24 1999-10-12 スタンレー電気株式会社 車両用信号灯具
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
EE200100421A (et) 1999-02-11 2002-12-16 Hardide Limited Volframkarbiidist kattematerjal, seda sisaldav kate ning meetod volframkarbiidi saamiseks ja katte valmistamiseks
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (ko) 1999-03-16 2000-10-16 이경수 산화알루미늄 막의 형성 방법
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
JP5173098B2 (ja) 1999-10-15 2013-03-27 エーエスエム インターナショナル エヌ.ヴェー. ダマシン・メタライゼーションのためのコンフォーマルライニング層
WO2001029280A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Deposition of transition metal carbides
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR20010075977A (ko) 2000-01-21 2001-08-11 윤덕용 부가 단량체 단일선구물질을 이용한 13 족 질화물 박막의제조방법
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
DE10018156A1 (de) 2000-04-12 2001-10-25 Bosch Gmbh Robert Elektromotor
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
EP1282911B1 (en) 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US6194310B1 (en) 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6713177B2 (en) 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
JP4160732B2 (ja) 2001-03-13 2008-10-08 富士フイルム株式会社 ハロゲン化銀写真乳剤
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US6884719B2 (en) 2001-03-20 2005-04-26 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20040206008A1 (en) 2001-07-16 2004-10-21 Chien-Min Sung SiCN compositions and methods
JP4666912B2 (ja) 2001-08-06 2011-04-06 エー・エス・エムジニテックコリア株式会社 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
AU2002333601A1 (en) * 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
US20030054628A1 (en) 2001-09-17 2003-03-20 Chartered Semiconductor Manufacturing Ltd. Method of forming a low resistance multi-layered TiN film with superior barrier property using poison mode cycling
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR20030057938A (ko) 2001-12-29 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 실리콘 질화막 제조방법
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US7589029B2 (en) * 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
GB2389230A (en) 2002-05-28 2003-12-03 Univ Nat Taiwan Nanoparticle light emitting device (LED)
KR20030093575A (ko) 2002-06-03 2003-12-11 주식회사 하이닉스반도체 고선택성 질화막을 이용한 캐패시터 제조방법
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
KR20040060402A (ko) 2002-12-30 2004-07-06 주식회사 하이닉스반도체 반도체소자의 콘택 형성방법
KR20030016346A (ko) 2003-01-29 2003-02-26 윤태식 차량 부재 운행 자동 관리 시스템
US7198820B2 (en) 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
KR100494129B1 (ko) 2003-03-06 2005-06-10 주식회사 하이닉스반도체 반도체 소자의 전극 형성방법
KR100511913B1 (ko) 2003-03-06 2005-09-02 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
JP4423914B2 (ja) 2003-05-13 2010-03-03 東京エレクトロン株式会社 処理装置及びその使用方法
KR20040100767A (ko) 2003-05-24 2004-12-02 주식회사 하이닉스반도체 저압 실리콘 질화막 형성 방법
US20040238876A1 (en) 2003-05-29 2004-12-02 Sunpil Youn Semiconductor structure having low resistance and method of manufacturing same
WO2004113585A2 (en) 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
KR100543517B1 (ko) 2003-06-23 2006-01-20 주식회사 포스코 수처리 설비의 원격 전력량 계측 시스템
US7030430B2 (en) 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US7235482B2 (en) * 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
JP4651955B2 (ja) 2004-03-03 2011-03-16 東京エレクトロン株式会社 成膜方法
US7105430B2 (en) 2004-03-26 2006-09-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a notched control electrode and structure thereof
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
FR2873849A1 (fr) * 2004-07-27 2006-02-03 St Microelectronics Sa Procede d'obtention d'un film mince magnetique doux, a forte aimantation et isolant, film et circuit integre correspondants
JP2006079159A (ja) 2004-09-07 2006-03-23 Masui Yoshiharu 防犯装置
KR100714269B1 (ko) 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US7015153B1 (en) 2004-10-20 2006-03-21 Freescale Semiconductor, Inc. Method for forming a layer using a purging gas in a semiconductor process
KR100640550B1 (ko) 2005-01-26 2006-10-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7438949B2 (en) 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US8486845B2 (en) 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
KR100705397B1 (ko) 2005-07-13 2007-04-10 삼성전자주식회사 저 저항의 텅스텐막 형성 방법
GB2472542B (en) 2005-08-12 2011-03-23 Nanoco Technologies Ltd Nanoparticles
US7544596B2 (en) 2005-08-30 2009-06-09 Micron Technology, Inc. Atomic layer deposition of GdScO3 films as gate dielectrics
US20070054046A1 (en) 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
WO2007041089A2 (en) 2005-09-29 2007-04-12 Praxair Technology, Inc. Organometallic compounds and methods of use thereof
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7407876B2 (en) 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
US7985995B2 (en) * 2006-08-03 2011-07-26 Micron Technology, Inc. Zr-substituted BaTiO3 films
US8268409B2 (en) 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
KR100809719B1 (ko) * 2007-01-18 2008-03-06 삼성전자주식회사 폴리실리콘막과 배선금속막을 구비하는 게이트 전극의형성방법
US7598170B2 (en) * 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
KR100956210B1 (ko) * 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US7851307B2 (en) 2007-08-17 2010-12-14 Micron Technology, Inc. Method of forming complex oxide nanodots for a charge trap
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7794798B2 (en) 2007-09-29 2010-09-14 Tel Epion Inc. Method for depositing films using gas cluster ion beam processing
WO2009129332A2 (en) 2008-04-16 2009-10-22 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8054669B2 (en) 2008-08-12 2011-11-08 International Business Machines Corporation Non-volatile programmable optical element employing F-centers
US10513772B2 (en) 2009-10-20 2019-12-24 Asm International N.V. Process for passivating dielectric films
US9843041B2 (en) * 2009-11-11 2017-12-12 Zenlabs Energy, Inc. Coated positive electrode materials for lithium ion batteries
KR101211043B1 (ko) * 2010-04-05 2012-12-12 에스케이하이닉스 주식회사 매립게이트를 구비한 반도체 장치 제조방법
WO2013043501A1 (en) 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-aluminum alloy films from metal amidinate precursors and aluminum precursors
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
CN103160802B (zh) * 2011-12-15 2015-07-08 中国科学院微电子研究所 掺氮二氧化钛薄膜的制备方法
KR101400593B1 (ko) * 2012-12-06 2014-05-27 삼성정밀화학 주식회사 양극 활물질, 이의 제조방법 및 이를 포함하는 리튬 이차 전지
US9412602B2 (en) * 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
JP6069157B2 (ja) 2013-09-30 2017-02-01 Necパーソナルコンピュータ株式会社 情報処理装置、制御方法、及びプログラム
CN105659201B (zh) 2013-10-18 2019-12-03 三菱电机株式会社 字符串显示装置
JP6922332B2 (ja) 2017-03-29 2021-08-18 大日本印刷株式会社 化粧シート

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI789622B (zh) * 2019-09-18 2023-01-11 日商國際電氣股份有限公司 半導體裝置的製造方法,程式及基板處理裝置

Also Published As

Publication number Publication date
TW202115274A (zh) 2021-04-16
US11823976B2 (en) 2023-11-21
KR102472965B1 (ko) 2022-12-01
CN106471154A (zh) 2017-03-01
KR20220165801A (ko) 2022-12-15
CN106471154B (zh) 2019-06-28
CN110265295A (zh) 2019-09-20
US20150303101A1 (en) 2015-10-22
KR20160145165A (ko) 2016-12-19
TWI716346B (zh) 2021-01-21
TW202305167A (zh) 2023-02-01
KR20210021408A (ko) 2021-02-25
JP2017521549A (ja) 2017-08-03
US10643925B2 (en) 2020-05-05
US11450591B2 (en) 2022-09-20
TWI780541B (zh) 2022-10-11
WO2015160499A1 (en) 2015-10-22
US20230085443A1 (en) 2023-03-16
JP6576362B2 (ja) 2019-09-18
US20200235037A1 (en) 2020-07-23
KR102218668B1 (ko) 2021-02-23

Similar Documents

Publication Publication Date Title
TWI780541B (zh) 原子層沈積方法及氟化物薄膜
TWI638060B (zh) 原子層沉積製程及形成金屬氮化物膜的方法
US11362222B2 (en) Photoactive devices and materials
JP5739574B2 (ja) 誘電体膜をパッシベーションする方法
TWI410513B (zh) 金屬矽化物膜之原子層沈積
TW201809333A (zh) 相對於基板的第二表面選擇性沈積在基板的第一表面上的製程與方法
TW201833356A (zh) 將薄膜及氧化金屬薄膜沉積於基板表面上之方法
WO2021041442A1 (en) Group vi metal deposition process
KR20120008015A (ko) 배향된 탄탈륨 펜트옥사이드 막을 제조하는 방법