CN106471154B - 含氟导电膜 - Google Patents

含氟导电膜 Download PDF

Info

Publication number
CN106471154B
CN106471154B CN201580032801.9A CN201580032801A CN106471154B CN 106471154 B CN106471154 B CN 106471154B CN 201580032801 A CN201580032801 A CN 201580032801A CN 106471154 B CN106471154 B CN 106471154B
Authority
CN
China
Prior art keywords
subcycle
film
hypercycle
tif
metal fluoride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201580032801.9A
Other languages
English (en)
Other versions
CN106471154A (zh
Inventor
T·E·布隆贝格
L·林德罗斯
H·霍特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Priority to CN201910530050.3A priority Critical patent/CN110265295A/zh
Publication of CN106471154A publication Critical patent/CN106471154A/zh
Application granted granted Critical
Publication of CN106471154B publication Critical patent/CN106471154B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Conductive Materials (AREA)
  • Non-Insulated Conductors (AREA)
  • Manufacturing Of Electric Cables (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

用于在基底上沉积含氟薄膜的原子层沉积(ALD)方法可以包括多个超循环。每个超循环可以包括金属氟化物子循环和还原子循环。金属氟化物子循环可以包括使基底与金属氟化物接触。还原子循环可以包括交替地和连续地使基底与还原剂和氮反应物接触。

Description

含氟导电膜
发明背景
技术领域
本申请一般而言涉及半导体装置制造领域并且更具体地涉及用于形成含氟化物导电薄膜的方法。
相关领域的描述
原子层沉积(ALD)基于连续的自饱和表面反应,其可以提供良好的共形性(conformality)和阶梯覆盖(step coverage),而不管待涂布的结构的几何结构如何。然而,通过ALD沉积金属膜是挑战性的,这部分因为ALD基本上基于热力学上有利的半反应。
在微电子设备和纳米电子设备中,高熔点金属导电层是基本的构件块(buildingblock)。在许多情况下,抗氧化金属薄膜是期望的。例如,氮化钛层通常被用于半导体制造工业中,例如,作为栅电极材料或者作为铜扩散屏障。然而,众所周知,当在空气中储存时氮化钛从表面氧化,很可能穿过晶粒边界,上至几十纳米的深度。
发明内容
在一个方面,提供原子层沉积(ALD)方法用于沉积导电含氟薄膜。在一些实施方式中,ALD方法可包括多个超循环,其中至少一个超循环包括两个子循环:金属氟化物子循环和第二子循环。在一些实施方式中,金属氟化物子循环包括使基底与金属氟化物接触,并且第二子循环包括交替地和连续地使基底与硅烷或硼烷以及氮反应物接触。在一些实施方式中,第二子循环被称为还原子循环并且使基底与还原剂和氮反应物接触。
根据一些实施方式,金属氟化物包括选自Ti、Ta、Nb、Mo和W的金属。在一些实施方式中,金属氟化物包括TiF4。在一些实施方式中,还原剂是硅烷或硼烷。在一些实施方式中,还原剂包括乙硅烷或丙硅烷。在一些实施方式中,还原剂包括乙硼烷或三硼烷。在一些实施方式中,氮反应物选自氨、N2H4、氮原子、含氮等离子体和氮自由基。在一些实施方式中,金属氟化物是TiF4并且还原剂是Si3H8。在一些实施方式中,在多个超循环的至少一个中,金属氟化物子循环和还原子循环以至少大约0.1的比率进行。在一些实施方式中,含氟薄膜包括TiF3
根据用于形成含氟薄膜的方法的一些实施方式,含氟薄膜包括大约0.4至大约2.3at%的硅。在一些实施方式中,含氟薄膜包括大约5至大约40at%的氮。在一些实施方式中,含氟薄膜是导电的。在一些实施方式中,含氟薄膜具有小于大约106μΩcm的层电阻率。在一些实施方式中,含氟薄膜在小于大约300℃下不被空气环境氧化。
根据一些实施方式,本文公开了包括TiF3的导电氟薄膜。在一些实施方式中,薄膜包括大约5至大约40at%的氮。在一些实施方式中,薄膜包括大约0.4至大约2.3at%的硅。在一些实施方式中,薄膜具有小于大约100nm的厚度。在一些实施方式中,薄膜具有小于大约10nm的厚度。
根据一些实施方式,本文公开了用于在基底上沉积含氟薄膜的ALD方法,该方法包括多个超循环,每个超循环包括金属氟化物子循环和第二子循环。在一些实施方式中,金属氟化物子循环包括使基底与金属氟化物接触。在一些实施方式中,第二子循环包括使基底与氮反应物接触。在一些实施方式中,在金属氟化物子循环和第二子循环的至少一个中,单独地提供硅烷化合物和硼烷化合物的至少一种。
在ALD方法的一些实施方式中,在金属氟化物子循环中提供硅烷化合物和硼烷化合物的至少一种。在一些实施方式中,在第二子循环中提供硅烷化合物和硼烷化合物的至少一种。在一些实施方式中,通过该方法获得的含氟薄膜具有小于大约100nm的厚度。在一些实施方式中,硅烷化合物、硼烷化合物和氮反应物的至少一种还原金属氟化物的金属的至少一些。在一些实施方式中,通过该方法获得的含氟薄膜包括TiF3。在一些实施方式中,通过该方法获得的含氟薄膜展现小于大约106μΩcm的层电阻率。在一些实施方式中,通过该方法获得的含氟薄膜展示在低于大约300℃的温度下基本上不氧化。
附图说明
根据详细描述和所附的附图将更好地理解本发明,其意欲图解本发明并且不意欲限制本发明,并且其中:
图1是图解根据一种实施方式的用于沉积TiF3/TiN膜的ALD方法的流程图。
图2显示了根据本公开内容的一种实施方式形成的膜的XRD图谱。
图3是根据本公开内容的一种实施方式形成的膜的氧化行为的分析。
图4是根据本公开内容的一种实施方式形成的膜的氧化行为的另外的分析。
图5A-C显示了沉积在TiN(图5A)、SiO2(图5B)和HfO2(图5C)表面上的纯ALD-W膜的100个循环的XRD图谱。
图6A和B显示了使用不同的TiN/W循环比率沉积的TixWyNz膜的XRD图谱。
图7显示了用多种比率的TiN与W的沉积循环以及纯W和TiN沉积的WxNy和TixWyNz层的形态学比较。
图8显示了3D沟槽结构中沉积的W0.9N0.1(TiN/W循环比率=1)膜的SEM图像。晶粒尺寸太小而不能用SEM检测到。膜的共形性和阶梯覆盖显示是优异的。
图9A和B显示在氮气氛中Ti0.26W0.33N0.41(20∶1TiN/W循环比率)膜的加热阶段XRD图谱。未观察到随着加热上至875℃晶粒粗化的迹象。图9B显示了该膜与具有类似厚度的纯TiN膜的比较。
具体实施方式
如本文所讨论的,可以通过蒸汽沉积方法——例如通过原子层沉积(ALD)——沉积含氟导电薄膜。这种膜可以期望地是抗氧化的。氟化钛(TiF3)是稳定的固体氟化物,其可以在多种情况下使用,例如作为催化剂;然而,先前没有实现通过蒸汽沉积方法沉积氟化钛膜。
在一些金属薄膜中氟的存在增强了抗氧化性。金属氮化物,诸如氮化钛,通常用在半导体工业中,例如作为屏障膜(barrier film)。然而,如以上所讨论的,氮化钛膜可能遭受不期望的氧化。本申请部分地基于意料不到的发现——可以通过ALD沉积包括金属氟化物的导电薄膜,诸如包括氟化钛的导电薄膜。在一些实施方式中,含氟化钛的膜具有比TiN膜——诸如通过已知的蒸汽沉积方法例如通过ALD沉积的TiN膜——更大的抗氧化性。
导电含氟膜可以用在多种情况中。例如,导电氟化物膜,或包括金属氟化物的导电膜,诸如包括TiF3的导电薄膜,可以用作在TiN层或其他金属膜上的氧屏障膜。在一些实施方式中,根据本公开内容形成的导电含氟膜将可用作防灰化(ashing)或其他氧化条件的屏障膜。在一些实施方式中,根据本公开内容形成的导电含氟膜可以被用作防包括氧的周围环境——诸如周围空气和/或水或湿气——的保护层。在一些实施方式中,本公开内容的导电含氟膜可用作牺牲层,诸如在图案化层中或者在期望良好的抗氧化性的其他应用中。在一些实施方式中,导电氟化物薄膜被共形地(conformally)沉积在垂直和水平表面上。在一些实施方式中,包括金属氟化物的导电膜可以被用作p型覆盖层,其在栅叠层(gate stack)上,例如在高k层诸如HfO2之上,并且低于实际栅电极层或导电栅介电屏障层。在一些实施方式中,当包括金属氟化物的导电膜被用作p型覆盖层时,叠层中电极的有效功函数是在大约4.9eV以上,优选地在大约5.0和大约5.2eV之间。
在一些实施方式中,导电含氟膜不包括以下材料的一种或多种:MgF2、CaF2、ZnF2、SrF2、YF3或LaF3。在一些实施方式中,导电含氟膜不包括以下材料的一种或多种:AlF3或LiF。在一些实施方式中,导电含氟膜不包括以下材料的一种或多种:碱金属氟化物(元素周期表中的第1族),诸如KF,或碱土(元素周期表中的第2族)金属氟化物,诸如MgF2或CaF2。在一些实施方式中,导电含氟膜不包括以下材料的一种或多种:第3族金属氟化物,诸如YF3或LaF3。在一些实施方式中,导电氟化物膜不包括大于大约20at%,优选地大于大约10at%,更优选地大于大约5at%,并且最优选地大于大约1at%的以下金属的一种或多种:碱金属、碱土金属和第3族金属。在一些实施方式中,导电含氟膜不包括大于大约20at%,优选地大于大约10at%,更优选地大于大约5at%,并且最优选地大于大约1at%的以下金属的一种或多种:Mg、Ca、Zn、Sr、Y或La。在一些实施方式中,导电含氟膜不包括大于大约20at%,优选地大于大约10at%,更优选地大于大约5at%,并且最优选地大于大约1at%的除了以下金属的一种或多种之外的金属:Ti、Zr、Hf、V、Nb、Ta、Cr、Mo或W,以及优选地除了以下金属的一种或多种之外的金属:Ti、Nb、Ta、Mo和W。
在一些实施方式中,提供ALD方法用于在基底上沉积包括金属氟化物的导电膜。在一些实施方式中,方法可以包括第一子循环,其中基底被暴露于蒸汽相金属氟化物,诸如TiF4,并且单层的金属氟化物被吸附在基底表面上。在第二子循环中,交替地和连续地提供蒸汽相硅烷或硼烷化合物,或其他“还原剂”,以及蒸汽相氮反应物。还原剂和氮反应物与在基底表面上的金属氟化物反应以形成包括金属氟化物的导电膜。在一些实施方式中,第一子循环可以包括蒸汽相金属氟化物诸如TiF4和还原剂诸如硅烷或硼烷二者。在一些实施方式中,第二循环不包括硅烷或硼烷。因而,在一些实施方式中,第一循环包括蒸汽相金属氟化物以及硅烷或硼烷,并且第二循环包括蒸汽相氮反应物。虽然使用了术语“还原剂”,但是在一些实施方式中,化学还原是不需要的。因而,在一些实施方式中,术语“还原剂”仅仅表示硅烷化合物或硼烷化合物。然而,不受理论所束缚,据信,在一些实施方式中,如本文所述的还原剂可以还原表面上金属种类的氧化态。
在一些实施方式中,金属可以选自,例如,Ti、Ta、Nb、Mo和W。还原剂可以是,例如,硅烷或硼烷化合物。氮反应物可以是,例如,NH3。在其中使用氮反应物的一些实施方式中,氮反应物可以展现对于在基底表面上的金属种类的氧化态的至少一些还原效果。
第一和第二子循环一起进行ALD超循环。在每个超循环中,第一子循环和第二子循环可以独立地重复一次或多次。进一步地,超循环可以重复一次或多次以将包括金属氟化物的导电膜沉积至期望的厚度。第一和第二子循环可以以任何顺序进行。例如,在一些实施方式中,可以首先进行第二子循环。而且,每个子循环中反应物的顺序可以变化。例如,在一些实施方式中,在还原子循环——其可以首先进行或第二位进行——中,氮反应物在硅烷或硼烷化合物之前脉冲(pulse)或者反之亦然。
在一个或多个超循环内第一子循环与第二子循环的比率可以变化以沉积具有期望组成和/或期望性质的膜。在一些实施方式中,第一子循环与第二子循环的比率在ALD方法的每个超循环中是相同的。在一些实施方式中,第一子循环与第二子循环的比率在沉积方法期间在一个或多个超循环中可以变化。
在一些实施方式中,形成包括金属氟化物的导电薄膜,其包括来自还原化合物的一些硅或硼和/或来自氮反应物的一些氮。例如,在一些实施方式中,沉积包括TiF3的导电薄膜,其含有一些Si和一些N。
为了简单起见并且因为氢难以准确地定量分析,本文提供的所有原子百分比(即,at%)值不包括氢。
在一些实施方式中,硅烷被用作还原剂并且包括金属氟化物的导电膜也包括少量的硅。例如,在一些实施方式中,硅含量可以是小于大约15at%。在一些实施方式中,硅含量可以是从大约0.01至大约10at%,从大约0.1至大约5at%,或者从大约0.1至大约2at%。在一些实施方式中,包括金属氟化物的导电膜中的硅含量优选地是小于大约1.5at%。
在一些实施方式中,硼烷被用作还原剂并且包括金属氟化物的导电膜也包括少量的硼。例如,在一些实施方式中,硼含量可以是小于大约15at%。在一些实施方式中,硼含量是从大约0.01至大约10at%,从大约0.1至大约5at%,或者从大约0.1至大约2at%。在一些实施方式中,硼含量是小于大约1.5at%。
在一些实施方式中,膜包括少量的氮。例如,在一些实施方式中,氮含量可以是在从大约0.5至大约50at%,从大约1至大约20at%,或者从大约2至大约15at%的范围内。
在一些实施方式中,膜包括大于大约10at%,从大约20至大约75at%,从大约40至大约70at%,或者从大约45至大约65at%的量的氟。
在一些实施方式中,膜具有从大约0.25至大约5,从大约0.5至大约3,或者从大约1至大约2.5的氟与钛比率(F/Ti(at%/at%))。
在一些实施方式中,尽管事实上膜是抗氧化的,但是膜可以包括少量的氧。例如,在一些实施方式中,氧含量是小于大约2.5at%,小于大约1.5at%,小于大约1.0at%,或者甚至小于大约0.5at%。
在一些实施方式中,包括金属氟化物并且通过如本文所述的ALD方法沉积的导电膜比通过已知的蒸汽沉积方法诸如通过ALD沉积的相应的金属氮化物膜具有更大的抗氧化性。
在一些实施方式中,金属氟化物膜具有良好的平滑度,其可以减小或防止下面的膜——例如在包括TiF3的层下的TiN膜——的氧化。在一些实施方式中,包括金属氟化物的膜的氧扩散或氧化不会像在下面的膜——诸如下面的TiN层——一样深地行进。
在一些实施方式中,通过ALD方法沉积包括TiF3的导电薄膜,该ALD方法包括用于以自限制的方式在基底表面上吸附TiF4的第一子循环以及用于将TiF4还原为TiF3的第二子循环。例如,在第一子循环中可以提供TiF4使得上至单层的TiF4在基底表面上形成。第一子循环可以重复两次或更多次。在一些实施方式中,在各自的第一子循环之间包括吹扫步骤(purge step)。在第二子循环中,基底被交替地和连续地暴露于还原剂,诸如硅烷或硼烷化合物,以及氮反应物,诸如氨。第二子循环用来将在基底表面上的TiF4的至少一部分还原为TiF3。在一些实施方式中,形成的膜包括TiF3和相对少量的硅或硼和氮。在一些实施方式中,形成的膜包括TiF3和一些氮的混合物。在一些实施方式中,膜是TiF3和TiN的混合物。
第一和第二子循环的每个可以被在超循环中重复一次或多次。重复超循环直到获得期望厚度的膜。通过调节在一个或多个超循环中两个子循环的比率,TiF3的量可以增加而不引入不期望量的硅或氮。特别地,在一些实施方式中,相对于第一子循环,增加第二子循环——其中基底交替地和连续地与还原剂和氮反应物接触——的数量增加了转化为TiF3的TiF4的量。
在一些实施方式中,还原(第二)子循环可以利用硅化合物;然而,可以使用其他的化合物。在一些实施方式中,硅化合物是硅烷化合物,诸如SiH4、Si2H6或Si3H8。在一些实施方式中,在至少一个还原子循环中可以使用硼化合物。例如,在一些实施方式中,还原剂可以是硼烷化合物,诸如BH3、B2H6或三硼烷的一种或多种。应当理解,也可以使用其他还原剂。在一些实施方式中,在每个子循环中使用相同的还原剂,而在其他的实施方式中,在一个或多个子循环中,可以利用不同的还原剂。
在一些实施方式中,氮反应物可以包括NH3、氮原子、氮自由基、氮等离子体、可以例如通过等离子体生成的包括氮的其他激发的种类、或者其他合适的含氮化合物的一种或多种。
在一些实施方式中,沉积包括TiF3的导电薄膜,其具有比通过没有将氟并入膜的已知的蒸汽沉积方法沉积的TiN膜——诸如通过ALD沉积的TiN膜——更大的抗氧化性。
在一些实施方式中,沉积包括氟的导电薄膜,诸如包括至少一些氮的金属氟化物薄膜,其是平滑的并且不具有柱状晶粒结构。在一些实施方式中,膜具有晶粒结构或形态学,其不具有许多或太多实质的晶粒边界——例如与正常的ALD TiN膜相比,其也趋向于抑制膜的氧化。在一些实施方式中,包括氟的导电薄膜比通过ALD沉积的TiN膜具有更少的晶粒边界。
在一些实施方式中,沉积包括TiF3\具有大约500nm或更小的厚度的导电薄膜。在一些实施方式中,薄膜具有小于大约100nm,小于大约50nm,或者小于大约10nm的厚度。在一些实施方式中,可以根据将使用膜的应用选择厚度。例如在膜将用作p型覆盖层或用作防氧化层的情况下,膜的厚度可以远小于以上所述,例如,从大约2至大约从大约3至大约以及在一些情况下从大约5至大约
在一些实施方式中,包括氟的导电薄膜——这种金属氟化物薄膜包括至少一些氮——不是纳米层压材料并且金属氟化物和金属氮化物的分离的层是不可见的。在一些实施方式中,在超循环中实施小于大约60个或小于大约40个连贯的金属氟化物沉积子循环(MF)。在一些实施方式中,在超循环中实施小于大约10个或小于大约5个连贯的还原子循环。
例如,在一些实施方式中,包括TiF3的导电薄膜不是纳米层压材料膜或者其中氟化钛和氮化钛的区别的(distinct)和分离的层是可观察的膜。
虽然主要地在形成包括TiF3的导电薄膜的情况下图解,但是使用ALD超循环——其包括其中利用金属氟化物反应物的至少一个子循环——可以沉积其他金属氟化物膜或含有至少一些氟的膜。例如,在一些实施方式中,通过ALD方法可以沉积包括两种不同的金属和氟的金属氮化物膜,该ALD方法包括其中使基底交替地和连续地与第一金属反应物和第一氮反应物接触的第一子循环和其中使基底交替地和连续地与金属氟化物和还原剂诸如硅烷或硼烷接触的第二子循环。例如,在美国申请号13/802,157中描述了示例性的方法,在此通过引用以其全部并入。
在一些实施方式中,提供了用于沉积M1 xM2 yNz膜的方法,其中M1和M2是不同的金属,并且可以选自,例如,Ti、Ta、Nb、Mo和W。在一些实施方式中,方法包括其中通过使基底交替地和连续地与金属前体——诸如金属卤化物和氮反应物诸如NH3——接触沉积第一金属氮化物的第一ALD子循环,和其中通过使基底交替地和连续地与第二不同的金属氟化物反应物以及第二反应物诸如Si2H6接触沉积元素金属的第二ALD子循环。该两个子循环一起形成可以重复所需的许多次的超循环以获得用于特定应用的适当厚度的膜。在每个超循环中,可以调节金属氮化物与金属子循环的比率以获得期望的膜组成和性质。在一些实施方式中,M1 xM2 yNz膜的表面包括大约0.5at%至大约10at%的氟。在一些实施方式中,整个M1 xM2 yNz膜包括大约0.1at%至大约5at%,优选地从大约0.3at%至大约4at%的氟。
在一些实施方式中,形成TixWyNz膜的方法包括其中通过使基底交替地和连续地与钛前体诸如TiCl4和氮反应物诸如NH3接触沉积氮化钛的第一ALD子循环,和其中通过使基底交替地和连续地与氟化钨反应物诸如WF6和第二还原反应物诸如Si2H6接触沉积钨的第二ALD子循环。氮和还原反应物可以是如本文中其他地方所述的。两个子循环一起形成可以重复所需的许多次的超循环以获得用于特定应用的适当厚度的包括氟的薄膜。在每个超循环内,可以调节TiN与W子循环的比率以获得期望的膜组成和性质。
本文所述的ALD方法可以用于沉积包括金属氟化物的膜,其可以被称为MF膜,诸如包括氟化钛的膜。化学计量以及因此M和F的相对量可以变化。例如,包括氟化钛的膜中Ti和F的相对量可以变化。进一步地,如以上所讨论的,在一些实施方式中,膜可以包括两种不同的金属。可以控制膜中每种元素的量,例如通过控制沉积方法中子循环的比率。
例如,在用于形成包括TiF3的导电膜的一些实施方式中,相对于氟化钛子循环增加还原子循环的数量可以减小膜中TiF4的量同时增加膜中TiF3的量。在一些实施方式中,氟化钛与还原子循环比率是小于或等于大约1并且可以产生具有小于大约10at.-%的氮含量的TiF3膜。随着氟化钛与还原子循环比率增加,膜中氟化物的量一般地增加并且相对的TiF3含量增加并且氮含量也可以减小。不受理论所束缚,据信,在一些情况下,可以形成固溶体。在一些实施方式中,这可以导致被称为固溶体强化的现象。
原子层沉积(ALD)
ALD型方法是基于前体化学品的控制的自限制表面反应。通过将前体交替地和连续地进料入反应室避免气相反应。蒸汽相反应物在反应室中彼此分离,例如,通过在反应物脉冲之间从反应室去除过量的反应物和/或反应物副产物。
简要地,基底被装载到反应室中并且被加热至合适的沉积温度——一般地在降低的压力下。在一些实施方式中,基底包括300mm硅晶片。在一些实施方式中,基底包括450mm晶片。沉积温度被维持低于前体热分解温度但是在避免反应物凝聚和为期望的表面反应提供活化能的足够高的水平。当然,用于任何给定的ALD反应的适当的温度窗将依赖于所涉及的表面终止和反应物种类。
第一反应物以蒸汽相脉冲的形式被引导或脉冲到室中并且与基底的表面接触。优选地选择条件使得不超过大约一个单层的前体以自限制的方式吸附在基底表面上。过量的第一反应物和反应副产物——如果存在的话,通常用惰性气体诸如氮或氩的脉冲从反应室吹扫。
吹扫反应室意味着,诸如通过用真空泵抽空室和/或通过用惰性气体诸如氩或氮替换反应器内的气体,将蒸汽相前体和/或蒸汽相副产物从反应室去除。通常的吹扫时间是从大约0.05至20秒,更优选地在大约1和10秒之间,并且仍更优选地在大约1和2秒之间。然而,如有必要,诸如当需要在超高纵横比结构或具有复杂的表面形态学的其他结构上沉积层时,可以利用其他吹扫时间。技术人员可以基于具体情况容易地确定适当的脉冲时间。
第二气态反应物被脉冲到室,在该室中其与结合到表面的第一反应物反应。表面反应的过量的第二反应物和气态副产物被吹扫出反应室——优选地借助于惰性气体。重复脉冲和吹扫的步骤直到已经在基底上形成期望厚度的薄膜,其中每个循环留下不超过分子单层。在形成金属氟化物膜诸如TiF3膜中,在每个ALD超循环中,两个子循环被重复一次或多次。
也可以供应有助于沉积方法的另外的反应物。这种反应物可以以它们自己的脉冲提供或者连同前体脉冲一起提供,并且可以用于例如提供期望的表面终止,或者用于除去或吸取(getter)粘附的配体和/或游离的副产物。在一些实施方式中,另外的反应物不贡献任何种类至生长的膜。
在标准条件(室温和大气压力)下,方法中采用的前体可以是固体、液体或气态材料,条件是在它们被引导到反应室中并且与基底表面接触之前它们处于蒸汽相。
如上所提到的,每个循环或子循环的每个脉冲或相优选地是自限制的。在每个相中供应过量的反应物前体以使易受影响的结构表面饱和。表面饱和确保了反应物占据所有有效反应部位(例如,经受物理尺寸或“空间位阻”限制),并且因而提供优异的阶梯覆盖。在一些布置中,通过例如允许反应物脉冲的一些重叠以折中(trade off)针对共形性的沉积速度(通过允许一些CVD-型反应)可以调节自限制行为的程度。反应物在时间和空间上良好地分离的理想ALD条件提供接近完美的自限制行为以及从而最大的共形性,但是空间位阻导致小于一个分子层每循环。与自限制ALD反应混合的受限制的CVD反应可以提高沉积速度。
将汽化的反应物“脉冲”到基底上意味着引导蒸汽进入室持续有限的时间段。通常,脉冲时间是从大约0.05秒至大约10秒。然而,依赖于基底类型和其表面积,脉冲时间可以甚至比大约10秒更高。
作为实例,对于在单晶片ALD反应器中的300mm晶片,前体通常被脉冲持续从大约0.05秒至大约10秒,更优选地持续从大约0.1秒至大约5秒并且最优选地持续从大约0.3秒至大约3.0秒。然而,在一些情况下,脉冲时间可以是分钟的数量级。基于特定的情况,技术人员可以容易地确定最佳的脉冲时间。
技术人员可以确定金属前体的质量流率。在一些实施方式中,例如,对在300mm晶片上的沉积,反应物的流率优选地是在大约1sccm和大约1000sccm之间,大约10sccm至大约800sccm,或大约50sccm至大约500sccm,但不限于此。
可以独立地选择每种反应物的脉冲时间和质量流率。在一些实施方式中,两种或更多种反应物的脉冲时间(和/或质量流率)是相同的,然而在一些实施方式中,脉冲时间(或质量流率)是不同的。
反应室中的压力通常是从大约0.01mbar至大约20mbar,更优选地从大约1mbar至大约10mbar。然而,在一些情况下,压力将比该范围更高或更低,如技术人员根据多个参数——诸如正使用的特定的反应器、方法和前体——所容易确定的。
在开始膜的沉积之前,基底可以被加热至合适的生长温度,如以上所讨论的。优选的沉积温度可以依赖于许多因素诸如并且不限于反应物前体、压力、流率、反应器的布置和基底的组成——包括待在其上沉积材料的性质——而变化。技术人员可以基于具体情况选择具体的生长温度。
在一些实施方式中,沉积温度是大约100℃至大约700℃,大约200℃至大约500℃,大约250℃至大约400℃,或者大约325℃至大约375℃。
处理时间部分地依赖于待产生的层的厚度、膜的组成、单个的沉积子循环的生长速率和总体生长速率。
可以使用的合适的反应器的实例包括从ASM America,Inc of Phoenix,Arizona和ASM Europe B.V.,Almere,Netherlands商业上可获得的ALD设备诸如反应器、反应器和400系列反应器。除了这些ALD反应器之外,还可以采用能够ALD生长薄膜的许多其他种类的反应器,其包括装配有用于脉冲前体的适当的设备和工具的CVD反应器。在一些实施方式中,使用流动型ALD反应器。
在一些实施方式中,反应器是间歇式反应器,其能够容纳超过大约50个基底,超过大约100个基底,或者超过大约125个基底。在一些实施方式中,反应器是微型间歇式反应器并且具有从2至大约20个基底,从3至大约15个基底,或者从4至大约10个基底。在一些实施方式中,基底是硅晶片,诸如具有至少大约150mm的直径的硅晶片。在一些实施方式中,基底是具有至少大约200mm,或至少大约300mm的直径的硅晶片。在一些实施方式中,基底可以是具有至少大约450mm的直径的硅晶片。
本文所述的用于沉积包括金属氟化物的导电膜的ALD方法可以任选地在反应器或连接至组合设备工具(cluster tool)的反应空间中进行。在组合设备工具中,因为每个反应空间专用于一种类型的方法,每个模块中反应空间的温度可以保持恒定,与其中在每个运行之前基底被加热上至处理温度的反应器相比其改进了生产量。
独立反应器可以装配有装载锁(load-lock)。在那种情况下,不必须在每个运行之间冷却反应空间。
包括金属氟化物的导电膜的沉积
如以上所提到的以及如以下所详细讨论的,使用金属氟化物沉积子循环和还原子循环可以沉积包括金属氟化物的导电膜。在一些实施方式中,金属可以选自Ti、Ta、Nb、Mo和W。该两个子循环可以在超循环中以期望的比率重复以形成平滑的膜和/或纳米晶膜。在一些实施方式中,导电薄膜——诸如包括金属氟化物的薄膜——不具有柱状晶粒结构。
在一些实施方式中,沉积方法是ALD方法。在一些实施方式中,沉积方法是连续的或循环的方法,诸如利用与ALD方法相同的前体和条件选择的连续的或脉冲的CVD方法。在一些实施方式中,沉积方法是PECVD方法。在一些实施方式中,沉积方法是LPCVD/RTCDV方法。在一些实施方式中,沉积方法具有非自限制的步骤。在一些实施方式中,方法可以在接近CVD条件的方法条件范围(regime)下或者在一些情况中完全在CVD条件下运行。
在一些实施方式中,包括金属氟化物的导电薄膜通过可以包括多个超循环的方法被沉积,其中每个超循环包括至少一个MF(金属氟化物)子循环和至少一个还原子循环。在每个超循环中MF和还原子循环的比率可以变化以获得期望的组成,并且可以选择超循环的数量以沉积期望厚度的含氟膜。在一些实施方式中,在超循环中连续进行的每个子循环的数量是受限制的,以便形成均匀的导电薄膜,诸如包括金属氟化物的导电膜,其中MF和MN的区别的层是不可见的,例如,在横截面TEM或SEM图像中。
超循环可以写为:
a[b(MF)+c(还原剂+氮化合物)],其中MF表示MxFy子循环并且b是在每个超循环中的MF子循环的数量;(还原剂+氮化合物)表示还原子循环并且c是每个超循环中还原子循环的数量;并且a是超循环的数量。金属氟化物与还原子循环的比率可以被给出为b∶c。
可以以选择的比率提供第一和第二沉积子循环(b和c)以沉积具有期望的组成和期望的性质的薄膜。例如,在一些实施方式中,在一个或多个超循环中第一金属氟化物沉积子循环与第二还原子循环的比率(b∶c)可以是从大约0.01至大约100,大约0.05至大约50或者大约0.1至大约1。在一些实施方式中,在一个或多个超循环中金属氟化物吸附子循环与还原子循环的比率是小于一。在一些实施方式中,在一个或多个超循环中金属氟化物吸附子循环与还原子循环的比率是在大约1和大约3之间。在一些实施方式中,在一个或多个超循环中金属氟化物吸附子循环与还原子循环的比率是在大约1和大约50之间,在大约3和大约30之间或者在大约5和大约20之间。在一些实施方式中,在一个或多个超循环中金属氟化物吸附子循环与还原子循环的比率是大约0.5、大约1、大约3、大约5、大约10、大约20、大约40或大约50。
在一些实施方式中,在该方法中进行的所有完整的超循环中第一金属氟化物吸附子循环与第二还原子循环的比率(b∶c)是相同的。在其他的实施方式中,在不同的完整的超循环中第一金属氟化物吸附子循环与第二还原子循环的具体的比率可以是变化的。技术人员可以选择具体的比率以提供膜中期望量的金属、氟化物和氮并且因而获得具有期望性质的膜。
虽然称为第一金属氟化物吸附子循环和第二还原子循环,但在一些实施方式中,一个或多个超循环以还原子循环开始,其之后是(在重复期望数量的次数之后)金属氟化物吸附子循环(在开始另一个超循环之前其也可以重复期望数量的次数)。
在一些实施方式中,超循环可以写为:
a[b(MF+还原剂)+c(氮反应物)],其中b是每个超循环中MF子循环——其包括还原剂——的数量;c是每个超循环中氮反应物子循环的数量;并且a是超循环的数量。金属氟化物与氮子循环的比率可以给出为b∶c。
在一些实施方式中,金属或M包括Ti、Ta、Nb、Mo或W。
在一些实施方式中,还原剂包括硅烷或硼烷。在一些实施方式中,还原剂是硅烷、乙硅烷或丙硅烷。在一些实施方式中,还原剂是硼烷、乙硼烷或三硼烷。如以上所提到的,虽然称为“还原剂”,但在一些实施方式中,不必须发生实际的化学还原。类似地,在一些实施方式中,在“还原子循环”不必须发生还原。
在一些实施方式中,氮-前体可以选自氨、N2H4、氮原子、含氮等离子体或氮自由基或在等离子体中生成的其他种类。
在一些实施方式中,热ALD方法用于沉积导电氟化物膜并且N-前体是氨或N2H4。在一些实施方式中,使用等离子体ALD方法并且用于沉积导电含氟化物膜的N-前体包括氮原子、含氮等离子体或氮自由基。
以下提供用于沉积包括TiF3的示例性的导电薄膜和含氟TiWN膜的具体的方法条件和参数,但是关于这些方法描述的方法条件可以应用于沉积包括氟化物的其他导电膜。
在一些实施方式中,在相同的反应温度下进行第一和第二沉积子循环。在一些实施方式中,用于金属氟化物和还原子循环的一个或二者的沉积温度是大约100℃至大约700℃,大约200℃至大约500℃,大约250℃至大约400℃,或者大约325℃至大约375℃。在一些实施方式中,TiF4和还原子循环二者都在大约350℃下实施。
在一些实施方式中,选择金属氟化物子循环与还原子循环的比率以沉积膜,该膜以非常薄的厚度诸如小于大约3nm闭合(其中闭合意味着下面基底的原子在最外表面不再被检测到,如例如通过LEIS所确定的)。在一些实施方式中,选择子循环的比率使得膜是以非常薄的厚度——诸如小于大约3nm,小于大约2nm,小于大约1.5nm,或者甚至小于大约1.0nm——电连续的,即,传导电流。在一些实施方式中,选择子循环的比率使得膜在连续的基体中以非常薄的厚度——诸如小于大约3nm,小于大约2nm,小于大约1.5nm,或者甚至小于大约1.0nm——作为层是连续的,但是可以含有一些不连续的特征,诸如孔。在一些实施方式中,选择子循环的比率使得膜以非常薄的厚度——诸如小于大约3nm,小于大2nm,小于大约1.5nm,或者甚至小于大约1.0nm——是未闭合的并且可以是不连续的,但是仍然用作扩散屏障。
在一些实施方式中,沉积包括氟化物的导电膜,其具有低于大约2nm,低于大约1.5nm,低于大约1.0nm,或者甚至低于大约0.7nm的RMS粗糙度,其中厚度是从大约20至大约50nm。然而,在一些实施方式中,对于具有小于大约10nm的厚度的膜,RMS粗糙度低于大约0.5nm,低于大约0.4nm或者甚至低于大约0.3nm。可以例如通过X射线反射率(XRR)测量RMS粗糙度。
在一些实施方式中,增加每个超循环中还原子循环的相对数量增加了金属氟化物膜的薄膜电阻和/或电阻率。
在一些实施方式中,根据本公开内容形成的导电含氟化物膜可以具有小于大约200,000Ω/sq,小于大约140,000Ω/sq,小于大约20,000Ω/sq,小于大约10,000Ω/sq,小于大约1,000Ω/sq,或者甚至小于大约1,000Ω/sq的薄膜电阻。
在一些实施方式中,根据本公开内容形成的导电含氟化物膜可以具有小于大约106μΩcm,小于大约105μΩcm,或者小于大约50000μΩcm的层电阻率。
在一些实施方式中,根据本公开内容形成的导电含氟化物膜可以具有至少大约500μΩcm,至少大约1,000μΩcm,至少大约5,000μΩcm,或者甚至至少大约10,000μΩcm的层电阻率。
在一些实施方式中,根据本公开内容形成的包括金属氟化物的膜在含氧气氛中在低于大约500℃,低于大约400℃,低于大约300℃,或者低于大约250℃的温度下可以展现基本上没有氧化。在一些实施方式中,膜在含氧气氛诸如周围空气中在室温或户外自然发生的温度——诸如从大约-50℃至大约50℃——持续长时期抗氧化。例如,根据一些实施方式,根据本方法形成的膜可以抗氧化持续超过6小时,优选地超过24小时,并且,在一些情况下,依赖于膜组成,膜可以抗氧化持续超过10天,优选地超过30天,以及,在一些情况下,如果希望,超过1年的时期。在一些特定的应用中,例如在包括金属氟化物的膜被用作防周围空气——其也可以包括湿气/水——的保护层的情况中,可能发生暴露于例如周围空气。其他的含氧气氛可以包括氧原子、等离子体或自由基、臭氧、水/湿气或含OH-基的其他种类。
通过ALD沉积包括TiF3的膜
如以上所提到的,在一些实施方式中,用于沉积包括TiF3的膜——诸如包括TiFx化合物诸如TiF3的导电膜——的原子层沉积方法可以包括多个超循环,其中每个超循环包括至少一个TiF4子循环和至少一个还原子循环。在TiF4子循环中,基底被暴露于蒸汽相TiF4使得上至单层吸附在基底表面上。在还原子循环中,基底被暴露于还原剂诸如硅烷或硼烷和氮反应物。TiF4和还原子循环的比率可以变化以获得期望的组成,并且可以选择超循环的数量以沉积期望厚度的氟化钛膜。TiF4子循环可以在还原子循环之前发生并且反之亦然。类似地,在还原循环中,还原剂可以在氮反应物之前发生并且反之亦然。
在一些实施方式中,TiF4子循环可以包括还原剂,诸如硅烷化合物或硼烷化合物。并且在一些实施方式中,第二子循环不包括硅烷或硼烷化合物。
超循环可以写为:
a[b(氟化钛)+c(还原剂+氮反应物)],其中(氟化钛)表示TiF4子循环并且b是每个超循环中TiF4子循环的数量;(还原剂+氮反应物)表示还原子循环并且c是每个超循环中还原子循环的数量;并且a是超循环的数量。虽然图解了在超循环中TiF4子循环首先出现,但是在一些实施方式中,在一个或多个超循环中,还原子循环首先出现。因而在一些实施方式中,TiF4子循环可以被认为第一子循环并且还原子循环可以被认为第二子循环,然而在一些实施方式中,还原子循环可以被认为第一子循环并且TiF4子循环可以被认为第二子循环。
虽然,在一些实施方式中,超循环可以写为:
a[b(TiF4+还原剂)+c(氮反应物)],其中b是每个超循环中TiF4子循环——其包括还原剂——的数量;c是每个超循环中氮反应物子循环的数量;并且a是超循环的数量。金属氟化物与氮子循环的比率可以给出为b∶c。
在一些实施方式中,还原剂可以是硼烷或硅烷,诸如乙硼烷、三硼烷、乙硅烷或丙硅烷。在一些实施方式中,还原剂是乙硅烷。在一些实施方式中,还原剂是丙硅烷。在一些实施方式中,氮反应物可以是氨、N2H4、氮原子、含氮等离子体或氮自由基。
在一些实施方式中,超循环可以写为a[b(TiF4)+c(Si2H6+NH3)],其中b是每个超循环中TiF4子循环的数量,c是每个超循环中还原子循环的数量,并且a是超循环的数量。
TiF4与还原子循环的比率可以因而给出为b∶c(或TiF4:还原)。在一些实施方式中,子循环的比率在ALD方法中的每个ALD超循环中是恒定的。在其他的实施方式中,在一个或多个超循环中子循环的比率可以被改变。除非另有说明,当在本文中提供子循环的比率时,它指在包括多个超循环的完整的ALD方法中子循环的比率。
在一些实施方式中,在相同的反应温度下进行第一和第二沉积子循环。在一些实施方式中,用于TiF4和还原子循环的一个或二者的沉积温度是大约100℃至大约700℃,大约200℃至大约500℃,大约250℃至大约400℃,或者大约325℃至大约375℃。在一些实施方式中,TiF4和还原子循环二者都在大约350℃下实施。
在一些实施方式中,在相同的反应器中进行第一和第二子循环。
可以以选择的比率提供第一和第二子循环以沉积具有期望的组成和期望的性质的薄膜。例如,在一些实施方式中,在一个或多个ALD超循环中第一TiF4沉积子循环与第二还原子循环的比率可以是从大约0.01至大约100,大约0.05至大约50或者大约0.1至大约1。在一些实施方式中,在一个或多个超循环中TiF4沉积子循环与还原子循环的比率是小于一。在一些实施方式中,在一个或多个超循环中TiF4沉积子循环与还原子循环的比率是在大约1和大约3之间。在一些实施方式中,在一个或多个超循环中TiF4沉积子循环与还原子循环的比率是在大约1和大约50之间,在大约3和大约30之间或者在大约5和大约20之间。在一些实施方式中,在一个或多个超循环中TiF4沉积子循环与还原子循环的比率是大约0.01、大约0.2、大约0.3、大约0.4、大约0.5、大约0.6、大约0.8或大约1。
如以上所提到的,可以选择子循环的比率以获得期望的组成和期望的膜性质。在一些实施方式中,通过增加还原子循环相对于TiF4子循环的数量,更大百分比的TiF4被转化为TiF3。在一些实施方式中,增加TiF4与还原子循环的比率以增加沉积的膜的薄膜电阻和/或电阻率。
在一些实施方式中,第一TiF4沉积子循环与第二还原子循环的比率在ALD方法中进行的所有完整的ALD超循环中是相同的。在其他的实施方式中,在不同的完整的ALD超循环中,第一TiF4沉积子循环与第二还原沉积子循环的具体的比率可以变化。技术人员可以选择具体的比率以在膜中提供期望量的钛、氟、氮并且因而获得具有期望性质的膜。
在一些实施方式中,被沉积的包括TiF3的膜是导电膜。在一些实施方式中,沉积包括TiF3的膜,其具有比通过没有将氟并入膜中的已知的蒸汽沉积方法诸如ALD沉积的TiN膜具有更大的抗氧化性(例如,如在空气环境在300℃下所测量的)。
在一些实施方式中,形成包括TiF3的导电膜,其包括来自还原化合物的一些硅或硼和来自氮反应物的一些氮。例如,在一些实施方式中,沉积包括TiF3的导电膜,其含有一些Si和一些N。
在一些实施方式中,硅烷被用作还原剂并且包括TiF3的膜也包括少量的硅。例如,在一些实施方式中,硅含量可以在从大约15at%,优选地从大约0.01至大约10at%,更优选地从大约0.1至大约5at%,并且最优选地从大约0.1至大约2at%的范围内。在一些实施方式中,硅含量优选地小于大约1.5at%。
在一些实施方式中,硼烷被用作还原剂并且包括TiF3的膜也包括少量的硼。例如,在一些实施方式中,硼含量可以在从小于大约15at%,从大约0.01至大约10at%,从大约0.1至大约5at%,或者从大约0.1至大约2at%的范围内。在一些实施方式中,硼含量优选地小于大约1.5at%。
在一些实施方式中,包括TiF3的膜也包括少量的氮。例如,在一些实施方式中,氮含量可以在从大约0.5至大约50at%,大约1-20at%,或者从大约2至大约15at%的范围内。
在一些实施方式中,膜包括大于大约10at%,优选地从大约20至大约75at%,从大约40至大约70at%,或者从大约45至大约65at%的量的氟。
在一些实施方式中,包括TiF3的膜包括小于大约1at%的氧。
图1图解了在反应室中在基底上形成包括TiF3的膜的ALD方法,其包括多个ALD超循环100。每个超循环包括第一TiF4沉积子循环200和第二还原子循环300。超循环100重复所需要的许多次以沉积期望厚度的TiF3膜。可以选择在超循环100内子循环200和300之间的比率以获得具有期望的组成和性质的膜。
第一氟化钛沉积子循环包括:
将汽化的TiFx诸如TiF4脉冲到反应室210以在基底上形成至多分子单层的氟化钛,和
吹扫反应室220以去除过量的氟化钛和反应副产物——如果存在的话,和
重复250脉冲和吹扫步骤。
在一些实施方式中,第一沉积子循环被连续地重复1、2、3、4,5、10、20、50、100或更多次。在一些实施方式中,第一沉积子循环被重复不超过连续地大约30-60次,上至连续地大约30至50次,或者上至连续地大约40次。
用于形成TiF3/TiN膜的原子层沉积超循环100也包括一个或多个第二还原子循环300。在一些实施方式中,第二还原子循环300包括:
将汽化的还原剂诸如乙硅烷或丙硅烷脉冲到反应室310以将至少一些TiF4还原至TiF3
吹扫反应室320以去除过量的还原剂和反应副产物——如果存在的话,
提供氮反应物诸如NH3的脉冲进入反应室330,其中氮反应物贡献至少一些氮至氟化钛膜,
吹扫反应室340以去除过量的氮反应物和任何气态副产物,和重复350脉冲和吹扫步骤。
在一些实施方式中,第二还原子循环300被连续地重复1、2、3、4、5、10、20、50、100或更多次。在一些实施方式中,第二还原子循环被重复大约3至6次,或者大约5次。
第一和第二子循环200、300在完整的ALD超循环100中被重复多次,并且该完整的ALD超循环100被重复以形成期望厚度的TiF3膜,其包括期望浓度的钛、氟和氮。
在一些实施方式中,在每个完整的ALD超循环100中,第一沉积子循环200和第二还原子循环300被重复的次数是相同的。在其他的实施方式中,在一个或多个完整的ALD超循环100中,第一和第二子循环100、200的数量变化。可以调节在每个完整的ALD超循环100中第一和第二子循环100、200的数量和第一和第二子循环100、200的总数以及总的ALD超循环100以获得期望的厚度和组成的TiF3/TiN膜的沉积。
虽然图解为以第一沉积子循环200开始,但是每个完整的ALD循环可以以第一100或第二200子循环开始和结束。例如,用于形成TiF3膜的每个ALD超循环可以以第一氟化钛沉积子循环或还原子循环开始。在一些实施方式中,一个或多个超循环可以以还原子循环开始。
在一些实施方式中,通过ALD在基底表面上沉积包括TiF3的膜以形成500nm或更小的共形薄膜。在一些实施方式中,膜的厚度小于100nm,小于大约50或小于大约10。根据应用,厚度可以小得多,诸如当用作p型覆盖层或防氧化层时,膜的厚度可以是例如,从大约2至大约优选地从大约3至大约以及在一些情况下从大约5至大约
在一些实施方式中,形成包括TiF3的膜,其仅在大于大约300℃的温度下在含氧或水/湿气的气氛诸如周围空气中开始氧化。
在一些实施方式中,形成包括TiF3膜的膜,其具有大约1.6-1.8的n和大约0.1-0.2的k值。
可以对以上描述的方法和结构做出许多更改、删除和添加,而不脱离本发明的范围。所有这些更改和改变都意欲落入本发明的范围内,如通过所附的权利要求所限定的。
实施例
2000R&D反应器中通过ALD沉积许多TiF3膜。用使用以下基本超循环的超循环方法沉积膜,所述基本超循环包括TiF4子循环和还原子循环:z[x(TiF4+y(Si3H8+NH3)]和z[x(TiF4+y(Si2H6+NH3)]。反应器温度是350℃。
基本方法参数是:TiF4;3秒脉冲/5秒吹扫,NH3;10秒脉冲/5秒吹扫,Si2H6/Si3H8;1秒脉冲/5秒吹扫。
将膜沉积在具有天然氧化物的硅上。通过改变TiF4/还原子循环比率(x/y)更改膜组成,并且通过超循环的数量(z)控制膜厚度。
通过用于薄膜电阻的利用CDE Resmap 168的四点探头测量,通过用于厚度、粗糙度和密度的利用Brüker D8Advance的X射线反射率(XRR),通过用于组成的利用使用单色AlKα的PHI Quantum 2000的X射线光电子能谱法(XPS)(由EAG labs,East Windsor,NewJersey完成分析),通过用于形态学和共形性的利用Hitachi S-4800场发射扫描电子显微镜的二次电子显微镜(SEM)并且通过用于作为退火温度函数的结晶相演变的在氮气和空气气氛中利用具有CuKα辐射和HTK 1200Anton Paar炉的PANalytical X’Pert Pro MPD X射线衍射仪的加热级(heated stage)X射线衍射(XRD)表征膜。
ALD方法产生膜,其含有令人惊讶的量的氟。XPS和XRD分析显示膜是TiF3和TiN的混合物。膜是透明的并且是导电的。表1总结了具有不同的TiF4/还原子循环比率的方法的组成、电阻率、粗糙度、密度和生长速率。
表1具有不同的脉冲比率的TiF4+Si2H6/Si3H8+NH3的Rs和椭偏数据
图2图解了使用Si3H8作为还原剂的该实验的样品3的膜的XRD图谱。
发现包括TiF3的膜比TiN更抗氧化。在本实验中形成的TiF3/TiN膜含有小于大约1at%的氧。热力学平衡计算显示TiF3/TiN混合物氧化在周围空气(即,N2、O2和H2O)中发生,使得TiN首先被氧化(图3)。
也确定的是,包括TiF3的膜仅在空气环境中大于300℃的温度下开始氧化(图4)。不与任何特定的理论联系在一起,据信,因为Ti-F键比Ti-O键更强,所以TiF3展现比TiN更大的抗氧化性。据信,TiF3具有分别大约1.6-1.8和0.1-0.2的n和k。
在单独的实施例中,在2000R&D反应器通过ALD沉积TixWyNz膜。使用以下用于TiN和W的基本二元化学的超循环方法沉积膜:z[x(TiCl4+NH3)+y(Si2H6+WF6)]。反应器温度是350℃。Si2H6和WF6的稳态流率是100sccm,并且NH3的稳态流率是240sccm。TiCl4被填充到液体源,其在室温(21℃)下是蒸汽推动模式并且使用N2作为载气。
基本的方法参数是:TiCl4;50ms脉冲/5s吹扫,NH3;10s脉冲/5s吹扫,Si2H6;0.5脉冲/5s吹扫和WF6;0.5s脉冲/5s吹扫。
为了共形性,在200mm、20nm TiN/20nm SiO2/Si和20nm SiO2/Si晶片上和在2nmHfO2/Si平面晶片块(≈10×10cm)上或者在图案化的天然SiO2/Si(≈5×5cm)块上沉积膜。在沉积运行期间将块放置在200mm适配器晶片(adapter wafer)上。通过改变TiN/W循环比率(x/y)更改膜组成,并且通过超循环的数量(z)控制膜厚度。
通过用于薄膜电阻的利用CDE Resmap 168的四点探头测量,通过用于厚度、粗糙度和密度的利用Brüker D8Advance的X射线反射率(XRR),通过用于组成的利用使用单色AlKα的PHI Quantum 2000的X射线光电子能谱法(XPS)(由EAG labs,East Windsor,NewJersey完成分析),通过用于形态学和共形性的利用具有Hitachi S-4800场发射扫描电子显微镜的二次电子显微镜(SEM)并且通过用于作为退火温度函数的结晶相演变的在氮气和空气气氛中利用具有CuKα辐射和HTK 1200Anton Paar炉的PANalytical X’Pert Pro MPDX射线衍射仪的加热级X射线衍射(XRD)表征膜。
表2总结了具有不同的TiN/W循环比率的TiN/W混合方法的组成、电阻率、粗糙度、密度和生长速率。如在表2中可见的,随着增加TiN/W循环比率和降低粗糙度,膜的氟含量增加。
表2.ALD TixWyNz层的性质。在表中报道的组成是在用2keV Ar+离子溅射直到信号 中不存在表面碳污染之后通过XPS测量的膜的组成。
纯的W膜以的高生长速率生长,比得上在文献中报道的关于Al2O3的生长速率。然而,W膜的粗糙度也非常高。在W循环之间添加一些TiN循环降低了膜的生长速率并且同时膜的粗糙度被大幅减小。然而令人惊讶的是,当TiN/W循环比率是≤1时膜不含有任何钛。相反地,所得的膜是具有小于10at%氮和一些硅杂质的WxNy。这可以表明在W循环之间的TiN循环更改了W的成核行为并且产生了更低的生长速率和更平滑的膜。
当TiN/W循环比率增加至≥3时,随着增加的TiN/W循环比率,膜开始显示氮含量的进一步增加和钛含量的缓慢增加。这表明,当在W循环之前完成足够量的TiN循环时,Si2H6和WF6不能够从表面去除所有的钛并且因此膜的钛含量逐渐开始增加。
当膜的钛含量较低时膜的电阻率首先随着氮含量的增加而增加,并且然后当膜的钛含量超过≈20at%时电阻率又开始减小。
通过X射线衍射分析研究了膜的结晶相。纯的W膜显示了β-W晶体结构。以前没有报道纯的ALD钨的亚稳β-W相的稳定性。为了确定β-W稳定性是否是ALD W方法本身的一般结果,或者它是否由HfO2基底稳定,纯的W方法也在TiN和SiO2基底上运行。这些结果呈现在图5A-C中,其显示了沉积在TiN(图5A)、SiO2(图5B)和HfO2(图5C)表面上的100个循环的纯ALD-W膜的XRD图谱。XRD峰移位至更高的2θ-值指示了在所有情况下膜具有残余的拉伸应力。图5A中峰强度主要由随着较高的沉积温度增加的晶粒尺寸引起,并且部分由于随着较高的沉积温度的较高的生长速率。在150℃下,在TiN表面上没有膜生长。
发现TiN基底促进β-W晶体结构的稳定性,然而在SiO2基底上所生成的膜好像是具有小晶粒尺寸的α-W,如通过在≈40°处宽的XRD 2θ峰所指示的。在所有情况下,与粉末衍射参考值相比,XRD 2θ峰移位至更高的2θ值,这指示了钨膜在所有表面上具有拉伸残余应力。然而,在TiN和HfO2上的β-W比在SiO2上的α-W移位更大。α-W向β-W转变也可以部分地解释与报道的SiO2上的生长速率相比,对于在TiN和HfO2上观察到的W和在文献中所报道的关于Al2O3的较高的ALD生长速率β-W具有的晶格参数,而对于α-W,它是
图6A和B显示了在HfO2上沉积混合的TixWyNz膜的结果。具有小于3的TiN/W循环比率,XRD分析显示在40和70°处两个非常宽的峰。这些峰不能够被归属到XRD数据库中的任何含有W和N的化合物;然而它们的位置匹配β-W峰,所以可能的是这些膜仍然具有β-W的晶体结构,但是具有极小的晶粒尺寸。
以TiN/W循环比率3≤5(Ti含量3≤7at%)形成的TixWyNz膜适应W2N的晶体结构,其中在晶格中钨原子被钛原子无规地置换。对于在3和5之间的TiN/W循环比率,TixWyNz膜中的W2N峰是可见的,但是2θ值在W2N和TiN峰之间移位。XRD峰的强度比率也随着TixWyNz层的组成改变。XRD图谱中的这种类型的行为对于固溶体是典型的。
随着更大的TiN/W循环比率,XRD峰移位至更接近TiN峰。在使用TiN/W循环比率≥20(Ti含量≥25at%)沉积的膜的情况中,膜适应TiN的晶体结构,其中在晶格中钛原子被钨原子无规地置换。
WxNy和TixWyNz膜二者都展现比具有比得上厚度的纯的W或TiN膜基本上更宽的XRD峰。用Debye-Scherrer方法估算的W0.9N0.1(1∶1TiN/W循环比率)的晶粒尺寸是≈2nm并且Ti0.26W0.33N0.41(20∶1TiN/W循环比率)膜的晶粒尺寸是≈20nm。图7呈现了以多种TiN∶W子循环比率沉积的WxNy和TixWyNz层,连同纯的W和TiN的形态学的比较。纯的W和TiN膜中清晰可见的柱状晶粒结构在混合的方法膜(mixed process film)的SEM图像中不存在。这证实了XRR分析中建模的平滑膜表面和XRD图谱中的宽峰是在SEM分析中具有不可见晶粒形态的混合的方法膜的纳米晶相的结果。
图8呈现了3D沟槽结构中W0.9N0.1(1∶1TiN/W循环比率)膜的SEM图像。膜生长中真实的ALD性质在沟槽内是明显的,显示在沟槽内的恒定的膜厚度——即使沟槽宽度随着它的深度增加。
通过加热级XRD研究了具有Ti0.26W0.33N0.41(20∶1TiN/W循环比率)组成的三元TixWyNz膜的纳米晶相的稳定性和抗氧化性。在氮气气氛中,在上至875℃下,纳米晶相是稳定的,如图9A中显示的,在加热循环期间没有晶粒粗化的迹象。图9B显示了与具有类似厚度的纯TiN膜的比较。Ti0.26W0.33N0.41的FWHM是≈0.7°并且TiN的FWHM是≈0.4°。该结果表明,对于Ti-W-N系统,Ti-W合金中纳米晶相的理论预测的高热力学稳定性也可以是真的。对于40nm厚的Ti0.26W0.33N0.41膜,用Debye-Sherrer方法估算的晶粒尺寸是大约20nm。
将通过TiWN薄膜获得的抗氧化性与通过TiN膜获得的抗氧化性比较,进行进一步的测试。使用如本文所讨论的ALD方法生长TiWN膜,其产生具有比用ALD-沉积的TiN膜所获得的更高的抗氧化性的纳米晶金属膜。具体地,通过ALD从x子循环的TiCl4和NH3和y子循环的Si2H6和WF6沉积TiWN膜。以下表3说明了与相同的三个标称厚度下的纯TiN膜的抗氧化性相比,以20∶1(x∶y)和40∶1(x∶y)的循环比率沉积的TiWN薄膜的抗氧化性。
表3:在薄TiWN和TiN膜氧化之前和之后的Rs
*O3暴露条件:250g/Nm3,500sccm,400℃,15min。
**O3暴露条件:250g/Nm3,500sccm,400℃,30min。
可以看出,TiW比TiN氧化得更慢。不限于任何特定的理论,据信,这是由与TiN相比TiWN膜的更多的纳米晶结构引起的。因为TiWN膜未展现柱状结构,所以在膜内它们经历较慢的氧扩散。此外地,TiWN膜中的氟含量抵抗氧的扩散。与膜的体积相比,TiWN膜的表面富含氟。并且氟化物的氧化(即,2TiF3+2O2→2TiO2+F2)是热力学上不利的反应。
虽然已经讨论了某些实施方式和实施例,但是本领域技术人员应当理解的是,权利要求的范围延伸超出具体公开的实施方式至其他可选的实施方式和/或用途和其明显的更改和等价形式。

Claims (16)

1.一种用于在基底上沉积包括TiF3的导电氟化物薄膜的原子层沉积(ALD)方法,所述方法包括多个超循环,每个超循环包括金属氟化物子循环和还原子循环,其中:
所述金属氟化物子循环包括使所述基底与金属氟化物接触;和
所述还原子循环包括交替地和连续地使所述基底与还原剂和氮反应物接触。
2.根据权利要求1所述的方法,其中所述金属氟化物包括选自Ti、Ta、Nb、Mo和W的金属。
3.根据权利要求1所述的方法,其中所述金属氟化物包括TiF4
4.根据权利要求1所述方法,其中所述还原剂是硅烷或硼烷。
5.根据权利要求4所述的方法,其中所述还原剂包括乙硅烷或丙硅烷。
6.根据权利要求1所述的方法,其中所述氮反应物选自氨、N2H4、氮原子、含氮等离子体和氮自由基。
7.根据权利要求1所述的方法,其中所述金属氟化物子循环和所述还原子循环在所述多个超循环的至少一个中以至少0.1的比率进行。
8.根据权利要求1所述的方法,其中所述氟化物薄膜包括5至40at%的氮。
9.根据权利要求1所述的方法,其中所述氟化物薄膜在小于300℃下不被空气环境氧化。
10.一种用于在基底上沉积包括TiF3的导电氟化物薄膜的原子层沉积(ALD)方法,所述方法包括多个超循环,每个超循环包括金属氟化物子循环和第二子循环,其中:
所述金属氟化物子循环包括使所述基底与金属氟化物接触;和
所述第二子循环包括使所述基底与氮反应物接触;
其中在所述金属氟化物子循环和所述第二子循环的至少一个中分开地提供硅烷化合物和硼烷化合物的至少一个。
11.根据权利要求10所述的方法,其中在所述金属氟化物子循环中提供硅烷化合物和硼烷化合物的至少一个。
12.根据权利要求10所述的方法,其中在所述第二子循环中提供硅烷化合物和硼烷化合物的至少一个。
13.根据权利要求10所述的方法,其中通过所述方法获得的所述氟化物薄膜具有小于100nm的厚度。
14.根据权利要求10所述的方法,其中所述硅烷化合物、硼烷化合物和氮反应物的至少一个还原所述金属氟化物的金属的至少一些。
15.根据权利要求10所述的方法,其中通过所述方法获得的所述氟化物薄膜展现小于106μΩcm的层电阻率。
16.根据权利要求10所述的方法,其中通过所述方法获得的所述氟化物薄膜展现在低于300℃的温度下基本上没有氧化。
CN201580032801.9A 2014-04-17 2015-03-31 含氟导电膜 Active CN106471154B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201910530050.3A CN110265295A (zh) 2014-04-17 2015-03-31 含氟导电膜

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/255,799 2014-04-17
US14/255,799 US10643925B2 (en) 2014-04-17 2014-04-17 Fluorine-containing conductive films
PCT/US2015/023492 WO2015160499A1 (en) 2014-04-17 2015-03-31 Fluorine-containing conductive films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201910530050.3A Division CN110265295A (zh) 2014-04-17 2015-03-31 含氟导电膜

Publications (2)

Publication Number Publication Date
CN106471154A CN106471154A (zh) 2017-03-01
CN106471154B true CN106471154B (zh) 2019-06-28

Family

ID=54322626

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201580032801.9A Active CN106471154B (zh) 2014-04-17 2015-03-31 含氟导电膜
CN201910530050.3A Pending CN110265295A (zh) 2014-04-17 2015-03-31 含氟导电膜

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201910530050.3A Pending CN110265295A (zh) 2014-04-17 2015-03-31 含氟导电膜

Country Status (6)

Country Link
US (3) US10643925B2 (zh)
JP (1) JP6576362B2 (zh)
KR (2) KR102472965B1 (zh)
CN (2) CN106471154B (zh)
TW (3) TW202305167A (zh)
WO (1) WO2015160499A1 (zh)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US9394609B2 (en) * 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10529563B2 (en) * 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US20200024735A1 (en) * 2018-07-18 2020-01-23 Applied Materials, Inc. Erosion resistant metal fluoride coatings deposited by atomic layer deposition
US11390947B2 (en) * 2019-03-04 2022-07-19 Applied Materials, Inc. Method of forming a fluorinated metal film
KR102660213B1 (ko) * 2019-03-06 2024-04-23 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 프로그램, 기판 처리 장치 및 기판 처리 방법
TWI807195B (zh) 2019-06-28 2023-07-01 美商應用材料股份有限公司 用於改良高k可靠度之摻氟氮化物膜
JP7273168B2 (ja) * 2019-09-18 2023-05-12 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、プログラム及び基板処理装置
KR102359908B1 (ko) * 2019-10-08 2022-02-09 주식회사 유진테크 박막 증착장치 및 박막 증착방법

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1244598A (zh) * 1998-08-07 2000-02-16 三星电子株式会社 利用原子层沉积制备薄膜的方法
WO2008137399A1 (en) * 2007-05-02 2008-11-13 Asm America, Inc. Periodic plasma annealing in an ald-type process
CN101328578A (zh) * 2007-06-19 2008-12-24 气体产品与化学公司 等离子增强循环沉积氮化金属硅膜的方法
CN102598372A (zh) * 2009-11-11 2012-07-18 安维亚系统公司 锂离子电池用的经涂覆正电极材料
CN103137864A (zh) * 2011-11-22 2013-06-05 爱思开海力士有限公司 半导体器件及其制造方法
CN103160802A (zh) * 2011-12-15 2013-06-19 中国科学院微电子研究所 掺氮二氧化钛薄膜的制备方法

Family Cites Families (190)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US3925337A (en) 1969-07-31 1975-12-09 Air Prod & Chem Post chlorinated vinyl chloride copolymers
US3708728A (en) 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
JPS6037041B2 (ja) 1977-08-08 1985-08-23 エクソン リサ−チ アンド エンヂニアリング コムパニ− 元素の硫黄の製造
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS5833841A (ja) 1981-08-24 1983-02-28 Hitachi Ltd 半導体装置
DE3463641D1 (en) 1983-11-11 1987-06-19 Japan Res Dev Corp Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
CA2059818C (en) 1991-08-21 1995-01-03 Gokichi Hatouchi Apparatus for storing articles
DE4242292C2 (de) 1991-12-20 2002-06-27 Ntn Toyo Bearing Co Ltd Selbsttätige hydraulische Spannvorrichtung
JPH05335257A (ja) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk p型シリコンカーバイドの形成方法
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
FR2694451B1 (fr) 1992-07-29 1994-09-30 Asulab Sa Cellule photovoltaïque.
JPH0669157A (ja) 1992-08-21 1994-03-11 Sony Corp チタン膜の形成方法
FR2695944B1 (fr) 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
JP3356531B2 (ja) 1994-02-15 2002-12-16 東京エレクトロン株式会社 ボロン含有ポリシリコン膜の形成方法
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
EP0982772B1 (en) 1994-11-30 2003-06-25 Micron Technology, Inc. A semiconductor non-planar storage capacitor
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
JP2846477B2 (ja) 1994-12-27 1999-01-13 シーメンス アクチエンゲゼルシヤフト 炭化シリコン単結晶の製造方法
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
DE19514081A1 (de) 1995-04-13 1996-10-17 Siemens Ag Verfahren zum Herstellen eines elektrischen Kontakts auf einer SiC-Oberfläche
US5744254A (en) 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5595784A (en) 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
JPH0987857A (ja) 1995-09-27 1997-03-31 Res Dev Corp Of Japan プラズマcvdによる炭化物コーティング方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
KR0155918B1 (ko) 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
US6509098B1 (en) 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US5946594A (en) 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5965004A (en) 1996-03-13 1999-10-12 Sterling Pulp Chemicals, Ltd. Chlorine dioxide generation for water treatment
US5789024A (en) 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
JP3353874B2 (ja) 1996-09-24 2002-12-03 シャープ株式会社 半導体装置及びその製造方法
EP0841690B1 (en) 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
DE19733261C2 (de) 1997-08-01 2001-07-19 Dornier Gmbh Lindauer Rotations-Kantendreher mit direkt elektromagnetischem Antrieb für Webmaschinen
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
KR100287174B1 (ko) 1998-03-17 2001-04-16 윤종용 다원자계산화물 및 질화물의박막제조방법
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
DE19832245A1 (de) 1998-07-17 2000-01-20 Heidelberger Druckmasch Ag Verfahren zum Bedienen von Geräten in der Reproduktionstechnik
JP2960928B1 (ja) 1998-07-24 1999-10-12 スタンレー電気株式会社 車両用信号灯具
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
BR9917267B1 (pt) 1999-02-11 2010-12-14 processo de obtenÇço de revestimento com carbbetos de tungstÊnio, revestimento e material de construÇço obtidos por tal processo.
WO2000047404A1 (en) 1999-02-12 2000-08-17 Gelest, Inc. Chemical vapor deposition of tungsten nitride
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (ko) 1999-03-16 2000-10-16 이경수 산화알루미늄 막의 형성 방법
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
DE60028394T2 (de) 1999-10-15 2007-03-29 Asm International N.V. Konforme auskleidungsschichten für damaszenmetallisierungen
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
WO2001029280A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Deposition of transition metal carbides
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR20010075977A (ko) 2000-01-21 2001-08-11 윤덕용 부가 단량체 단일선구물질을 이용한 13 족 질화물 박막의제조방법
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
DE10018156A1 (de) 2000-04-12 2001-10-25 Bosch Gmbh Robert Elektromotor
US6921712B2 (en) 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6194310B1 (en) 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6713177B2 (en) 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
JP4160732B2 (ja) 2001-03-13 2008-10-08 富士フイルム株式会社 ハロゲン化銀写真乳剤
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
DE10296448T5 (de) 2001-03-20 2004-04-15 Mattson Technology Inc., Fremont Verfahren zum Abscheiden einer Schicht mit einer verhältnismässig hohen Dielektrizitätskonstante auf ein Substrat
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20040206008A1 (en) 2001-07-16 2004-10-21 Chien-Min Sung SiCN compositions and methods
US7138336B2 (en) 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
WO2003025243A2 (en) 2001-09-14 2003-03-27 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US20030054628A1 (en) 2001-09-17 2003-03-20 Chartered Semiconductor Manufacturing Ltd. Method of forming a low resistance multi-layered TiN film with superior barrier property using poison mode cycling
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR20030057938A (ko) 2001-12-29 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 실리콘 질화막 제조방법
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US7589029B2 (en) * 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
DE10223706A1 (de) 2002-05-28 2003-12-18 Nat Taiwan University Taipeh T Lichtemissionsdiode
KR20030093575A (ko) 2002-06-03 2003-12-11 주식회사 하이닉스반도체 고선택성 질화막을 이용한 캐패시터 제조방법
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
KR20040060402A (ko) 2002-12-30 2004-07-06 주식회사 하이닉스반도체 반도체소자의 콘택 형성방법
KR20030016346A (ko) 2003-01-29 2003-02-26 윤태식 차량 부재 운행 자동 관리 시스템
US7198820B2 (en) 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
KR100511913B1 (ko) 2003-03-06 2005-09-02 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
KR100494129B1 (ko) 2003-03-06 2005-06-10 주식회사 하이닉스반도체 반도체 소자의 전극 형성방법
JP4423914B2 (ja) 2003-05-13 2010-03-03 東京エレクトロン株式会社 処理装置及びその使用方法
KR20040100767A (ko) 2003-05-24 2004-12-02 주식회사 하이닉스반도체 저압 실리콘 질화막 형성 방법
US20040238876A1 (en) 2003-05-29 2004-12-02 Sunpil Youn Semiconductor structure having low resistance and method of manufacturing same
KR20060079144A (ko) 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
KR100543517B1 (ko) 2003-06-23 2006-01-20 주식회사 포스코 수처리 설비의 원격 전력량 계측 시스템
US7030430B2 (en) 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US7235482B2 (en) * 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
JP4651955B2 (ja) * 2004-03-03 2011-03-16 東京エレクトロン株式会社 成膜方法
US7105430B2 (en) 2004-03-26 2006-09-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a notched control electrode and structure thereof
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
FR2873849A1 (fr) * 2004-07-27 2006-02-03 St Microelectronics Sa Procede d'obtention d'un film mince magnetique doux, a forte aimantation et isolant, film et circuit integre correspondants
JP2006079159A (ja) 2004-09-07 2006-03-23 Masui Yoshiharu 防犯装置
KR100714269B1 (ko) 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US7015153B1 (en) 2004-10-20 2006-03-21 Freescale Semiconductor, Inc. Method for forming a layer using a purging gas in a semiconductor process
KR100640550B1 (ko) 2005-01-26 2006-10-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
US7438949B2 (en) 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US8486845B2 (en) 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
KR100705397B1 (ko) 2005-07-13 2007-04-10 삼성전자주식회사 저 저항의 텅스텐막 형성 방법
GB2472541B (en) 2005-08-12 2011-03-23 Nanoco Technologies Ltd Nanoparticles
US7544596B2 (en) 2005-08-30 2009-06-09 Micron Technology, Inc. Atomic layer deposition of GdScO3 films as gate dielectrics
US20070054046A1 (en) 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
JP2009510074A (ja) 2005-09-29 2009-03-12 プラクスエア・テクノロジー・インコーポレイテッド 有機金属化合物及びその使用方法
US8993055B2 (en) * 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7407876B2 (en) 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
US7985995B2 (en) * 2006-08-03 2011-07-26 Micron Technology, Inc. Zr-substituted BaTiO3 films
US8268409B2 (en) 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
KR100809719B1 (ko) * 2007-01-18 2008-03-06 삼성전자주식회사 폴리실리콘막과 배선금속막을 구비하는 게이트 전극의형성방법
US7598170B2 (en) * 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US7851307B2 (en) 2007-08-17 2010-12-14 Micron Technology, Inc. Method of forming complex oxide nanodots for a charge trap
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7794798B2 (en) 2007-09-29 2010-09-14 Tel Epion Inc. Method for depositing films using gas cluster ion beam processing
US20090315093A1 (en) 2008-04-16 2009-12-24 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8054669B2 (en) 2008-08-12 2011-11-08 International Business Machines Corporation Non-volatile programmable optical element employing F-centers
JP5809152B2 (ja) * 2009-10-20 2015-11-10 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 誘電体膜をパッシベーションする方法
KR101211043B1 (ko) * 2010-04-05 2012-12-12 에스케이하이닉스 주식회사 매립게이트를 구비한 반도체 장치 제조방법
US20130078454A1 (en) 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-Aluminum Alloy Films From Metal Amidinate Precursors And Aluminum Precursors
KR101400593B1 (ko) * 2012-12-06 2014-05-27 삼성정밀화학 주식회사 양극 활물질, 이의 제조방법 및 이를 포함하는 리튬 이차 전지
US9412602B2 (en) * 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
JP6069157B2 (ja) 2013-09-30 2017-02-01 Necパーソナルコンピュータ株式会社 情報処理装置、制御方法、及びプログラム
JP6037041B2 (ja) 2013-10-18 2016-11-30 三菱電機株式会社 文字列表示装置
JP6922332B2 (ja) 2017-03-29 2021-08-18 大日本印刷株式会社 化粧シート

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1244598A (zh) * 1998-08-07 2000-02-16 三星电子株式会社 利用原子层沉积制备薄膜的方法
WO2008137399A1 (en) * 2007-05-02 2008-11-13 Asm America, Inc. Periodic plasma annealing in an ald-type process
CN101328578A (zh) * 2007-06-19 2008-12-24 气体产品与化学公司 等离子增强循环沉积氮化金属硅膜的方法
CN102598372A (zh) * 2009-11-11 2012-07-18 安维亚系统公司 锂离子电池用的经涂覆正电极材料
CN103137864A (zh) * 2011-11-22 2013-06-05 爱思开海力士有限公司 半导体器件及其制造方法
CN103160802A (zh) * 2011-12-15 2013-06-19 中国科学院微电子研究所 掺氮二氧化钛薄膜的制备方法

Also Published As

Publication number Publication date
WO2015160499A1 (en) 2015-10-22
KR20220165801A (ko) 2022-12-15
TWI716346B (zh) 2021-01-21
CN110265295A (zh) 2019-09-20
US20150303101A1 (en) 2015-10-22
US20200235037A1 (en) 2020-07-23
TW201542856A (zh) 2015-11-16
KR20160145165A (ko) 2016-12-19
TW202115274A (zh) 2021-04-16
KR102472965B1 (ko) 2022-12-01
US11450591B2 (en) 2022-09-20
CN106471154A (zh) 2017-03-01
US10643925B2 (en) 2020-05-05
TWI780541B (zh) 2022-10-11
KR102218668B1 (ko) 2021-02-23
US20230085443A1 (en) 2023-03-16
JP6576362B2 (ja) 2019-09-18
JP2017521549A (ja) 2017-08-03
KR20210021408A (ko) 2021-02-25
TW202305167A (zh) 2023-02-01
US11823976B2 (en) 2023-11-21

Similar Documents

Publication Publication Date Title
CN106471154B (zh) 含氟导电膜
US11362222B2 (en) Photoactive devices and materials
US10074541B2 (en) Deposition of smooth metal nitride films
TWI707983B (zh) 包含金屬碳化物材料之結構、包含該結構之裝置及其形成方法
US6174809B1 (en) Method for forming metal layer using atomic layer deposition
WO2021041442A1 (en) Group vi metal deposition process
US8372483B2 (en) Methods for forming thin films comprising tellurium
KR102673965B1 (ko) 불소-함유 도전성 막들
JP2024513402A (ja) 低温堆積プロセス

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant