US20220025513A1 - Selective deposition on metal or metallic surfaces relative to dielectric surfaces - Google Patents

Selective deposition on metal or metallic surfaces relative to dielectric surfaces Download PDF

Info

Publication number
US20220025513A1
US20220025513A1 US17/450,742 US202117450742A US2022025513A1 US 20220025513 A1 US20220025513 A1 US 20220025513A1 US 202117450742 A US202117450742 A US 202117450742A US 2022025513 A1 US2022025513 A1 US 2022025513A1
Authority
US
United States
Prior art keywords
metal
substrate
deposition
reactant
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US17/450,742
Inventor
Suvi P. Haukka
Raija H. MATERO
Elina Färm
Tom E. Blomberg
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Priority to US17/450,742 priority Critical patent/US20220025513A1/en
Assigned to ASM IP HOLDING B.V. reassignment ASM IP HOLDING B.V. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BLOMBERG, TOM E., FÄRM, ELINA, HAUKKA, SUVI P., MATERO, RAIJA H.
Publication of US20220025513A1 publication Critical patent/US20220025513A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Definitions

  • the present application relates to selective deposition of a material on a first metal or metallic surface of a substrate relative to a second dielectric surface, such as an SiO 2 surface.
  • Integrated circuits are currently manufactured by an elaborate process in which various layers of materials are sequentially constructed in a predetermined arrangement on a semiconductor substrate.
  • the predetermined arrangement of materials on a semiconductor substrate is often accomplished by deposition of a material over the entire substrate surface, followed by removal of the material from predetermined areas of the substrate, such as by deposition of a mask layer and subsequent selective etching process.
  • the number of steps involved in manufacturing an integrated surface on a substrate may be reduced by utilizing a selective deposition process, wherein a material is selectively deposited on a first surface relative to a second surface without the need, or with reduced need for subsequent processing.
  • Methods are disclosed herein for selective deposition on a first metal or metallic surface of substrate relative to a second, dielectric surface of the substrate.
  • FIG. 1 is a process flow diagram generally illustrating a process for selectively depositing a material on a first metal surface of a substrate relative to a second dielectric surface of the same substrate.
  • FIG. 2 is a cross sectional scanning electron micrograph showing approximately 100 nm of NbO x deposited on a 20 nm to 30 nm thick layer of tungsten deposited by a physical vapor deposition (PVD) process on approximately a 20 nm thick layer of Ti.
  • PVD physical vapor deposition
  • FIG. 3 is a scanning electron micrograph of an Nb 2 O 5 film which has been selectively deposited on a tungsten/tungsten oxide surface of a substrate relative to the SiO 2 surface of the same substrate.
  • selective deposition without need for any separate passivation chemicals or blocking agents, can be used to deposit a material on a first surface relative to a second surface.
  • passivation chemicals or blocking agents may be used, if desired. These may include, for example, self-assembled monolayers.
  • a material is selectively deposited on a first metal or metallic surface of a substrate relative to a second, dielectric surface of the substrate.
  • the second surface comprises —OH groups, such as a SiO 2 based surface.
  • a metal oxide such as NbO x is selectively deposited on a first metal oxide or dielectric surface of a substrate relative to a second, different SiO 2 surface.
  • atomic layer deposition (ALD) type processes are used. After selective deposition of the material is completed, further processing can be carried out to form the desired structures.
  • a surface may be a metal surface or a metallic surface.
  • the metal or metallic surface may comprise metal, for example an elemental metal, metal nitride, metal silicide, metal carbide and/or mixtures thereof.
  • the metal or metallic surface may comprise surface oxidation, for example a surface layer of native metal oxide.
  • the metal or metallic material of the metal or metallic surface is electrically conductive with or without surface oxidation.
  • the metal or metallic surface comprises silicon, such as H-terminated silicon.
  • the metal or metallic surface is a silicon surface such as an H-terminated silicon surface.
  • the metal or metallic surface is not a silicon surface such as a H-terminated silicon surface.
  • the first metal or metallic surface may also be referred to herein as the first surface.
  • a metal or a metallic surface comprises one or more transition metals.
  • a metal or metallic surface comprises aluminum.
  • the metal or metallic surface comprises one or more of Al, Cu, Co, Ni, W.
  • a metallic surface comprises titanium nitride.
  • the metal or metallic surface comprises one or more noble metals, such as Ru.
  • the metal or metallic surface comprises a conductive metal oxide, such as a noble metal oxide like ruthenium oxide.
  • a material is selectively deposited on a first metal surface comprising a metal oxide surface.
  • a metal oxide surface may be, for example a tungsten oxide, hafnium oxide, titanium oxide, aluminum oxide, or zirconium oxide surface.
  • a metal oxide surface is an oxidized surface of a metallic material.
  • a metal oxide surface is created by oxidizing at least the surface of a metallic material using oxygen compound, such as compounds comprising O 3 , H 2 O, H 2 O 2 , O 2 , oxygen atoms, plasma or radicals or mixtures thereof.
  • a metal oxide surface is a native oxide formed on a metallic material.
  • a material is selectively deposited on a first surface comprising a dielectric surface relative to a second SiO 2 surface.
  • a metal, metal oxide, metal silicide, metal carbide or metal nitride or mixture thereof is selectively deposited on a first metal or metallic surface of a substrate relative to a second dielectric surface of the substrate.
  • the material that is selectively deposited is not tungsten or tungsten silicide.
  • dielectric is used herein for the sake of simplicity in distinguishing from the other surface, namely the metal or metallic surface. Unless indicated otherwise with respect to particular embodiments, the term dielectric in the context of this application can be understood to cover all surfaces which are electrically non-conducting or have very high resistivity.
  • the dielectric surface may be referred to herein as the second surface.
  • the second surface may comprise any dielectric surface.
  • a metal oxide is selectively deposited on a first metal surface of a substrate, wherein the metal surface comprises a metal oxide relative to a second SiO 2 surface.
  • the first metal oxide surface may be, for example a tungsten oxide, hafnium oxide, titanium oxide, aluminum oxide, or zirconium oxide surface.
  • the metal oxide is deposited on a first dielectric surface relative to a second SiO 2 surface.
  • the metal oxide that is deposited on the first metal oxide surface is NbO x , for example Nb 2 O 5 .
  • the second SiO 2 surface may be, for example, a native oxide, a thermal oxide or a chemical oxide.
  • materials such as films, may be referred to herein by the formula MO x , where M is a metal.
  • the formula MO x indicates that the material comprises primarily a metal, M, and oxygen, however impurities may also be present in such a material.
  • the formula MO x indicates that the material is an oxide of the metal M.
  • FIG. 1 is a process flow diagram generally illustrating a process 10 for selectively depositing a material on a first metal or metallic surface of a substrate relative to a second dielectric surface of the same substrate.
  • a substrate comprising a first metal or metallic surface and a second dielectric surface at step 11 .
  • a substrate is provided that comprises a first metal surface comprising a metal oxide surface.
  • the second surface may comprise —OH groups.
  • the second surface may be a SiO 2 based surface.
  • the second surface may comprise Si—O bonds.
  • the second surface may comprise a SiO 2 based low-k material.
  • the second surface may comprise more than about 30%, or more than about 50% of SiO 2 .
  • the second surface may comprise GeO 2 .
  • the second surface may comprise Ge—O bonds.
  • a pretreatment or cleaning step 12 is optionally used before contacting the substrate with the first reactant.
  • the pretreatment or cleaning step may comprise a plasma, hydrogen or thermal treatment.
  • an oxidized portion of the metal or metallic surface of the substrate, if any, is optionally reduced.
  • the oxidized surface may be reduced with hydrogen or hydrogen containing plasma, radicals or atoms.
  • the oxidized surface is reduced without plasma, such as by exposure to a carboxylic acid, such as formic acid.
  • the substrate is contacted with a first reactant that comprises a metal halide at step 13 .
  • the metal halide is a metal fluoride or metal chloride.
  • the metal halide is adsorbed selectively on the metal or metallic surface of the substrate relative to the dielectric surface. In some embodiments a greater amount of metal halide adsorbs on the metal or metallic surface relative to the dielectric surface. In some embodiments substantially no metal halide adsorbs on the dielectric surface. In some embodiments no metal halide is adsorbed on the dielectric surface. In some embodiments no substantial or detectable amount of metal halide is adsorbed on the dielectric surface.
  • a substrate comprising a first metal surface comprising a metal oxide surface and a second SiO 2 surface is contacted with a metal halide reactant, such as metal fluoride or metal chloride.
  • a metal halide reactant such as metal fluoride or metal chloride.
  • the substrate may be contacted with NbF 5 .
  • the metal halide is adsorbed selectively on the metal oxide surface relative to the SiO 2 surface. In some embodiments a greater amount of metal halide adsorbs on the metal oxide surface relative to the SiO 2 surface. In some embodiments substantially no metal halide adsorbs on the SiO 2 surface. In some embodiments no metal halide is adsorbed on the SiO 2 surface. In some embodiments no substantial or detectable amount of metal halide is adsorbed on the SiO 2 surface.
  • the adsorbed metal halide on the metal, metallic or metal oxide surface can then be reacted with one or more additional reactants to form a desired material, such as an oxide or metallic material, a silicide, metal nitride, metal carbide or mixture thereof at step 14 .
  • a desired material such as an oxide or metallic material, a silicide, metal nitride, metal carbide or mixture thereof at step 14 .
  • the adsorbed metal halide can be reacted with a second reactant comprising an —OH group, such as water, thereby forming a metal oxide. Additional reactants can be provided in order to obtain the desired material.
  • the substrate is contacted with a second reactant at step 14 .
  • the second reactant comprises an —OH group.
  • the second reactant is water.
  • steps 13 and 14 can be considered a deposition cycle.
  • removing the metal halide reactant and/or removing the second reactant may be accomplished with the aid of an inert purge gas.
  • the deposition cycle can be repeated multiple times to selectively deposit the desired amount of material on the metal or metallic surface. For example, in some embodiments a thin film of deposited material of a desired thickness can be formed.
  • the material deposited in the deposition cycle can be oxidized to form a metal oxide or metal silicate. Oxidation may be carried out, for example, by contacting the substrate with a reactant comprising oxygen, such as water, ozone, oxygen atoms, oxygen radicals or oxygen plasma. In this way a dielectric material can be formed from the deposited material on a metal or metallic surface of the substrate relative to a second, different dielectric surface. In some embodiments other treatment steps may be used to form, for example, metal carbides, metal nitrides, or metal silicides from the deposited material.
  • a deposition cycle may be repeated at least 10, 25, 50, 100 or more times prior to treatment.
  • Reaction conditions including temperature, the substrate surface(s), and the reactants, including the metal halide reactant can be selected to obtain the desired level of selective deposition of a material on the metal or metallic surface relative to the dielectric surface.
  • a metal oxide is selectively deposited on a first metal surface comprising a metal oxide surface or first metal surface comprising a dielectric surface relative to a second SiO 2 surface at a temperature of about 250 to about 350° C., or about 295° C. to about 315° C.
  • first and second surfaces may be treated in order to enhance deposition on one surface relative to one or more different surfaces.
  • first metal or metallic surface is treated in order to enhance deposition on the first surface relative to the second surface.
  • second surface is treated, or deactivated, in order to decrease deposition on the second surface relative to the first surface.
  • first surface is treated to enhance deposition and the second surface is treated to decrease deposition, thereby increasing selective deposition on the first surface relative to the second surface. In some embodiments no pretreatment is carried out.
  • the surface comprising dielectric materials such as SiO 2 may comprise hydroxyl, or OH-groups which have the effect of making the surface hydrophilic and may be referred to herein as the second surface, dielectric surface, or second dielectric surface.
  • Such OH-group surface terminations can occur naturally when the second surface is exposed to ambient conditions.
  • the dielectric surface may be treated to provide a hydrophilic OH-terminated surface.
  • a hydrophilic OH-terminated surface may be treated to increase the amount of OH-groups on the surface.
  • the dielectric surface may be exposed to H 2 O vapor in order to increase the number of OH-groups at the surface.
  • a second surface treatment may include exposing a dielectric surface to a carrier gas that has flowed through a bubbler at a temperature of between about 20° C. and about 50° C. or between about 25° C. and about 40° C.
  • the dielectric surface is exposed to air containing moisture in order to provide a hydrophilic surface that comprises at least some OH-groups.
  • a dielectric surface is not treated prior to deposition.
  • the deposition process is an atomic layer deposition (ALD) type process.
  • the deposition process is a thermal ALD process.
  • the deposition process is a vapor deposition process comprising one or more deposition cycles in which a substrate is alternately and sequentially contacted with a first vapor phase halide reactant and a second vapor phase reactant.
  • the metal halide is a fluoride or chloride of Nb, Ta, Mo, W, V, or Cr. In some embodiments the metal halide is a fluoride or chloride of Nb or Ta.
  • the first precursor is selected from NbCl 5 , NbF 5 , TaCl 5 , TaF 5 , MoF x (x may be an integer and in some embodiments is 5 or 6), MoCl x (x may be an integer, and in some embodiments is 5), WF 6 , WCl x (x may be an integer and in some embodiments is 5), VF x (x may be an integer and in some embodiments is 5), VCl x (x may be an integer and in some embodiments is 4), and CrF x (x may be an integer and in some embodiments is 5).
  • the first precursor is selected from NbCl 5 , NbF 5 , TaCl 5 , and TaF 5 .
  • the first precursor is selected from NbF 5 or TaF 5 .
  • the first precursor is selected from NbCl 5 or TaCl 5 .
  • the first precursor is NbF 5 .
  • the first precursor is TaF 5 .
  • the first precursor is NbCl 5 .
  • the first precursor is TaCl 5
  • the first precursor is not a tungsten precursor.
  • the first precursor is not WF 6 .
  • the second precursor comprises a silane, such as monosilane (SiH 4 ), disilane (Si 2 H 6 ) or trisilane (Si 3 H 8 ).
  • the second precursor is a dialkylsilane, such as diethylsilane.
  • the second precursor comprises OH bonds or provides OH groups to the surface.
  • the second precursor is water.
  • the second precursor is H 2 O 2 .
  • the second reactant may comprise, for example, hydrogen H 2 , hydrogen plasma, radicals or atoms.
  • the second reactant may be a silane, such as a monosilane, disilane or trisilane.
  • the second precursor does not comprise plasma.
  • the material that is selectively deposited on the first surface relative to the second surface form a thin film.
  • the thin film that is selectively deposited is a metal thin film.
  • the thin film that is selectively deposited is a metal oxide thin film.
  • the metal oxide film is formed using water as a second reactant.
  • a metal oxide film is selectively deposited on a first metal or metallic surface comprising a metal or metallic film relative to a second surface comprising a dielectric film on a substrate by alternately and sequentially contacting the substrate with a first precursor comprising a vapor phase metal halide reactant and second reactant comprising water vapor.
  • the metal halide reactant does not comprise W.
  • a metal oxide film is selectively deposited on a first surface of a substrate comprising a metal oxide or dielectric surface relative to a second surface of the same substrate comprising an SiO 2 surface by alternately and sequentially contacting the substrate with a first precursor comprising a vapor phase metal halide reactant and a second reactant comprising water vapor.
  • a metal oxide such as NbO x or TaO x is selectively deposited on a first surface comprising a metal oxide or dielectric surface relative to a second surface comprising SiO 2 by alternately and sequentially contacting the substrate with a first precursor comprising a metal halide reactant and a second reactant comprising an oxygen reactant such as water vapor.
  • NbO x such as Nb 2 O 5 can be deposited on a metal oxide such as tungsten oxide, hafnium oxide, titanium oxide, aluminum oxide, or zirconium oxide from NbF 5 and H 2 O.
  • the thin film that is selectively deposited comprises oxygen and one of niobium or tantalum.
  • the thin film that is selectively deposited comprises NbO x or TaO x , where x is from about 2 to about 2.75.
  • materials, such as films may be referred to herein by the formula MO x , where M is a metal.
  • the formula MO x indicates that the material comprises primarily a metal, M, and oxygen, however impurities may also be present in such a material.
  • the formula MO x indicates that the material is an oxide of the metal M.
  • the thin film that is selectively deposited is a metal silicide.
  • the metal silicide may be formed, for example, by a deposition process as described herein and including a second reactant comprising a disilane or trisilane.
  • the thin film that is selectively deposited is a metal carbide film.
  • the metal carbide film may be formed by a deposition process as described herein and including a second reactant comprising an alkylsilane.
  • the thin film that is selectively deposited is a metal nitride.
  • the substrate is contacted with the first precursor comprising a metal halide at a deposition temperature of above about 225° C.
  • the deposition temperature is above about 250° C., above about 275° C. or above about 300° C.
  • the deposition temperature is between about 250° C. and about 350° C. or between about 295° C. and about 315° C.
  • the temperature is less than about 600° C., less than about 500° C. or less than about 450° C.
  • a deposition as described herein may become increasingly selective as temperature is increased, for example from about 225° C. to about 300° C., with nearly complete selectivity seen at above about 300° C. in some instances, but not necessarily all instances.
  • Selectivity can be given as a percentage calculated by [(deposition on metal or metallic surface) ⁇ (deposition on dielectric surface)]/(deposition on the metal or metallic surface).
  • Deposition can be measured in any of a variety of ways, for example in some embodiments deposition may be given as the measured thickness of the deposited material. In some embodiments deposition may be given as the measured amount of material deposited.
  • selectivity is greater than about 50%, greater than about 60%, greater than about 70%, greater than about 80%, greater than about 90%, greater than about 95%, greater than about 99% or even greater than about 99.5%.
  • deposition only occurs on the first surface and does not occur on the second surface. In some embodiments deposition on the first surface of the substrate relative to the second surface of the substrate is at least about 80% selective, which may be selective enough for some particular applications. In some embodiments the deposition on the first surface of the substrate relative to the second surface of the substrate is at least about 50% selective, which may be selective enough for some particular application. However, in some embodiments some deposition may occur on the second surface.
  • a process may be referred to herein as a selective deposition process because the end result of such a process is a selective amount of deposited material on a first surface relative to a second surface.
  • selectivity refers to the end result of a deposition process and does not necessarily refer only to selective deposition.
  • selectivity can include, for example, inherent etching during a deposition process which preferentially etches the deposited material on the second surface relative to the deposited material on the first surface, thereby achieving selective formation of the material on the first surface relative to the second surface.
  • deposition on the first surface of the substrate relative to the second surface of the substrate can be performed up to about 500 deposition cycles before losing the selectivity, or up to about 50 deposition cycles, or up to about 20 deposition cycles, or up to about 10 deposition cycles, or up to about 5 deposition cycles before losing selectivity. In some embodiments even deposition of 1 or 2 cycles before losing selectivity can be useful.
  • a loss of selectivity can be understood to have occurred when the selectivities mentioned above are no longer met. Depending on the specific circumstances, a loss of selectivity may be considered to have occurred when deposition on the first surface of the substrate relative to the second surface of the substrate is less than about 90% selective, less than about 95% selective, less than about 96%, 97%, 98% or 99% selective or greater.
  • deposition on the first surface of the substrate relative to the second surface of the substrate can be performed up to a thickness of about 50 nm before losing the selectivity, or up to about 10 nm, or up to about 5 nm, or up to about 3 nm, or up to about 2 nm, or up to about 1 nm before losing selectivity.
  • a loss of selectivity may be considered to have occurred when deposition on the first surface of the substrate relative to the second surface of the substrate is less than about 90% selective, less than about 95% selective, less than about 96%, 97%, 98% or 99% selective or greater.
  • the film is deposited on the metal or metallic surface with a selectivity of greater than about 10 relative to the second dielectric surface at a thickness of about 0.5 to about 50 nm (10 times less deposition occurs on the second dielectric surface).
  • the film is deposited on the metal or metallic surface with a selectivity of at least 98% at a thickness of about 0.5 to about 100 nm.
  • the film is deposited on the metal or metallic surface with a selectivity of at least 98% at a thickness of more about 20 nm, more than about 50 nm or more than about 100 nm.
  • the film is deposited on the metal or metallic surface with a selectivity of greater than about 20 relative to the second dielectric surface at a thickness of about 0.5 to about 50 nm (20 times less deposition occurs on the second dielectric surface).
  • the film is deposited on the metal or metallic surface with a selectivity of greater than about 40 relative to the second dielectric surface at a thickness of about 0.5 to about 50 nm (40 times less deposition occurs on the second dielectric surface).
  • the film is deposited on the metal or metallic surface with a selectivity of greater than about 15, greater than about 25, or greater than about 50 relative to the second dielectric surface at a thickness of about 0.5 to about 10 nm.
  • the film is deposited on the metal or metallic surface with a selectivity of greater than about 25 or greater than about 50 relative to the second dielectric surface at a thickness of about 0.5 to about 5 nm.
  • the film is deposited on the metal or metallic surface with a selectivity of greater than about 10, greater than about 20 or greater than about 40 after from about 1 to 25 deposition cycles, from about 1 to 50 deposition cycles, from about 1 to 100 deposition cycles or from about 1 to 150 deposition cycles.
  • the film is deposited on the metal or metallic surface with a selectivity of greater than about 10, greater than about 20 or greater than about 40 after from about 1 to 50 deposition cycles, from about 1 to 100 deposition cycles, from about 1 to 250 deposition cycles or from about 1 to 500 deposition cycles.
  • no measurable deposition takes place on the second dielectric surface with up to 25, 50, 100 or even 200 cycles. In some embodiments less than 0.1 nm of material is deposited on the second dielectric surface with up to 25, 50, 100 or even 200 cycles. In some embodiments less than 0.3 nm of material is deposited on the second dielectric surface with up to 50, 100, 250 or even 500 deposition cycles.
  • Embodiments of the invention may be directed to selective deposition of metal oxide on micrometer-scale (or smaller) features during integrated circuit fabrication.
  • the selective deposition can be applied for manufacturing of features having a size less than 100 micrometers, less than 1 micrometer, or less than 200 nm.
  • the size of the feature or line width may be less than 1 micrometer, less than 200 nm, less than 100 nm, or less than 50 nm or less than 30 nm or less than 20 nm.
  • One of ordinary skill in the art may recognize that selective deposition on larger features or smaller features and in other contexts is possible using the disclosed methods.
  • the selectively deposited film may comprise impurities, such as halogens, for example, fluorine, at concentrations of less than about 20 at-%, less than about 10 at-%, less than about 5 at-%, less than about 2 at-%, less than about 1 at-% or less than about 0.5 at-%.
  • impurities such as halogens, for example, fluorine
  • the selectively deposited film has etch selectivity relative to SiO 2 , for example in dilute HF.
  • the wet etch selectivity relative to SiO 2 is greater than 1:5, greater than 1:10, or greater than 1:20 in dilute HF.
  • an etch step may be used subsequent to or in the course of deposition to remove material that is non-selectively deposited.
  • the etch process may be a wet etch process or a dry etch process. In some embodiments the etch process is a dry etch process.
  • ALD type processes are based on controlled, self-limiting surface reactions of precursor chemicals. Gas phase reactions are avoided by alternately and sequentially contacting the substrate with the precursors. Vapor phase reactants are separated from each other on the substrate surface, for example, by removing excess reactants and/or reactant byproducts from the reaction chamber between reactant pulses.
  • a substrate comprising a first metal or metallic surface and second, dielectric surface is heated to a suitable deposition temperature, generally at lowered pressure. Deposition temperatures are discussed above.
  • the surface of the substrate is contacted with the vapor phase first reactant as discussed above.
  • a pulse of vapor phase first reactant is provided to a reaction space containing the substrate.
  • the substrate is moved to a reaction space containing vapor phase first reactant.
  • conditions are selected such that no more than about one monolayer of the first reactant is adsorbed on the substrate surface in a self-limiting manner. Excess first reactant and reaction byproducts, if any, are removed from the substrate surface, such as by purging with an inert gas or by removing the substrate from the presence of the first reactant.
  • Purging means that vapor phase precursors and/or vapor phase byproducts are removed from the substrate surface such as by evacuating a chamber with a vacuum pump and/or by replacing the gas inside a reactor with an inert gas such as argon or nitrogen.
  • Typical purging times are from about 0.05 to 20 seconds, between about 1 and 10, or between about 1 and 2 seconds. However, other purge times can be utilized if necessary, such as where highly conformal step coverage over extremely high aspect ratio structures or other structures with complex surface morphology is needed or batch processes with multiple substrates. In selective deposition longer purging times or efficient purging may be used in order to avoid CVD reactions which could possibly ruin the selectivity.
  • the surface of the substrate is subsequently contacted with a vapor phase second gaseous reactant in each deposition cycle.
  • a pulse of a second gaseous reactant is provided to a reaction space containing the substrate.
  • the substrate is moved to a reaction space containing the vapor phase second reactant. Excess second reactant and gaseous byproducts of the surface reaction, if any, are removed from the substrate surface.
  • the steps of contacting and removing are repeated until a thin film of the desired thickness has been selectively formed on the first surface of substrate.
  • Removing excess reactants can include evacuating some of the contents of a reaction space and/or purging a reaction space with helium, nitrogen or another inert gas.
  • purging can comprise turning off the flow of the reactive gas while continuing to flow an inert carrier gas to the reaction space.
  • the precursors employed in the ALD type processes may be solid, liquid or gaseous materials under standard conditions (room temperature and atmospheric pressure), provided that the precursors are in vapor phase before they are contacted with the substrate surface.
  • Contacting a substrate surface with a vaporized precursor means that the precursor vapor is in contact with the substrate surface for a limited period of time. Typically, the contacting time is from about 0.05 to 10 seconds. However, depending on the substrate type and its surface area, the contacting time may be even higher than 10 seconds. Contacting times can be on the order of minutes in some cases. The optimum contacting time can be determined by the skilled artisan based on the particular circumstances.
  • the mass flow rate of the precursors can also be determined by the skilled artisan.
  • the flow rate of metal precursors is between about 1 and 1000 sccm without limitation, or between about 100 and 500 sccm.
  • the pressure in a reaction chamber is typically from about 0.01 to about 20 mbar, or from about 1 to about 10 mbar. However, in some cases the pressure will be higher or lower than this range, as can be determined by the skilled artisan given the particular circumstances.
  • suitable reactors include commercially available ALD equipment such as the F-120® reactor, Pulsar® reactor and Advance® 400 Series reactor, available from ASM America, Inc. of Phoenix, Ariz. and ASM Europe B.V., Almere, Netherlands.
  • ALD reactors many other kinds of reactors capable of ALD growth of thin films, including CVD reactors equipped with appropriate equipment and means for pulsing the precursors can be employed.
  • CVD reactors equipped with appropriate equipment and means for pulsing the precursors can be employed.
  • a flow type ALD reactor is used.
  • reactants are kept separate until reaching the reaction chamber, such that shared lines for the precursors are minimized.
  • other arrangements are possible, such as the use of a pre-reaction chamber as described in U.S. patent application Ser. No. 10/929,348, filed Aug. 30, 2004 and Ser. No. 09/836,674, filed Apr. 16, 2001, the disclosures of which are incorporated herein by reference.
  • the growth processes can optionally be carried out in a reactor or reaction space connected to a cluster tool.
  • a cluster tool because each reaction space is dedicated to one type of process, the temperature of the reaction space in each module can be kept constant, which improves the throughput compared to a reactor in which is the substrate is heated up to the process temperature before each run.
  • a stand-alone reactor can be equipped with a load-lock. In that case, it is not necessary to cool down the reaction space between each run.
  • a deposition process as described herein as carried out in a reaction chamber NbF 5 was used as a first precursor and H 2 O was used as a second reactant.
  • the first precursor and second reactant were alternately and sequentially pulsed into the reaction space of a Pulsar® 2000 reactor, wherein the reactants separately contacted various sample substrates at reaction temperature of about 300° C. The reactants were removed from the reaction space between pulses by purging the reaction space.
  • the pulse and purge times used for the first precursor comprising NbF 5 were from about 0.5 s to about 2.0 s (pulse) and about 6.0 s (purge), respectively.
  • Pulse and purge times used for the second reactant comprising H 2 O were from about 0.5 s to about 2.0 s (pulse) and 5.0 s to about 6.0 s (purge), respectively.
  • NbO x films were selectively deposited on a metal oxide surface of a substrate relative to a SiO 2 surface using a deposition process as described herein.
  • a deposition process as described herein as carried out in a reaction chamber NbF 5 was used as a first precursor and H 2 O was used as a second reactant.
  • the first precursor and second reactant were alternately and sequentially pulsed into the reaction space of a Pulsar® 2000 reactor, wherein the reactants separately contacted various sample substrates at reaction temperature of about 300° C.
  • Source temperatures for NbF 5 and H 2 O reactants were 45° C. and about 21° C., respectively.
  • the reactants were removed from the reaction space between pulses by purging the reaction space.
  • the pulse and purge times used for the first precursor comprising NbF 5 were from about 0.5 s to about 2.0 s (pulse) and about 6.0 s (purge), respectively.
  • Pulse and purge times used for the second reactant comprising H 2 O were from about 0.5 s to about 2.0 s (pulse) and 5.0 s to about 6.0 s (purge), respectively.
  • the substrate comprised an SiO 2 surface with tungsten dots that included a native tungsten oxide surface layer, also referred to herein as tungsten/tungsten oxide areas.
  • FIG. 3 shows an SEM image of the resultant Nb 2 O 5 on the tungsten/tungsten oxide surface.
  • the Nb 2 O 5 was observed as large crystals on the tungsten/tungsten oxide dots having a thickness of about 80 nm.
  • the thickness of the film was estimated from a film deposited using a similar deposition process with similar conditions on a blanket PVD W coupon.

Abstract

Methods are provided for selectively depositing a material on a first metal or metallic surface of a substrate relative to a second, dielectric surface of the substrate, or for selectively depositing metal oxides on a first metal oxide surface of a substrate relative to a second silicon oxide surface. The selectively deposited material can be, for example, a metal, metal oxide, metal nitride, metal silicide, metal carbide and/or dielectric material. In some embodiments a substrate comprising a first metal or metallic surface and a second dielectric surface is alternately and sequentially contacted with a first vapor-phase metal halide reactant and a second reactant. In some embodiments a substrate comprising a first metal oxide surface and a second silicon oxide surface is alternately and sequentially contacted with a first vapor phase metal fluoride or chloride reactant and water.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of U.S. application Ser. No. 16/575,112, filed Sep. 18, 2019, which is a continuation of U.S. application Ser. No. 15/221,453, filed Jul. 27, 2016, now U.S. Pat. No. 10,428,421, which claims priority to U.S. Provisional Application No. 62/200,502, filed Aug. 3, 2015, and U.S. Provisional Application No. 62/281,593, filed Jan. 21, 2016, each of which is hereby incorporated by reference in its entirety.
  • BACKGROUND Field
  • The present application relates to selective deposition of a material on a first metal or metallic surface of a substrate relative to a second dielectric surface, such as an SiO2 surface.
  • Description of the Related Art
  • Integrated circuits are currently manufactured by an elaborate process in which various layers of materials are sequentially constructed in a predetermined arrangement on a semiconductor substrate.
  • The predetermined arrangement of materials on a semiconductor substrate is often accomplished by deposition of a material over the entire substrate surface, followed by removal of the material from predetermined areas of the substrate, such as by deposition of a mask layer and subsequent selective etching process.
  • In certain cases, the number of steps involved in manufacturing an integrated surface on a substrate may be reduced by utilizing a selective deposition process, wherein a material is selectively deposited on a first surface relative to a second surface without the need, or with reduced need for subsequent processing. Methods are disclosed herein for selective deposition on a first metal or metallic surface of substrate relative to a second, dielectric surface of the substrate.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The application will be better understood from the Description and from the appended drawings, which are meant to illustrate and not to limit the invention, and wherein:
  • FIG. 1 is a process flow diagram generally illustrating a process for selectively depositing a material on a first metal surface of a substrate relative to a second dielectric surface of the same substrate.
  • FIG. 2 is a cross sectional scanning electron micrograph showing approximately 100 nm of NbOx deposited on a 20 nm to 30 nm thick layer of tungsten deposited by a physical vapor deposition (PVD) process on approximately a 20 nm thick layer of Ti.
  • FIG. 3 is a scanning electron micrograph of an Nb2O5 film which has been selectively deposited on a tungsten/tungsten oxide surface of a substrate relative to the SiO2 surface of the same substrate.
  • DETAILED DESCRIPTION
  • According to some aspects of the present disclosure, selective deposition without need for any separate passivation chemicals or blocking agents, can be used to deposit a material on a first surface relative to a second surface. In some embodiments however, passivation chemicals or blocking agents may be used, if desired. These may include, for example, self-assembled monolayers. In some embodiments a material is selectively deposited on a first metal or metallic surface of a substrate relative to a second, dielectric surface of the substrate. In some embodiments the second surface comprises —OH groups, such as a SiO2 based surface. In some embodiments a metal oxide such as NbOx is selectively deposited on a first metal oxide or dielectric surface of a substrate relative to a second, different SiO2 surface. In some embodiments atomic layer deposition (ALD) type processes are used. After selective deposition of the material is completed, further processing can be carried out to form the desired structures.
  • Unless otherwise indicated, if a surface is referred to as a metal surface herein, it may be a metal surface or a metallic surface. In some embodiments the metal or metallic surface may comprise metal, for example an elemental metal, metal nitride, metal silicide, metal carbide and/or mixtures thereof. In some embodiments the metal or metallic surface may comprise surface oxidation, for example a surface layer of native metal oxide. In some embodiments the metal or metallic material of the metal or metallic surface is electrically conductive with or without surface oxidation. In some embodiments the metal or metallic surface comprises silicon, such as H-terminated silicon. In some embodiments the metal or metallic surface is a silicon surface such as an H-terminated silicon surface. In some embodiments the metal or metallic surface is not a silicon surface such as a H-terminated silicon surface. The first metal or metallic surface may also be referred to herein as the first surface.
  • In some embodiments a metal or a metallic surface comprises one or more transition metals. In some embodiments a metal or metallic surface comprises aluminum. In some embodiments the metal or metallic surface comprises one or more of Al, Cu, Co, Ni, W. In some embodiments a metallic surface comprises titanium nitride. In some embodiments the metal or metallic surface comprises one or more noble metals, such as Ru. In some embodiments the metal or metallic surface comprises a conductive metal oxide, such as a noble metal oxide like ruthenium oxide.
  • In some embodiments a material is selectively deposited on a first metal surface comprising a metal oxide surface. A metal oxide surface may be, for example a tungsten oxide, hafnium oxide, titanium oxide, aluminum oxide, or zirconium oxide surface. In some embodiments a metal oxide surface is an oxidized surface of a metallic material. In some embodiments a metal oxide surface is created by oxidizing at least the surface of a metallic material using oxygen compound, such as compounds comprising O3, H2O, H2O2, O2, oxygen atoms, plasma or radicals or mixtures thereof. In some embodiments a metal oxide surface is a native oxide formed on a metallic material.
  • In some embodiments a material is selectively deposited on a first surface comprising a dielectric surface relative to a second SiO2 surface.
  • In some embodiments a metal, metal oxide, metal silicide, metal carbide or metal nitride or mixture thereof is selectively deposited on a first metal or metallic surface of a substrate relative to a second dielectric surface of the substrate. In some embodiments the material that is selectively deposited is not tungsten or tungsten silicide. The term dielectric is used herein for the sake of simplicity in distinguishing from the other surface, namely the metal or metallic surface. Unless indicated otherwise with respect to particular embodiments, the term dielectric in the context of this application can be understood to cover all surfaces which are electrically non-conducting or have very high resistivity. In some embodiments the dielectric surface may be referred to herein as the second surface. In some embodiments the second surface may comprise any dielectric surface.
  • In some embodiments a metal oxide is selectively deposited on a first metal surface of a substrate, wherein the metal surface comprises a metal oxide relative to a second SiO2 surface. In some embodiment the first metal oxide surface may be, for example a tungsten oxide, hafnium oxide, titanium oxide, aluminum oxide, or zirconium oxide surface. In some embodiments the metal oxide is deposited on a first dielectric surface relative to a second SiO2 surface. In some embodiments the metal oxide that is deposited on the first metal oxide surface is NbOx, for example Nb2O5. The second SiO2 surface may be, for example, a native oxide, a thermal oxide or a chemical oxide.
  • For simplicity, materials, such as films, may be referred to herein by the formula MOx, where M is a metal. The formula MOx indicates that the material comprises primarily a metal, M, and oxygen, however impurities may also be present in such a material. In some embodiments the formula MOx indicates that the material is an oxide of the metal M.
  • FIG. 1 is a process flow diagram generally illustrating a process 10 for selectively depositing a material on a first metal or metallic surface of a substrate relative to a second dielectric surface of the same substrate.
  • In some embodiments a substrate is provided comprising a first metal or metallic surface and a second dielectric surface at step 11. In some embodiments a substrate is provided that comprises a first metal surface comprising a metal oxide surface. In some embodiments the second surface may comprise —OH groups. In some embodiments the second surface may be a SiO2 based surface. In some embodiments the second surface may comprise Si—O bonds. In some embodiments the second surface may comprise a SiO2 based low-k material. In some embodiments the second surface may comprise more than about 30%, or more than about 50% of SiO2. In some embodiments the second surface may comprise GeO2. In some embodiments the second surface may comprise Ge—O bonds.
  • In some embodiments a pretreatment or cleaning step 12 is optionally used before contacting the substrate with the first reactant. The pretreatment or cleaning step may comprise a plasma, hydrogen or thermal treatment. For example, in some embodiments an oxidized portion of the metal or metallic surface of the substrate, if any, is optionally reduced. In some embodiments the oxidized surface may be reduced with hydrogen or hydrogen containing plasma, radicals or atoms. In some embodiments the oxidized surface is reduced without plasma, such as by exposure to a carboxylic acid, such as formic acid.
  • Following the optional pretreatment or cleaning step 12, if conducted, the substrate is contacted with a first reactant that comprises a metal halide at step 13. In some embodiments the metal halide is a metal fluoride or metal chloride. In some embodiments the metal halide is adsorbed selectively on the metal or metallic surface of the substrate relative to the dielectric surface. In some embodiments a greater amount of metal halide adsorbs on the metal or metallic surface relative to the dielectric surface. In some embodiments substantially no metal halide adsorbs on the dielectric surface. In some embodiments no metal halide is adsorbed on the dielectric surface. In some embodiments no substantial or detectable amount of metal halide is adsorbed on the dielectric surface.
  • In some embodiments a substrate comprising a first metal surface comprising a metal oxide surface and a second SiO2 surface is contacted with a metal halide reactant, such as metal fluoride or metal chloride. For example, the substrate may be contacted with NbF5. In some embodiments the metal halide is adsorbed selectively on the metal oxide surface relative to the SiO2 surface. In some embodiments a greater amount of metal halide adsorbs on the metal oxide surface relative to the SiO2 surface. In some embodiments substantially no metal halide adsorbs on the SiO2 surface. In some embodiments no metal halide is adsorbed on the SiO2 surface. In some embodiments no substantial or detectable amount of metal halide is adsorbed on the SiO2 surface.
  • In some embodiments the adsorbed metal halide on the metal, metallic or metal oxide surface can then be reacted with one or more additional reactants to form a desired material, such as an oxide or metallic material, a silicide, metal nitride, metal carbide or mixture thereof at step 14. For example, in some embodiments the adsorbed metal halide can be reacted with a second reactant comprising an —OH group, such as water, thereby forming a metal oxide. Additional reactants can be provided in order to obtain the desired material.
  • In some embodiments after removing the metal halide reactant from the reaction space or exposing the substrate to purge gas, the substrate is contacted with a second reactant at step 14. In some embodiments the second reactant comprises an —OH group. In some embodiments the second reactant is water.
  • Contacting the substrate with the first metal halide reactant, removing the first metal halide reactant from the reaction space, contacting the substrate with the second reactant and removing the second reactant from the reaction space together can be considered a deposition cycle. That is, steps 13 and 14 can be considered a deposition cycle. In some embodiments removing the metal halide reactant and/or removing the second reactant may be accomplished with the aid of an inert purge gas. The deposition cycle can be repeated multiple times to selectively deposit the desired amount of material on the metal or metallic surface. For example, in some embodiments a thin film of deposited material of a desired thickness can be formed.
  • Once the material is deposited to a desired thickness on the first metal surface, it can optionally be treated at step 15 to form a desired material. For example, after deposition of a desired thickness, the material deposited in the deposition cycle can be oxidized to form a metal oxide or metal silicate. Oxidation may be carried out, for example, by contacting the substrate with a reactant comprising oxygen, such as water, ozone, oxygen atoms, oxygen radicals or oxygen plasma. In this way a dielectric material can be formed from the deposited material on a metal or metallic surface of the substrate relative to a second, different dielectric surface. In some embodiments other treatment steps may be used to form, for example, metal carbides, metal nitrides, or metal silicides from the deposited material.
  • In some embodiments, a deposition cycle may be repeated at least 10, 25, 50, 100 or more times prior to treatment.
  • Reaction conditions, including temperature, the substrate surface(s), and the reactants, including the metal halide reactant can be selected to obtain the desired level of selective deposition of a material on the metal or metallic surface relative to the dielectric surface.
  • In some embodiments, a metal oxide is selectively deposited on a first metal surface comprising a metal oxide surface or first metal surface comprising a dielectric surface relative to a second SiO2 surface at a temperature of about 250 to about 350° C., or about 295° C. to about 315° C.
  • In some embodiments one or more of the first and second surfaces may be treated in order to enhance deposition on one surface relative to one or more different surfaces. In some embodiments the first metal or metallic surface is treated in order to enhance deposition on the first surface relative to the second surface. In some embodiments the second surface is treated, or deactivated, in order to decrease deposition on the second surface relative to the first surface. In some embodiments the first surface is treated to enhance deposition and the second surface is treated to decrease deposition, thereby increasing selective deposition on the first surface relative to the second surface. In some embodiments no pretreatment is carried out.
  • In some embodiments the surface comprising dielectric materials such as SiO2 may comprise hydroxyl, or OH-groups which have the effect of making the surface hydrophilic and may be referred to herein as the second surface, dielectric surface, or second dielectric surface. Such OH-group surface terminations can occur naturally when the second surface is exposed to ambient conditions. In some embodiments the dielectric surface may be treated to provide a hydrophilic OH-terminated surface. In some embodiments a hydrophilic OH-terminated surface may be treated to increase the amount of OH-groups on the surface. For example, the dielectric surface may be exposed to H2O vapor in order to increase the number of OH-groups at the surface. In some embodiments, for example a second surface treatment may include exposing a dielectric surface to a carrier gas that has flowed through a bubbler at a temperature of between about 20° C. and about 50° C. or between about 25° C. and about 40° C. In some embodiments the dielectric surface is exposed to air containing moisture in order to provide a hydrophilic surface that comprises at least some OH-groups. In some embodiments a dielectric surface is not treated prior to deposition.
  • As mentioned above, in some embodiments the deposition process is an atomic layer deposition (ALD) type process. In some embodiments the deposition process is a thermal ALD process. In some embodiments the deposition process is a vapor deposition process comprising one or more deposition cycles in which a substrate is alternately and sequentially contacted with a first vapor phase halide reactant and a second vapor phase reactant.
  • In some embodiments the metal halide is a fluoride or chloride of Nb, Ta, Mo, W, V, or Cr. In some embodiments the metal halide is a fluoride or chloride of Nb or Ta.
  • In some embodiments the first precursor is selected from NbCl5, NbF5, TaCl5, TaF5, MoFx (x may be an integer and in some embodiments is 5 or 6), MoClx (x may be an integer, and in some embodiments is 5), WF6, WClx (x may be an integer and in some embodiments is 5), VFx (x may be an integer and in some embodiments is 5), VClx (x may be an integer and in some embodiments is 4), and CrFx (x may be an integer and in some embodiments is 5).
  • In some embodiments the first precursor is selected from NbCl5, NbF5, TaCl5, and TaF5.
  • In some embodiments the first precursor is selected from NbF5 or TaF5.
  • In some embodiments the first precursor is selected from NbCl5 or TaCl5.
  • In some embodiments the first precursor is NbF5.
  • In some embodiments the first precursor is TaF5.
  • In some embodiments the first precursor is NbCl5.
  • In some embodiments the first precursor is TaCl5
  • In some embodiments the first precursor is not a tungsten precursor. For example, in some embodiments the first precursor is not WF6.
  • In some embodiments the second precursor comprises a silane, such as monosilane (SiH4), disilane (Si2H6) or trisilane (Si3H8). In some embodiments the second precursor is a dialkylsilane, such as diethylsilane. In some embodiments the second precursor comprises OH bonds or provides OH groups to the surface. In some embodiments the second precursor is water. In some embodiments the second precursor is H2O2.
  • In some such embodiments the second reactant may comprise, for example, hydrogen H2, hydrogen plasma, radicals or atoms. In some embodiments the second reactant may be a silane, such as a monosilane, disilane or trisilane. In some embodiments the second precursor does not comprise plasma.
  • In some embodiments the material that is selectively deposited on the first surface relative to the second surface form a thin film. In some embodiments the thin film that is selectively deposited is a metal thin film.
  • In some embodiments the thin film that is selectively deposited is a metal oxide thin film. In some embodiments the metal oxide film is formed using water as a second reactant. In some embodiments a metal oxide film is selectively deposited on a first metal or metallic surface comprising a metal or metallic film relative to a second surface comprising a dielectric film on a substrate by alternately and sequentially contacting the substrate with a first precursor comprising a vapor phase metal halide reactant and second reactant comprising water vapor. In some embodiments the metal halide reactant does not comprise W.
  • In some embodiments a metal oxide film is selectively deposited on a first surface of a substrate comprising a metal oxide or dielectric surface relative to a second surface of the same substrate comprising an SiO2 surface by alternately and sequentially contacting the substrate with a first precursor comprising a vapor phase metal halide reactant and a second reactant comprising water vapor. For example, in some embodiments a metal oxide such as NbOx or TaOx is selectively deposited on a first surface comprising a metal oxide or dielectric surface relative to a second surface comprising SiO2 by alternately and sequentially contacting the substrate with a first precursor comprising a metal halide reactant and a second reactant comprising an oxygen reactant such as water vapor. In particular, in some embodiments NbOx, such as Nb2O5 can be deposited on a metal oxide such as tungsten oxide, hafnium oxide, titanium oxide, aluminum oxide, or zirconium oxide from NbF5 and H2O.
  • In some embodiments the thin film that is selectively deposited comprises oxygen and one of niobium or tantalum. In some embodiments the thin film that is selectively deposited comprises NbOx or TaOx, where x is from about 2 to about 2.75. For simplicity, materials, such as films, may be referred to herein by the formula MOx, where M is a metal. The formula MOx indicates that the material comprises primarily a metal, M, and oxygen, however impurities may also be present in such a material. In some embodiments the formula MOx indicates that the material is an oxide of the metal M. [0048] In some embodiments the thin film that is selectively deposited is a metal silicide. In some embodiments the metal silicide may be formed, for example, by a deposition process as described herein and including a second reactant comprising a disilane or trisilane.
  • In some embodiments the thin film that is selectively deposited is a metal carbide film. In some embodiments the metal carbide film may be formed by a deposition process as described herein and including a second reactant comprising an alkylsilane.
  • In some embodiments the thin film that is selectively deposited is a metal nitride.
  • In some embodiments the substrate is contacted with the first precursor comprising a metal halide at a deposition temperature of above about 225° C. In some embodiments the deposition temperature is above about 250° C., above about 275° C. or above about 300° C. In some embodiments the deposition temperature is between about 250° C. and about 350° C. or between about 295° C. and about 315° C. In some embodiments the temperature is less than about 600° C., less than about 500° C. or less than about 450° C.
  • In some embodiments a deposition as described herein may become increasingly selective as temperature is increased, for example from about 225° C. to about 300° C., with nearly complete selectivity seen at above about 300° C. in some instances, but not necessarily all instances. Selectivity can be given as a percentage calculated by [(deposition on metal or metallic surface)−(deposition on dielectric surface)]/(deposition on the metal or metallic surface). Deposition can be measured in any of a variety of ways, for example in some embodiments deposition may be given as the measured thickness of the deposited material. In some embodiments deposition may be given as the measured amount of material deposited.
  • In some embodiments selectivity is greater than about 50%, greater than about 60%, greater than about 70%, greater than about 80%, greater than about 90%, greater than about 95%, greater than about 99% or even greater than about 99.5%.
  • In some embodiments deposition only occurs on the first surface and does not occur on the second surface. In some embodiments deposition on the first surface of the substrate relative to the second surface of the substrate is at least about 80% selective, which may be selective enough for some particular applications. In some embodiments the deposition on the first surface of the substrate relative to the second surface of the substrate is at least about 50% selective, which may be selective enough for some particular application. However, in some embodiments some deposition may occur on the second surface.
  • For simplicity, a process may be referred to herein as a selective deposition process because the end result of such a process is a selective amount of deposited material on a first surface relative to a second surface. As such, selectivity as used herein refers to the end result of a deposition process and does not necessarily refer only to selective deposition. In some embodiments selectivity can include, for example, inherent etching during a deposition process which preferentially etches the deposited material on the second surface relative to the deposited material on the first surface, thereby achieving selective formation of the material on the first surface relative to the second surface.
  • In some embodiments deposition on the first surface of the substrate relative to the second surface of the substrate can be performed up to about 500 deposition cycles before losing the selectivity, or up to about 50 deposition cycles, or up to about 20 deposition cycles, or up to about 10 deposition cycles, or up to about 5 deposition cycles before losing selectivity. In some embodiments even deposition of 1 or 2 cycles before losing selectivity can be useful.
  • A loss of selectivity can be understood to have occurred when the selectivities mentioned above are no longer met. Depending on the specific circumstances, a loss of selectivity may be considered to have occurred when deposition on the first surface of the substrate relative to the second surface of the substrate is less than about 90% selective, less than about 95% selective, less than about 96%, 97%, 98% or 99% selective or greater.
  • In some embodiments deposition on the first surface of the substrate relative to the second surface of the substrate can be performed up to a thickness of about 50 nm before losing the selectivity, or up to about 10 nm, or up to about 5 nm, or up to about 3 nm, or up to about 2 nm, or up to about 1 nm before losing selectivity. Depending on the specific circumstances, a loss of selectivity may be considered to have occurred when deposition on the first surface of the substrate relative to the second surface of the substrate is less than about 90% selective, less than about 95% selective, less than about 96%, 97%, 98% or 99% selective or greater.
  • In some embodiments the film is deposited on the metal or metallic surface with a selectivity of greater than about 10 relative to the second dielectric surface at a thickness of about 0.5 to about 50 nm (10 times less deposition occurs on the second dielectric surface).
  • In some embodiments the film is deposited on the metal or metallic surface with a selectivity of at least 98% at a thickness of about 0.5 to about 100 nm.
  • In some embodiments the film is deposited on the metal or metallic surface with a selectivity of at least 98% at a thickness of more about 20 nm, more than about 50 nm or more than about 100 nm.
  • In some embodiments the film is deposited on the metal or metallic surface with a selectivity of greater than about 20 relative to the second dielectric surface at a thickness of about 0.5 to about 50 nm (20 times less deposition occurs on the second dielectric surface).
  • In some embodiments the film is deposited on the metal or metallic surface with a selectivity of greater than about 40 relative to the second dielectric surface at a thickness of about 0.5 to about 50 nm (40 times less deposition occurs on the second dielectric surface).
  • In some embodiments the film is deposited on the metal or metallic surface with a selectivity of greater than about 15, greater than about 25, or greater than about 50 relative to the second dielectric surface at a thickness of about 0.5 to about 10 nm.
  • In some embodiments the film is deposited on the metal or metallic surface with a selectivity of greater than about 25 or greater than about 50 relative to the second dielectric surface at a thickness of about 0.5 to about 5 nm.
  • In some embodiments the film is deposited on the metal or metallic surface with a selectivity of greater than about 10, greater than about 20 or greater than about 40 after from about 1 to 25 deposition cycles, from about 1 to 50 deposition cycles, from about 1 to 100 deposition cycles or from about 1 to 150 deposition cycles.
  • In some embodiments the film is deposited on the metal or metallic surface with a selectivity of greater than about 10, greater than about 20 or greater than about 40 after from about 1 to 50 deposition cycles, from about 1 to 100 deposition cycles, from about 1 to 250 deposition cycles or from about 1 to 500 deposition cycles.
  • In some embodiments, no measurable deposition takes place on the second dielectric surface with up to 25, 50, 100 or even 200 cycles. In some embodiments less than 0.1 nm of material is deposited on the second dielectric surface with up to 25, 50, 100 or even 200 cycles. In some embodiments less than 0.3 nm of material is deposited on the second dielectric surface with up to 50, 100, 250 or even 500 deposition cycles.
  • Embodiments of the invention may be directed to selective deposition of metal oxide on micrometer-scale (or smaller) features during integrated circuit fabrication. In some embodiments the selective deposition can be applied for manufacturing of features having a size less than 100 micrometers, less than 1 micrometer, or less than 200 nm. In the case of selective deposition on metal surfaces, the size of the feature or line width may be less than 1 micrometer, less than 200 nm, less than 100 nm, or less than 50 nm or less than 30 nm or less than 20 nm. One of ordinary skill in the art may recognize that selective deposition on larger features or smaller features and in other contexts is possible using the disclosed methods.
  • In some embodiments the selectively deposited film may comprise impurities, such as halogens, for example, fluorine, at concentrations of less than about 20 at-%, less than about 10 at-%, less than about 5 at-%, less than about 2 at-%, less than about 1 at-% or less than about 0.5 at-%.
  • In some embodiments the selectively deposited film has etch selectivity relative to SiO2, for example in dilute HF. In some embodiments the wet etch selectivity relative to SiO2 is greater than 1:5, greater than 1:10, or greater than 1:20 in dilute HF.
  • In some embodiments an etch step may be used subsequent to or in the course of deposition to remove material that is non-selectively deposited. In some embodiments the etch process may be a wet etch process or a dry etch process. In some embodiments the etch process is a dry etch process.
  • ALD Type Processes
  • ALD type processes are based on controlled, self-limiting surface reactions of precursor chemicals. Gas phase reactions are avoided by alternately and sequentially contacting the substrate with the precursors. Vapor phase reactants are separated from each other on the substrate surface, for example, by removing excess reactants and/or reactant byproducts from the reaction chamber between reactant pulses.
  • Briefly, a substrate comprising a first metal or metallic surface and second, dielectric surface is heated to a suitable deposition temperature, generally at lowered pressure. Deposition temperatures are discussed above.
  • The surface of the substrate is contacted with the vapor phase first reactant as discussed above. In some embodiments a pulse of vapor phase first reactant is provided to a reaction space containing the substrate. In some embodiments the substrate is moved to a reaction space containing vapor phase first reactant. In some embodiments conditions are selected such that no more than about one monolayer of the first reactant is adsorbed on the substrate surface in a self-limiting manner. Excess first reactant and reaction byproducts, if any, are removed from the substrate surface, such as by purging with an inert gas or by removing the substrate from the presence of the first reactant.
  • Purging means that vapor phase precursors and/or vapor phase byproducts are removed from the substrate surface such as by evacuating a chamber with a vacuum pump and/or by replacing the gas inside a reactor with an inert gas such as argon or nitrogen. Typical purging times are from about 0.05 to 20 seconds, between about 1 and 10, or between about 1 and 2 seconds. However, other purge times can be utilized if necessary, such as where highly conformal step coverage over extremely high aspect ratio structures or other structures with complex surface morphology is needed or batch processes with multiple substrates. In selective deposition longer purging times or efficient purging may be used in order to avoid CVD reactions which could possibly ruin the selectivity.
  • As discussed above, the surface of the substrate is subsequently contacted with a vapor phase second gaseous reactant in each deposition cycle. In some embodiments a pulse of a second gaseous reactant is provided to a reaction space containing the substrate. In some embodiments the substrate is moved to a reaction space containing the vapor phase second reactant. Excess second reactant and gaseous byproducts of the surface reaction, if any, are removed from the substrate surface.
  • The steps of contacting and removing are repeated until a thin film of the desired thickness has been selectively formed on the first surface of substrate.
  • Removing excess reactants can include evacuating some of the contents of a reaction space and/or purging a reaction space with helium, nitrogen or another inert gas. In some embodiments purging can comprise turning off the flow of the reactive gas while continuing to flow an inert carrier gas to the reaction space.
  • The precursors employed in the ALD type processes may be solid, liquid or gaseous materials under standard conditions (room temperature and atmospheric pressure), provided that the precursors are in vapor phase before they are contacted with the substrate surface. Contacting a substrate surface with a vaporized precursor means that the precursor vapor is in contact with the substrate surface for a limited period of time. Typically, the contacting time is from about 0.05 to 10 seconds. However, depending on the substrate type and its surface area, the contacting time may be even higher than 10 seconds. Contacting times can be on the order of minutes in some cases. The optimum contacting time can be determined by the skilled artisan based on the particular circumstances.
  • The mass flow rate of the precursors can also be determined by the skilled artisan. In some embodiments the flow rate of metal precursors is between about 1 and 1000 sccm without limitation, or between about 100 and 500 sccm.
  • The pressure in a reaction chamber is typically from about 0.01 to about 20 mbar, or from about 1 to about 10 mbar. However, in some cases the pressure will be higher or lower than this range, as can be determined by the skilled artisan given the particular circumstances.
  • Examples of suitable reactors that may be used include commercially available ALD equipment such as the F-120® reactor, Pulsar® reactor and Advance® 400 Series reactor, available from ASM America, Inc. of Phoenix, Ariz. and ASM Europe B.V., Almere, Netherlands. In addition to these ALD reactors, many other kinds of reactors capable of ALD growth of thin films, including CVD reactors equipped with appropriate equipment and means for pulsing the precursors can be employed. In some embodiments a flow type ALD reactor is used. In some embodiments reactants are kept separate until reaching the reaction chamber, such that shared lines for the precursors are minimized. However, other arrangements are possible, such as the use of a pre-reaction chamber as described in U.S. patent application Ser. No. 10/929,348, filed Aug. 30, 2004 and Ser. No. 09/836,674, filed Apr. 16, 2001, the disclosures of which are incorporated herein by reference.
  • The growth processes can optionally be carried out in a reactor or reaction space connected to a cluster tool. In a cluster tool, because each reaction space is dedicated to one type of process, the temperature of the reaction space in each module can be kept constant, which improves the throughput compared to a reactor in which is the substrate is heated up to the process temperature before each run.
  • A stand-alone reactor can be equipped with a load-lock. In that case, it is not necessary to cool down the reaction space between each run.
  • Example 1
  • A deposition process as described herein as carried out in a reaction chamber. NbF5 was used as a first precursor and H2O was used as a second reactant. The first precursor and second reactant were alternately and sequentially pulsed into the reaction space of a Pulsar® 2000 reactor, wherein the reactants separately contacted various sample substrates at reaction temperature of about 300° C. The reactants were removed from the reaction space between pulses by purging the reaction space. The pulse and purge times used for the first precursor comprising NbF5 were from about 0.5 s to about 2.0 s (pulse) and about 6.0 s (purge), respectively. Pulse and purge times used for the second reactant comprising H2O were from about 0.5 s to about 2.0 s (pulse) and 5.0 s to about 6.0 s (purge), respectively.
  • During analysis of the sample substrates, no film growth was observed on native oxide or silicon surfaces of the substrates for deposition processes including at least up to 200 cycles or at least up to 300-500 cycles in the case of substrates including a thermal SiO2 surface. Film growth was observed on a PVD deposited W surfaces of the sample substrates as shown in FIG. 2. Film growth was also observed on sample substrates which included a PVD deposited Co surface and a PVD deposited Ru surface.
  • Example 2
  • NbOx films were selectively deposited on a metal oxide surface of a substrate relative to a SiO2 surface using a deposition process as described herein.
  • A deposition process as described herein as carried out in a reaction chamber. NbF5 was used as a first precursor and H2O was used as a second reactant. The first precursor and second reactant were alternately and sequentially pulsed into the reaction space of a Pulsar® 2000 reactor, wherein the reactants separately contacted various sample substrates at reaction temperature of about 300° C. Source temperatures for NbF5 and H2O reactants were 45° C. and about 21° C., respectively. The reactants were removed from the reaction space between pulses by purging the reaction space. The pulse and purge times used for the first precursor comprising NbF5 were from about 0.5 s to about 2.0 s (pulse) and about 6.0 s (purge), respectively. Pulse and purge times used for the second reactant comprising H2O were from about 0.5 s to about 2.0 s (pulse) and 5.0 s to about 6.0 s (purge), respectively.
  • Under these deposition conditions, an Nb2O5 film was observed to be deposited on the first metal oxide surface of the substrate using a deposition process including 500 deposition cycles. The substrate comprised an SiO2 surface with tungsten dots that included a native tungsten oxide surface layer, also referred to herein as tungsten/tungsten oxide areas.
  • Due to the selectivity of the deposition process, an Nb2O5 thin film was deposited only on the portions of the substrate surface comprising tungsten/tungsten oxide dots. No film deposition was measured on the SiO2 surface when analyzed via energy-dispersive X-ray spectroscopy (EDX). FIG. 3 shows an SEM image of the resultant Nb2O5 on the tungsten/tungsten oxide surface. The Nb2O5 was observed as large crystals on the tungsten/tungsten oxide dots having a thickness of about 80 nm. The thickness of the film was estimated from a film deposited using a similar deposition process with similar conditions on a blanket PVD W coupon.

Claims (20)

We claim:
1. A method for selectively depositing a material on a first metal or metallic surface of a substrate relative to a second dielectric surface of the substrate, the method comprising one or more deposition cycles comprising:
contacting the substrate with a first vapor-phase precursor comprising a metal halide; and
contacting the substrate with a second vapor-phase precursor comprising a silane;
wherein the material is deposited on the first metal or metallic surface relative to the second dielectric surface with a selectivity of above about 50%.
2. The method of claim 1, wherein the metal halide is selected from fluorides or chlorides of Nb, Ta, Mo, W, V and Cr.
3. The method of claim 1, wherein the first precursor is selected from NbCl5, NbF5, TaCl5, TaF5, MoFx, MoClx, VFx, VClx, and CrFx, where x is an integer.
4. The method of claim 3, wherein the first precursor is selected from NbCl5, NbF5, TaCl5, and TaF5.
5. The method of claim 1, wherein the second dielectric surface comprises Si—O bonds.
6. The method of claim 1, wherein the second dielectric surface comprises a non-conductive surface.
7. The method of claim 1, wherein the deposition cycle is repeated until a desired thickness of material is deposited on the first metal or metallic surface.
8. The method of claim 1, wherein the substrate is contacted with the first vapor-phase precursor comprising a metal halide at a temperature equal to or above about 225° C.
9. The method of claim 1, wherein the silane is selected from monosilane, disilane, and trisilane.
10. The method of claim 1, wherein the silane is an alkylsilane.
11. The method of claim 10, wherein the silane is diethylsilane.
12. The method of claim 1, wherein the second vapor-phase precursor does not comprise plasma.
13. The method of claim 1, wherein the material is a metal silicide.
14. The method of claim 13, additionally comprising treating the metal silicide to form a metal silicate.
15. The method of claim 14, wherein treating the metal silicide comprises contacting the metal silicide with a reactant comprising oxygen.
16. The method of claim 15, wherein the reactant comprising oxygen comprises water, ozone, oxygen atoms, oxygen radicals or oxygen plasma.
17. The method of claim 13, wherein the material is not tungsten silicide.
18. The method of claim 13, wherein the metal silicide is deposited on the first metal or metallic surface relative to the second dielectric surface with a selectivity of above about 95%.
19. The method of claim 1, wherein the material is a metal carbide.
20. The method of claim 1, wherein the substrate is contacted with the first vapor-phase precursor comprising a metal halide at a temperature equal to or above about 250° C. and the material is deposited on the first metal or metallic surface relative to the second dielectric surface with a selectivity of above about 95%.
US17/450,742 2015-08-03 2021-10-13 Selective deposition on metal or metallic surfaces relative to dielectric surfaces Pending US20220025513A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/450,742 US20220025513A1 (en) 2015-08-03 2021-10-13 Selective deposition on metal or metallic surfaces relative to dielectric surfaces

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562200502P 2015-08-03 2015-08-03
US201662281593P 2016-01-21 2016-01-21
US15/221,453 US10428421B2 (en) 2015-08-03 2016-07-27 Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US16/575,112 US11174550B2 (en) 2015-08-03 2019-09-18 Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US17/450,742 US20220025513A1 (en) 2015-08-03 2021-10-13 Selective deposition on metal or metallic surfaces relative to dielectric surfaces

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US16/575,112 Continuation US11174550B2 (en) 2015-08-03 2019-09-18 Selective deposition on metal or metallic surfaces relative to dielectric surfaces

Publications (1)

Publication Number Publication Date
US20220025513A1 true US20220025513A1 (en) 2022-01-27

Family

ID=58052888

Family Applications (3)

Application Number Title Priority Date Filing Date
US15/221,453 Active US10428421B2 (en) 2015-08-03 2016-07-27 Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US16/575,112 Active 2036-12-15 US11174550B2 (en) 2015-08-03 2019-09-18 Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US17/450,742 Pending US20220025513A1 (en) 2015-08-03 2021-10-13 Selective deposition on metal or metallic surfaces relative to dielectric surfaces

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US15/221,453 Active US10428421B2 (en) 2015-08-03 2016-07-27 Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US16/575,112 Active 2036-12-15 US11174550B2 (en) 2015-08-03 2019-09-18 Selective deposition on metal or metallic surfaces relative to dielectric surfaces

Country Status (3)

Country Link
US (3) US10428421B2 (en)
KR (2) KR102520620B1 (en)
TW (2) TWI698544B (en)

Families Citing this family (348)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI509695B (en) 2010-06-10 2015-11-21 Asm Int Method for selectively depositing film on substrate
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
TWI739285B (en) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 Selective deposition of metals, metal oxides, and dielectrics
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9816180B2 (en) 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) * 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11094535B2 (en) * 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) * 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
KR20200000457A (en) * 2017-05-26 2020-01-02 어플라이드 머티어리얼스, 인코포레이티드 Selective deposition of metal silicides
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
TWI722301B (en) * 2017-07-18 2021-03-21 美商應用材料股份有限公司 Methods for depositing blocking layers on metal material surfaces
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10475654B2 (en) * 2017-08-31 2019-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact plug and method manufacturing same
KR20200041829A (en) * 2017-09-12 2020-04-22 어플라이드 머티어리얼스, 인코포레이티드 Removal of selective deposition defects by chemical etching
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (en) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
CN112074940A (en) 2018-03-20 2020-12-11 东京毅力科创株式会社 Self-sensing corrective heterogeneous platform incorporating integrated semiconductor processing modules and methods of use thereof
WO2019182916A1 (en) 2018-03-20 2019-09-26 Tokyo Electron Limited Substrate processing tool with integrated metrology and method of using
WO2019182955A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Platform and method of operating for integrated end-to-end area-selective deposition process
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
US20190326114A1 (en) * 2018-04-19 2019-10-24 Applied Materials, Inc. Methods of treating a substrate to form a layer thereon for application in selective deposition processes
JP7146690B2 (en) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. Selective layer formation using deposition and removal
TW202344708A (en) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US20200048762A1 (en) * 2018-08-10 2020-02-13 Applied Materials, Inc. Methods for selective deposition using self assembled monolayers
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
JP2020056104A (en) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. Selective passivation and selective deposition
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) * 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
TWI757659B (en) * 2018-11-23 2022-03-11 美商應用材料股份有限公司 Selective deposition of carbon films and uses thereof
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
US11738366B2 (en) 2019-01-25 2023-08-29 The Regents Of The University Of California Method of coating an object
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
US10978354B2 (en) 2019-03-15 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN112992667A (en) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11233134B2 (en) 2019-12-19 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistors with dual silicide contact structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
TW202140833A (en) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces
TW202204658A (en) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Simultaneous selective deposition of two different materials on two different surfaces
TW202140832A (en) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Selective deposition of silicon oxide on metal surfaces
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US11489057B2 (en) 2020-08-07 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
US11942426B2 (en) 2021-05-06 2024-03-26 International Business Machines Corporation Semiconductor structure having alternating selective metal and dielectric layers
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11699577B2 (en) 2021-05-25 2023-07-11 Applied Materials, Inc. Treatment for high-temperature cleans
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20230173313A (en) * 2022-06-17 2023-12-27 에스케이스페셜티 주식회사 Method for selectively depositing silicon oxide layer using aminosilane type precursor

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4653428A (en) * 1985-05-10 1987-03-31 General Electric Company Selective chemical vapor deposition apparatus
US4849260A (en) * 1986-06-30 1989-07-18 Nihon Sinku Gijutsu Kabushiki Kaisha Method for selectively depositing metal on a substrate
US20010009695A1 (en) * 2000-01-18 2001-07-26 Saanila Ville Antero Process for growing metalloid thin films
US20020006708A1 (en) * 1998-06-16 2002-01-17 Kang Sang-Bum Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
US20020022357A1 (en) * 2000-08-21 2002-02-21 Hitachi, Ltd. Semiconductor integrated circuit device and process for manufacturing the same
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US20060024881A1 (en) * 2004-08-02 2006-02-02 Marsh Eugene P Methods of forming metal oxide and semimetal oxide
US20070202254A1 (en) * 2001-07-25 2007-08-30 Seshadri Ganguli Process for forming cobalt-containing materials
US20130196502A1 (en) * 2011-12-09 2013-08-01 ASM International. N.V. Selective formation of metallic films on metallic surfaces

Family Cites Families (298)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (en) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc Manufacture of copper-clad laminated sheet
DE4115872A1 (en) 1991-05-15 1992-11-19 Basf Ag METHOD FOR PRODUCING THIN POLYIMIDE PROTECTIVE LAYERS ON CERAMIC SUPRAL LADDERS OR HIGH TEMPERATURE SUPRAL LADDERS
JP3048749B2 (en) 1992-04-28 2000-06-05 キヤノン株式会社 Thin film formation method
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
JP3373320B2 (en) 1995-02-10 2003-02-04 株式会社アルバック Copper wiring manufacturing method
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (en) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Procedure for coating the inside of a plant
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
US6375693B1 (en) 1999-05-07 2002-04-23 International Business Machines Corporation Chemical-mechanical planarization of barriers or liners for copper metallurgy
KR20010001072A (en) 1999-06-01 2001-01-05 부원영 A Football Game on Online Network
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
WO2001012731A1 (en) 1999-08-19 2001-02-22 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4382219B2 (en) 1999-10-29 2009-12-09 日本電気株式会社 Method of hydrogenating polycrystalline silicon film and method of manufacturing thin film transistor
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP4703810B2 (en) 2000-03-07 2011-06-15 東京エレクトロン株式会社 CVD film forming method
FI117979B (en) 2000-04-14 2007-05-15 Asm Int Process for making oxide thin films
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
JP5173101B2 (en) 2000-05-15 2013-03-27 エイエスエム インターナショナル エヌ.ヴェー. Integrated circuit manufacturing method
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100719177B1 (en) 2000-07-31 2007-05-17 주식회사 하이닉스반도체 Method for forming tungsten layer by using selective ALD method
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
JP4095763B2 (en) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
US6455414B1 (en) * 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
EP1340269B1 (en) 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
KR100449782B1 (en) * 2001-07-19 2004-09-22 삼성전자주식회사 Method of depositing an atomic layer, and method of depositing a thin layer and a metal layer using the same
JP4921652B2 (en) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. Method for depositing yttrium oxide and lanthanum oxide thin films
JP2003109941A (en) 2001-09-28 2003-04-11 Canon Inc Plasma treatment device and surface treatment method
KR20030027392A (en) 2001-09-28 2003-04-07 삼성전자주식회사 Method for forming a titanium silicide thin film
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
JP3479648B2 (en) 2001-12-27 2003-12-15 クラリアント インターナショナル リミテッド Polysilazane treatment solvent and method for treating polysilazane using this solvent
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (en) 2002-09-11 2004-12-03 삼성전자주식회사 Semiconductor device having a SiN etch stopper by low temperature ALD and fabricating method the same
US6982230B2 (en) * 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
CN1726303B (en) 2002-11-15 2011-08-24 哈佛学院院长等 Atomic layer deposition using metal amidinates
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (en) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 Method of forming a capping layer
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
WO2004102648A2 (en) 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US20050037153A1 (en) 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (en) 2004-04-27 2005-11-01 삼성에스디아이 주식회사 Thin film transistor fabricated by plasma deposition process
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
KR20070089197A (en) 2004-11-22 2007-08-30 어플라이드 머티어리얼스, 인코포레이티드 Substrate processing apparatus using a batch processing chamber
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7276433B2 (en) 2004-12-03 2007-10-02 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (en) 2005-02-04 2009-04-30 パナソニック株式会社 Diffraction element, diffraction element manufacturing method, optical pickup device, and optical disc apparatus
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7666773B2 (en) * 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) * 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7514119B2 (en) * 2005-04-29 2009-04-07 Linde, Inc. Method and apparatus for using solution based precursors for atomic layer deposition
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (en) 2005-06-24 2007-03-19 삼성전자주식회사 Overlay key and method for forming the same, semiconductor device and method for manufacturing the semiconductor device
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
KR100891779B1 (en) 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 Organometallic precursors and related intermediates for deposition processes, their production and methods of use
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US8187678B2 (en) 2006-02-13 2012-05-29 Stc.Unm Ultra-thin microporous/hybrid materials
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (en) 2006-04-14 2012-09-26 株式会社東芝 Semiconductor device
FR2900276B1 (en) 2006-04-25 2008-09-12 St Microelectronics Sa PEALD DEPOSITION OF A SILICON MATERIAL
JP2009539237A (en) 2006-06-02 2009-11-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Method for forming high-k dielectric films based on novel titanium, zirconium and hafnium precursors and their use in semiconductor manufacturing
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
JP4881262B2 (en) 2006-11-28 2012-02-22 株式会社荏原製作所 Substrate surface treatment method
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
DE102007004867B4 (en) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale A method of increasing the reliability of copper-based metallization structures in a microstructure device by using aluminum nitride
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
US8039062B2 (en) 2007-09-14 2011-10-18 Sigma-Aldrich Co. Llc Methods of atomic layer deposition using hafnium and zirconium-based precursors
JP2009076590A (en) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc Cleaning method
JP2011503876A (en) 2007-11-06 2011-01-27 エイチシーエフ パートナーズ リミテッド パートナーシップ Atomic layer deposition process
KR100920033B1 (en) 2007-12-10 2009-10-07 (주)피앤테크 Method of forming SiOC film using precursor for manufacturing SiOC film
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (en) 2008-03-25 2013-05-15 東京エレクトロン株式会社 Film forming apparatus and film forming method
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8697234B2 (en) 2008-05-09 2014-04-15 Amf Gmbh Self-assembled monolayers and method of production
WO2010009297A2 (en) 2008-07-16 2010-01-21 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a doping layer mask
JP2012501550A (en) 2008-08-27 2012-01-19 アプライド マテリアルズ インコーポレイテッド Back-contact solar cells using printed dielectric barriers
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
US20100102417A1 (en) 2008-10-27 2010-04-29 Applied Materials, Inc. Vapor deposition method for ternary compounds
KR20110103988A (en) 2008-12-01 2011-09-21 이 아이 듀폰 디 네모아 앤드 캄파니 Anode for an organic electronic device
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
KR101556238B1 (en) 2009-02-17 2015-10-01 삼성전자주식회사 Method of fabricating semiconductor device having buried wiring
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
GB0906105D0 (en) 2009-04-08 2009-05-20 Ulive Entpr Ltd Mixed metal oxides
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP2011018742A (en) 2009-07-08 2011-01-27 Renesas Electronics Corp Method of manufacturing semiconductor device
JP5359642B2 (en) 2009-07-22 2013-12-04 東京エレクトロン株式会社 Deposition method
MX2012001115A (en) 2009-07-31 2012-03-21 Akzo Nobel Chemicals Int Bv Process for the preparation of a coated substrate, coated substrate, and use thereof.
JP5304536B2 (en) 2009-08-24 2013-10-02 ソニー株式会社 Semiconductor device
KR101129090B1 (en) 2009-09-01 2012-04-13 성균관대학교산학협력단 Method of manufacturing patterned substrate for culturing cells, patterned substrate for culturing cells, patterning method of culturing cells, and patterned cell chip
US9024312B2 (en) 2009-09-30 2015-05-05 Dai Nippon Printing Co., Ltd. Substrate for flexible device, thin film transistor substrate for flexible device, flexible device, substrate for thin film element, thin film element, thin film transistor, method for manufacturing substrate for thin film element, method for manufacturing thin film element, and method for manufacturing thin film transistor
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
JP5222864B2 (en) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト Manufacturing method of liquid crystal display device
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5373669B2 (en) 2010-03-05 2013-12-18 東京エレクトロン株式会社 Manufacturing method of semiconductor device
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI509695B (en) 2010-06-10 2015-11-21 Asm Int Method for selectively depositing film on substrate
US20110311726A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
WO2012001848A1 (en) 2010-07-01 2012-01-05 東京エレクトロン株式会社 Method for manufacturing semiconductor device
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
WO2012066977A1 (en) 2010-11-19 2012-05-24 株式会社日立国際電気 Method for producing semiconductor device, method for substrate treatment, and device for substrate treatment
CN103476965B (en) 2011-02-07 2016-03-23 乔治洛德方法研究和开发液化空气有限公司 By the method for aluminium and silicon precursor depositing Al 2O3/SiO2 lamination
DE102011012515A1 (en) 2011-02-25 2012-08-30 Umicore Ag & Co. Kg Metal complexes with N-amino-amidinate ligands
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (en) 2011-03-29 2012-10-25 Tokyo Electron Ltd Cleaning method and deposition method
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR20190077619A (en) 2011-06-03 2019-07-03 노벨러스 시스템즈, 인코포레이티드 Metal and silicon containing capping layers for interconnects
KR20130007059A (en) 2011-06-28 2013-01-18 삼성전자주식회사 Method for manfacturing semiconductor device
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (en) 2011-09-23 2014-03-05 复旦大学 Method for selectively depositing gate oxides and gate electrodes
US8569184B2 (en) 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
US8921228B2 (en) 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
JP6202798B2 (en) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. Atomic layer deposition of antimony oxide films.
TWI541377B (en) 2011-11-04 2016-07-11 Asm國際股份有限公司 Methods for forming doped silicon oxide thin films
FR2982608B1 (en) 2011-11-16 2013-11-22 Saint Gobain BARRIER LAYER WITH ALKALI METALS BASED ON SIOC
KR20130056608A (en) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 Phase-change random access memory device and method of manufacturing the same
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9194840B2 (en) 2012-01-19 2015-11-24 Life Technologies Corporation Sensor arrays and methods for making same
US9238865B2 (en) * 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (en) 2012-04-27 2016-11-02 東京エレクトロン株式会社 Film forming method and film forming apparatus
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5966618B2 (en) 2012-05-28 2016-08-10 東京エレクトロン株式会社 Deposition method
JP5862459B2 (en) 2012-05-28 2016-02-16 東京エレクトロン株式会社 Deposition method
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
JP6040609B2 (en) 2012-07-20 2016-12-07 東京エレクトロン株式会社 Film forming apparatus and film forming method
KR20150036114A (en) 2012-07-20 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Organosilane precursors for ald/cvd silicon-containing film applications
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
KR102207992B1 (en) 2012-10-23 2021-01-26 램 리써치 코포레이션 Sub-saturated atomic layer deposition and conformal film deposition
JP2014093331A (en) 2012-10-31 2014-05-19 Tokyo Electron Ltd Deposition method of polymerized film, environment maintenance method of deposition device, deposition device and manufacturing method of electronic product
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US10279959B2 (en) 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (en) 2013-01-31 2016-07-06 東京エレクトロン株式会社 Raw material gas supply apparatus, film forming apparatus, raw material supply method, and storage medium
CN104968724B (en) 2013-01-31 2017-09-26 大日本印刷株式会社 Electronic beam curing resin combination, reflector resinous framework, reflector, the manufacture method of semiconductor light-emitting apparatus and formed body
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US8980734B2 (en) 2013-03-08 2015-03-17 Freescale Semiconductor, Inc. Gate security feature
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
JP2014188656A (en) 2013-03-28 2014-10-06 Tokyo Electron Ltd Manufacturing method of hollow structure
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
JP5605464B2 (en) 2013-06-25 2014-10-15 東京エレクトロン株式会社 Film forming apparatus and cleaning method thereof
DE112013007031B4 (en) 2013-06-28 2022-02-24 Intel Corporation Devices based on selectively epitaxially grown III-V materials
JP2015012179A (en) 2013-06-28 2015-01-19 住友電気工業株式会社 Vapor phase growth method
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
TW201509799A (en) 2013-07-19 2015-03-16 Air Liquide Hexacoordinate silicon-containing precursors for ALD/CVD silicon-containing film applications
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (en) 2013-09-02 2017-04-05 東京エレクトロン株式会社 Film forming method and film forming apparatus
EP3046991B1 (en) 2013-09-20 2019-10-30 Baker Hughes, a GE company, LLC Composites for use in stimulation and sand control operations
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
EP3050084A4 (en) 2013-09-27 2017-05-24 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting encroachment of the layers over adjacent regions
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (en) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 Copper particle fluid dispersion and method for producing conductive film using the same
JP6135475B2 (en) 2013-11-20 2017-05-31 東京エレクトロン株式会社 Gas supply apparatus, film forming apparatus, gas supply method, and storage medium
TW201525173A (en) 2013-12-09 2015-07-01 Applied Materials Inc Methods of selective layer deposition
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
EP3084815A4 (en) 2013-12-19 2018-01-03 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
US9873940B2 (en) 2013-12-31 2018-01-23 Lam Research Corporation Coating system and method for coating interior fluid wetted surfaces of a component of a semiconductor substrate processing apparatus
TWI739285B (en) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 Selective deposition of metals, metal oxides, and dielectrics
TWI624515B (en) 2014-02-10 2018-05-21 國立清華大學 Inorganic-organic hybrid oxide polymer and manufacturing method thereof
JP6254459B2 (en) 2014-02-27 2017-12-27 東京エレクトロン株式会社 Method for improving chemical resistance of polymerized film, method for forming polymerized film, film forming apparatus, and method for manufacturing electronic product
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
WO2015147843A1 (en) 2014-03-27 2015-10-01 Intel Corporation Precursor and process design for photo-assisted metal atomic layer deposition (ald) and chemical vapor deposition (cvd)
SG11201606451QA (en) 2014-03-28 2016-09-29 Intel Corp Selective epitaxially grown iii-v materials based devices
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (en) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 Electronic device and method for fabricating the same
EP3026055A1 (en) 2014-11-28 2016-06-01 Umicore AG & Co. KG New metal N-aminoguanidinate complexes for use in thin film fabrication and catalysis
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US9816180B2 (en) 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US10421766B2 (en) 2015-02-13 2019-09-24 Versum Materials Us, Llc Bisaminoalkoxysilane compounds and methods for using same to deposit silicon-containing films
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9805914B2 (en) 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9343297B1 (en) 2015-04-22 2016-05-17 Asm Ip Holding B.V. Method for forming multi-element thin film constituted by at least five elements by PEALD
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
JP6751107B2 (en) 2015-05-01 2020-09-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Selective Deposition of Thin Film Dielectrics Using Surface Blocking Chemistry
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
KR102475024B1 (en) 2015-06-18 2022-12-07 타호 리서치 리미티드 Inherently Selective Precursors for Deposition of Second or Third Row Transition Metal Thin Films
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
KR102149907B1 (en) 2016-03-03 2020-08-31 어플라이드 머티어리얼스, 인코포레이티드 Improved self-assembly monolayer blocking by periodic air-water exposure
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
CN109844930B (en) 2016-10-02 2024-03-08 应用材料公司 Doped selective metal capping with ruthenium liner to improve copper electromigration
US10358719B2 (en) 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (en) 2017-03-30 2021-02-24 東京エレクトロン株式会社 Selective growth method
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN114875388A (en) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 Plasma enhanced deposition method for controlled formation of oxygen-containing films
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
US10586734B2 (en) 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
JP7146690B2 (en) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. Selective layer formation using deposition and removal
JP2020056104A (en) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. Selective passivation and selective deposition
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4653428A (en) * 1985-05-10 1987-03-31 General Electric Company Selective chemical vapor deposition apparatus
US4849260A (en) * 1986-06-30 1989-07-18 Nihon Sinku Gijutsu Kabushiki Kaisha Method for selectively depositing metal on a substrate
US20020006708A1 (en) * 1998-06-16 2002-01-17 Kang Sang-Bum Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
US20010009695A1 (en) * 2000-01-18 2001-07-26 Saanila Ville Antero Process for growing metalloid thin films
US20020022357A1 (en) * 2000-08-21 2002-02-21 Hitachi, Ltd. Semiconductor integrated circuit device and process for manufacturing the same
US20070202254A1 (en) * 2001-07-25 2007-08-30 Seshadri Ganguli Process for forming cobalt-containing materials
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US20060024881A1 (en) * 2004-08-02 2006-02-02 Marsh Eugene P Methods of forming metal oxide and semimetal oxide
US20130196502A1 (en) * 2011-12-09 2013-08-01 ASM International. N.V. Selective formation of metallic films on metallic surfaces

Also Published As

Publication number Publication date
US11174550B2 (en) 2021-11-16
KR20230051455A (en) 2023-04-18
US10428421B2 (en) 2019-10-01
TWI698544B (en) 2020-07-11
KR102520620B1 (en) 2023-04-11
TW202035768A (en) 2020-10-01
US20200010953A1 (en) 2020-01-09
KR20170016310A (en) 2017-02-13
TW201712140A (en) 2017-04-01
US20170037513A1 (en) 2017-02-09
TWI721896B (en) 2021-03-11

Similar Documents

Publication Publication Date Title
US11174550B2 (en) Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10910262B2 (en) Method of selectively depositing a capping layer structure on a semiconductor device structure
US10903113B2 (en) Selective deposition of aluminum and nitrogen containing material
US9469899B2 (en) Selective deposition of noble metal thin films
US9587307B2 (en) Enhanced deposition of noble metals
US7611751B2 (en) Vapor deposition of metal carbide films
JP4974676B2 (en) Formation method of barrier film
KR102521792B1 (en) Selective aluminum oxide film deposition
JP2017041632A (en) Selective deposition of materials containing aluminium and nitrogen
US9981286B2 (en) Selective formation of metal silicides
US20210404060A1 (en) Vapor deposition of tungsten films
KR20180093832A (en) Selective deposition of aluminum and nitrogen containing material

Legal Events

Date Code Title Description
AS Assignment

Owner name: ASM IP HOLDING B.V., NETHERLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HAUKKA, SUVI P.;MATERO, RAIJA H.;FAERM, ELINA;AND OTHERS;REEL/FRAME:057898/0899

Effective date: 20161221

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED