KR20240002965A - 복합 배리어 층들 - Google Patents

복합 배리어 층들 Download PDF

Info

Publication number
KR20240002965A
KR20240002965A KR1020230084917A KR20230084917A KR20240002965A KR 20240002965 A KR20240002965 A KR 20240002965A KR 1020230084917 A KR1020230084917 A KR 1020230084917A KR 20230084917 A KR20230084917 A KR 20230084917A KR 20240002965 A KR20240002965 A KR 20240002965A
Authority
KR
South Korea
Prior art keywords
barrier film
doped
barrier
substrate
layer
Prior art date
Application number
KR1020230084917A
Other languages
English (en)
Inventor
지아지에 센
정 주
펑 첸
제프리 더블유. 앤티스
벤자민 슈미지
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20240002965A publication Critical patent/KR20240002965A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76858After-treatment introducing at least one additional element into the layer by diffusing alloying elements
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

루테늄 도핑된 니오븀 질화물 배리어 층들을 형성하기 위한 방법들이 개시된다. 도핑된 배리어 층은 약 15Å 미만의 두께에서 개선된 접착력을 제공한다. 일부 실시예들에서, 본원에 개시된 도핑된 배리어 층들은 더 낮은 질소 함량, 더 높은 루테늄 함량, 더 양호한 커버리지, 더 얇은 층들, 또는 더 낮은 라인 저항을 포함하는 개선된 배리어 특성들을 제공한다.

Description

복합 배리어 층들{COMPOSITE BARRIER LAYERS}
관련 출원들에 대한 상호 참조문헌
[0001] 본 출원은 2022년 6월 30일자로 출원된 미국 가출원 제63/357,613호를 우선권으로 주장하며, 이로써 상기 출원의 전체 개시내용은 본원에 참조로 포함된다.
기술분야
[0002] 본 개시내용의 실시예들은 일반적으로 복합 배리어 층들을 형성하는 방법들에 관한 것이다. 더 구체적으로, 본 개시내용의 실시예들은 Ru:NbN 배리어 막들을 형성하는 방법들에 관한 것이다.
[0003] 마이크로전자 디바이스들, 이를테면 반도체들 또는 집적 회로들은 트랜지스터들, 커패시터들 등과 같은 수백만 개의 전자 회로 디바이스들을 포함할 수 있다. 집적 회로들 상에서 발견되는 디바이스들의 밀도를 더욱 증가시키기 위해, 훨씬 더 작은 피처 크기들이 요구된다. 이러한 더 작은 피처 크기들을 달성하기 위해, 전도성 라인들, 비아들, 및 인터커넥트들, 게이트들 등의 크기가 감소되어야 한다. 회로 밀도 및 품질을 증가시키기 위해 다중레벨 인터커넥트 구조들의 신뢰 가능한 형성이 또한 필요하다. 제작 기술들의 진보들은 전도성 라인들, 인터커넥트들, 비아들, 및 다른 구조들에 대한 구리의 사용을 가능하게 하였다. 그러나, 인터커넥트 구조들에서의 일렉트로마이그레이션(electromigration)은, 피처 크기가 감소되고 상호연결(interconnection)들을 위한 구리의 사용이 증가됨에 따라, 극복해야 할 더 큰 장애물이 된다. 그러한 일렉트로마이그레이션은 집적 회로의 다양한 컴포넌트들의 전기적 특성들에 악영향을 미칠 수 있다.
[0004] 구체적으로, 5 nm 이하의 노드(node)의 경우, 구리 인터커넥트들에 대한 배리어 및 라이너 두께는 배리어 층의 접착 및 디바이스 신뢰성과 관련하여 훨씬 더 난제가 되고 있다. 또한, 5 nm에서의 배리어 막 및 라이너의 베이스라인 두께는 ~45Å이다. 더 높은 두께들은 갭충전을 위한 더 적은 공간을 제공하고, 저항률을 증가시킬 수 있다.
[0005] 탄탈 질화물(TaN)은 10Å 초과의 막 두께들에서 구리 배리어이며, 여기서, 막은 연속적이다. 그러나, 22 nm 미만의 노드들에서, 열 원자층 증착(ALD)에 의해 증착된 TaN은 양호한 구리 배리어 층이 아니다. 따라서, 효과적인 구리 배리어들인 막들을 증착하기 위한 새로운 방법들이 필요하다.
[0006] 본 개시내용의 일부 실시예들은 루테늄 도핑된 니오븀 질화물 배리어 층을 형성하는 방법에 관한 것이다. 방법은 제1 ALD 프로세스에 의해 기판 상에 제1 니오븀 질화물(NbN) 배리어 막을 형성하는 단계, 플래시 화학 기상 증착 프로세스에 의해 제1 배리어 막을 루테늄으로 도핑하는 단계, 및 루테늄 도핑된 니오븀 질화물 배리어 층을 형성하기 위해 제2 ALD 프로세스에 의해 도핑된 제1 배리어 막 상에 제2 니오븀 질화물 배리어 막을 형성하는 단계를 포함한다.
[0007] 본 개시내용의 추가적인 실시예들은 루테늄 도핑된 니오븀 질화물 층을 형성하는 방법에 관한 것이다. 방법은 기판 상에 제1 배리어 막을 형성하기 위해 기판을 니오븀 전구체 및 암모니아에 노출시키는 단계를 포함한다. 기판은 적어도 하나의 피처를 갖는 유전체 층을 포함한다. 제1 배리어 막은, 플래시 화학 기상 증착 프로세스에서 제1 배리어 막을 루테늄 전구체 및 수소 가스(H2)에 노출시킴으로써, 루테늄으로 도핑된다. 기판은 도핑된 제1 배리어 막 상에 제2 배리어 막을 형성하기 위해 니오븀 전구체 및 암모니아에 노출된다. 플래시 화학 기상 증착 프로세스 또는 플래시 화학 기상 증착 프로세스와 제2 배리어 막의 형성은 도핑된 금속 질화물 층을 형성하기 위해 반복된다.
[0008] 본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 통상적인 실시예들을 예시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0009] 도 1은 본 개시내용의 하나 이상의 실시예들에 따른 프로세스 흐름도를 예시한다.
[0010] 도 2는 본 개시내용의 하나 이상의 실시예들에 따른 전자 디바이스의 단면도를 예시한다.
[0011] 도 3a는 본 개시내용의 하나 이상의 실시예들에 따른 전자 디바이스의 단면도를 예시한다.
[0012] 도 3b는 본 개시내용의 하나 이상의 실시예들에 따른 전자 디바이스의 단면도를 예시한다.
[0013] 도 3c는 본 개시내용의 하나 이상의 실시예들에 따른 전자 디바이스의 단면도를 예시한다.
[0014] 도 4는 본 개시내용의 하나 이상의 실시예들에 따른 전자 디바이스의 단면도를 예시한다.
[0015] 도 5는 본 개시내용의 하나 이상의 실시예에 따른 클러스터 툴의 단면도를 예시한다.
[0016] 본 개시내용의 몇몇 예시적인 실시예들을 설명하기 전에, 본 개시내용은 하기의 설명에서 기술되는 구성 또는 프로세스 단계들의 세부사항들로 제한되지 않음이 이해되어야 한다. 본 개시내용은 다른 실시예들이 가능하며, 다양한 방식들로 실시되거나 수행될 수 있다.
[0017] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "기판" 및 "웨이퍼"라는 용어는 상호 교환 가능하게 사용되며, 둘 모두는 프로세스가 작용하는 표면 또는 표면의 일부를 지칭한다. 또한, 문맥이 명확히 달리 나타내지 않는 한, 기판에 대한 언급은 또한 기판의 일부만을 지칭할 수 있다는 것이 당업자들에 의해 또한 이해될 것이다. 추가적으로, 기판 상의 증착에 대한 언급은, 베어(bare) 기판, 및 하나 이상의 막들 또는 피처들이 상부에 증착 또는 형성된 기판 둘 모두를 의미할 수 있다.
[0018] 본원에서 사용되는 바와 같은 "기판"은 제조 프로세스 동안 막 프로세싱이 수행되는, 임의의 기판 또는 기판 상에 형성된 재료 표면을 지칭한다. 예컨대, 프로세싱이 수행될 수 있는 기판 표면은, 애플리케이션에 따라, 재료들, 이를테면 실리콘, 실리콘 산화물, 스트레인드 실리콘, SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물들, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어, 및 임의의 다른 재료들, 이를테면 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 재료들을 포함한다. 기판들은 반도체 웨이퍼들을 포함한다(이에 제한되지는 않음). 기판들은, 기판 표면을 폴리싱, 에칭, 환원, 산화, 수산화(또는 달리, 화학적 작용성을 부여하기 위해 타겟 화학 모이어티들을 생성 또는 그래프트), 어닐링, 그리고/또는 베이킹하기 위한 전처리 프로세스에 노출될 수 있다. 본 개시내용에서, 기판 표면 자체에 대해 직접 막을 프로세싱하는 것 외에도, 개시된 막 프로세싱 단계들 중 임의의 막 프로세싱 단계는 또한, 하기에서 더 상세히 개시되는 바와 같이 기판 상에 형성된 하부층에 대해 수행될 수 있으며, 용어 "기판 표면"은 문맥이 나타내는 바와 같이 그러한 하부층을 포함하도록 의도된다. 따라서, 예컨대, 막/층 또는 부분적인 막/층이 기판 표면 상에 증착된 경우, 새로 증착된 막/층의 노출된 표면은 기판 표면이 된다. 주어진 기판 표면이 포함하는 것은 어떤 막들이 증착될 것인지 뿐만 아니라 사용되는 특정 화학물질에 의존할 것이다.
[0019] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "반응성 가스", "전구체", "반응물" 등의 용어들은, 기판 표면과 반응하는 종을 포함하는 가스를 의미하도록 상호교환 가능하게 사용된다. 예컨대, 제1 "반응성 가스"는 단순히 기판의 표면 상에 흡착될 수 있고, 제2 반응성 가스와의 추가의 화학 반응에 이용 가능할 수 있다.
[0020] 본원에서 사용되는 바와 같은 "약"이라는 용어는 대략 또는 거의를 의미하고, 제시된 수치 값 또는 범위와 관련하여, 수치 값의 ±15% 이하의 변동을 의미한다. 예컨대, ±14%, ±10%, ±5%, ±2%, 또는 ±1% 상이한 값은 약의 정의를 충족시킬 것이다.
[0021] 본원에서 사용되는 바와 같은 "원자층 증착" 또는 "주기적 증착"은 기판 표면 상에 재료의 층을 증착하기 위한 2개 이상의 반응성 화합물들의 순차적인 노출을 지칭한다. 기판, 또는 기판의 일부는, 프로세싱 챔버의 반응 구역 내로 도입되는 2개 이상의 반응성 화합물들에 개별적으로 노출된다. 시간-도메인 ALD 프로세스에서, 각각의 반응성 화합물에 대한 노출은, 각각의 화합물이 기판 표면 상에 부착되고 그리고/또는 반응한 후, 프로세싱 챔버로부터 퍼징될 수 있도록 하기 위해, 시간 지연만큼 분리된다. 이러한 반응성 화합물들은 순차적으로 기판에 노출된다고 한다. 공간적 ALD 프로세스에서, 기판 상의 임의의 주어진 지점이 하나 초과의 반응성 화합물에 실질적으로 동시에 노출되지 않도록, 기판 표면 상의 재료 또는 기판 표면의 상이한 부분들이 2개 이상의 반응성 화합물들에 동시에 노출된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 이와 관련하여 사용되는 "실질적으로"라는 용어는, 당업자들에 의해 이해될 바와 같이, 기판의 작은 부분이 확산으로 인해 다수의 반응성 가스들에 동시에 노출될 수 있는 가능성이 있고, 동시 노출은 의도되지 않는다는 것을 의미한다.
[0022] 시간-도메인 ALD 프로세스의 일 양상에서, 제1 반응성 가스(즉, 제1 전구체 또는 화합물 A)가 반응 구역 내로 펄싱된 후에 제1 시간 지연이 후속된다. 다음으로, 제2 전구체 또는 화합물 B가 반응 구역 내로 펄싱된 후에 제2 지연이 후속된다. 각각의 시간 지연 동안, 반응 구역을 퍼지하거나 또는 달리 반응 구역으로부터 임의의 잔류 반응성 화합물 또는 반응 부산물들을 제거하기 위해 퍼지 가스, 이를테면 아르곤이 프로세싱 챔버 내에 도입된다. 대안적으로, 퍼지 가스는, 반응성 화합물들의 펄스들 사이의 시간 지연 동안 퍼지 가스만이 유동하도록, 증착 프로세스 전체에 걸쳐 연속적으로 유동할 수 있다. 원하는 막 또는 막 두께가 기판 표면 상에 형성될 때까지, 반응성 화합물들은 교번으로 펄싱된다. 어느 시나리오에서든, 화합물 A, 퍼지 가스, 화합물 B 및 퍼지 가스를 펄싱하는 ALD 프로세스가 한 사이클이다. 사이클은 화합물 A 또는 화합물 B 중 어느 하나로 시작될 수 있고, 미리 결정된 두께를 갖는 막을 달성할 때까지 사이클의 개개의 순서를 계속할 수 있다.
[0023] 공간적 ALD 프로세스의 실시예에서, 제1 반응성 가스 및 제2 반응성 가스(예컨대, 질소 가스)는 반응 구역에 동시에 전달되지만, 불활성 가스 커튼 및/또는 진공 커튼에 의해 분리된다. 기판 상의 임의의 주어진 포인트가 제1 반응성 가스 및 제2 반응성 가스에 노출되도록, 기판은 가스 전달 장치에 대해 이동된다.
[0024] 본원에서 개시되는 Ru:NbN 재료들은 유리하게, 우수한 배리어 특성들을 제공한다는 것이 밝혀졌다. 개선된 배리어 특성들은 더 낮은 질소 함량, 더 높은 Ru 함량, 더 양호한 커버리지, 더 얇은 층들, 또는 더 낮은 라인 저항을 포함할 수 있다.
[0025] 하나 이상의 실시예들에서, 배리어 층은 ALD를 통해 증착될 수 있다. 통상적인 ALD 프로세스에서, 막을 증착하기 위해 "A" 전구체 및 "B" 전구체의 교번하는 펄스들 또는 유동들이 사용될 수 있다. 원하는 두께의 막에 도달할 때까지 반응물들 "A" 및 "B"에 대한 표면의 교번적인 노출이 계속된다. 그러나, 반응물들을 펄싱하는 대신에, 가스들은 하나 이상의 가스 전달 헤드 또는 노즐로부터 동시에 유동할 수 있으며, 기판 및/또는 가스 전달 헤드는 기판이 반응성 가스들 각각에 순차적으로 노출되도록 이동될 수 있다. 물론, 전술된 ALD 사이클들은, 증착된 층이 전구체들과 공-반응물들의 교번 층들에 의해 형성되는 매우 다양한 ALD 프로세스 사이클들의 단지 예시이다.
[0026] 하나 이상의 실시예들에서, 공-반응물들은 증기 또는 가스 형태이다. 반응물들은 캐리어 가스와 함께 전달될 수 있다. 캐리어 가스, 퍼지 가스, 증착 가스, 또는 다른 프로세스 가스는 질소, 수소, 아르곤, 네온, 헬륨, 또는 이들의 조합들을 함유할 수 있다. 본원에서 설명되는 다양한 플라즈마들, 이를테면, 질소 플라즈마 또는 불활성 가스 플라즈마는 플라즈마 공-반응물 가스로부터 점화될 수 있고 그리고/또는 플라즈마 공-반응물 가스를 함유할 수 있다.
[0027] 하나 이상의 실시예들에서, 프로세스를 위한 다양한 가스들은 유입구 내로, 가스 채널을 통해, 다양한 홀들 또는 유출구들로부터, 그리고 중앙 채널 내로 펄싱될 수 있다. 하나 이상의 실시예들에서, 증착 가스들은 샤워헤드로 그리고 샤워헤드를 통해 순차적으로 펄싱될 수 있다. 대안적으로, 상기에서 설명된 바와 같이, 가스들은 가스 공급 노즐 또는 헤드를 통해 동시에 유동할 수 있으며, 기판 및/또는 가스 공급 헤드는 기판이 가스들에 순차적으로 노출되도록 이동될 수 있다.
[0028] 하나 이상의 실시예들에서, 배리어 층 재료 및 도펀트 금속은 배리어 층 재료(예컨대, 니오븀 질화물(NbN)) 및 도펀트 금속(예컨대, Ru)의 분리와 함께 다중-챔버 프로세스를 사용하여 증착된다. 다른 실시예들에서, 모든 프로세스들이 하나의 챔버 내에서 발생하고 상이한 층들이 프로세싱에서 가스 퍼지들에 의해 분리되는 단일 챔버 접근법이 사용된다.
[0029] 본 발명의 일부 실시예들은 배리어 애플리케이션들, 예컨대 구리 배리어 애플리케이션들에 관한 것이다. 하나 이상의 실시예들에 의해 형성된 배리어 층은 구리 배리어로서 사용될 수 있다. 일부 실시예들에서, 구리 배리어 애플리케이션들을 위한 배리어 막들은 NbN을 포함한다(그러나 이에 제한되지 않음). 일부 실시예들에서, 도펀트 금속은 Ru를 포함한다(그러나 이에 제한되지 않음).
[0030] 질화물 매트릭스와 도펀트 금속 사이의 금속간 화합물 형성을 촉진할 뿐만 아니라 막 불순물들을 제거하고 배리어 층 밀도를 개선하기 위해 도핑 후에 플라즈마 처리가 사용될 수 있다. 다른 실시예들에서, 포스트 처리(post treatment)는 물리 기상 증착(PVD) 처리, 열적 어닐링, 화학적 강화 등을 포함할 수 있다(그러나 이에 제한되지 않음).
[0031] 일부 구리 배리어 애플리케이션들에서, (약 14 MHz 초과, 예컨대, 약 40 MHz 이상으로 정의되는) 고주파 플라즈마는 네온(Ne), 수소(H2), 및 아르곤(Ar) 가스 중 하나 이상을 포함하는(그러나 이에 제한되지 않음) 임의의 불활성 가스와 함께 사용될 수 있다. 하나 이상의 실시예들에서, 저-k 손상을 방지하기 위해, 더 높은(13.56 MHz보다 더 높은) 플라즈마 주파수가 사용될 수 있다.
[0032] 배리어 막을 증착하기 위한 적합한 반응물들은 금속-함유 전구체들 및 질소-함유 전구체들을 포함한다. 일부 실시예들에서, 금속-함유 전구체는 니오븀(Nb)을 포함한다. 일부 실시예들에서, 니오븀-함유 전구체는 할로겐화물 원자들을 실질적으로 포함하지 않는다. 이와 관련하여 사용되는 바와 같이, "할로겐화물 원자들을 실질적으로 포함하지 않는" 전구체는 원자 기준으로 5% 미만, 2% 미만 또는 1% 미만의 할로겐화물 원자들을 함유한다. 일부 실시예들에서, 니오븀-함유 전구체는 트리스(디에틸아미도)(3차-부틸이미도)니오븀(TBTDEN)일 수 있다. 일부 실시예들에서, 금속-함유 반응물은 암모니아 또는 히드라진과 반응된다. 다른 적합한 반응물들이 당업자들에게 알려져 있다.
[0033] 본 개시내용의 일부 실시예들은 유리하게, 비교적 낮은 기판 온도에서 배리어 막을 증착할 수 있다. 일부 실시예들에서, 기판의 온도는 250℃ 내지 300℃의 온도에서 유지된다. 일부 실시예들에서, 배리어 막을 증착한 후에, 배리어 막은 용량 결합 플라즈마(CCP) 또는 유도 결합 플라즈마(ICP)로 처리된다.
[0034] 일부 실시예들에서, 배리어 막은 플라즈마 반응물을 사용하는 원자층 증착 프로세스를 사용하여 증착된다. 일부 실시예들에서, 배리어 막은 플라즈마 없이 배리어 막을 형성하는 원자층 증착 프로세스("열적 ALD")에 의해 증착된다.
[0035] 하나 이상의 실시예들에서, 도펀트 금속은 당업자에게 공지된 임의의 적합한 방법에 의해 배리어 층 내에 혼입될 수 있다. 예컨대, 하나 이상의 실시예들에서, 도펀트 금속은, 원자층 증착(ALD), 화학 기상 증착(CVD), 및 플라즈마 강화 원자층 증착(PEALD)에서 전구체들의 교번 및/또는 공동-유동; 다중-금속 리간드들을 갖는 전구체들; 및 도펀트 주입/열 확산 중 하나 이상에 의해 배리어 층 내로 혼입될 수 있다. 하나 이상의 실시예들에서, 원자층 증착(ALD), 화학 기상 증착(CVD), 또는 플라즈마 강화 원자층 증착(PEALD)에서 전구체들의 교번 및/또는 공동-유동에 의해 도펀트 금속이 배리어 층에 혼입될 때, 적절한 금속-함유 전구체가 사용될 수 있다. 적합한 전구체들의 예들은 원하는 도펀트, 이를테면 유기 또는 카보닐 리간드들과 배위된 도펀트 금속들을 함유하는 금속 착물들을 포함한다. 하나 이상의 실시예들에서, 도펀트 전구체는 다중-금속 리간드를 포함할 수 있다. 적합한 도펀트 전구체는 적절한 프로세스, 이를테면 ALD, 플라즈마 강화 원자층 증착(PEALD), 또는 화학 기상 증착(CVD)에서 증착되기에 충분한 증기 압력을 가져야 한다. 하나 이상의 실시예들에서, 도펀트는 화학 기상 증착(CVD) 프로세스를 사용하여 증착된다.
[0036] 본원에서 사용되는 바와 같이, "화학 기상 증착"은 기판 표면이 전구체들 및/또는 공동-시약들에 동시에 또는 실질적으로 동시에 노출되는 프로세스를 지칭한다. 본원에서 사용되는 바와 같이, "실질적으로 동시에"는 공동-유동, 또는 가스상으로 전구체들의 의도적인 중첩이 존재하는 경우를 지칭한다.
[0037] 사용되는 도펀트 전구체에 따라, 도펀트를 증착하기 위해 공-반응물이 사용될 수 있다. 예컨대, 수소(H2) 및 암모니아와 같은 환원 가스들이 일부 도펀트들을 증착하기 위한 공-반응물들로서 사용될 수 있다. 금속 도펀트 전구체들 및 공-반응물들은 공동-유동되거나 또는 순차적으로 유동될 수 있다.
[0038] 일부 실시예들에서, 도펀트 층(216)을 증착한 후에, 도펀트 층 및 배리어 층은 수소 어닐링 처리에 노출된다.
[0039] 일부 실시예들에서, 환원 가스 공-반응물을 사용하는 대신에 또는 그에 부가하여, 도펀트 금속 전구체에 배리어 막을 노출시킨 후에, 포스트(post)-플라즈마 처리 단계가 사용될 수 있다. 하나 이상의 실시예들에 따르면, 플라즈마는 당업자에게 공지된 임의의 적합한 불활성 가스를 포함한다. 하나 이상의 실시예들에서, 플라즈마는 헬륨(He), 아르곤(Ar), 암모니아(NH3), 수소(H2), 및 질소(N2) 중 하나 이상을 포함한다. 일부 실시예들에서, 플라즈마는 Ar과 H2의 혼합물, 이를테면 1:1 내지 1:10 범위의 Ar:H2 몰비를 갖는 혼합물을 포함할 수 있다. 플라즈마 전력은 약 200 내지 약 1000 와트의 범위일 수 있다. 플라즈마 주파수는 350 kHz 내지 40 MHz의 범위일 수 있다. 플라즈마 처리 시간은 5초 내지 60초, 이를테면 10초 내지 30초의 범위에서 변할 수 있다. 일부 실시예들에서, 플라즈마 처리 동안의 압력은 0.5 Torr 내지 50 Torr, 이를테면 1 Torr 내지 10 Torr의 범위일 수 있다. 일부 실시예들에서, 웨이퍼 간격은 100 mils 내지 600 mils의 범위일 수 있다.
[0040] 하나 이상의 실시예들에서, 배리어 막은 증착 동안 도펀트 금속 전구체에 노출될 수 있는데, 즉, 도펀트 금속 전구체는 도핑된 배리어 막을 제공하기 위해 ALD 사이클에서 순차적으로 사용될 수 있다. 예컨대, 초기 금속 질화물 배리어 층을 형성하기 위해 금속-함유 전구체들 및 질소-함유 전구체들의 1 내지 10 사이클들이 사용될 수 있고, 그에 후속하여, 도펀트 금속 전구체의 1 내지 10 사이클들에 노출되고, 이어서, 금속-함유 전구체들 및 질소-함유 전구체들의 사이클들이 재개되고, 그런 다음, 원하는 도핑된 배리어 막 두께에 도달할 때까지 선택적으로 더 많은 도핑 등이 수행될 수 있다. 대안적으로, 다른 실시예들에서, 배리어 막은 도펀트 금속 전구체들에 노출되기 전에 원하는 두께로 완전히 증착될 수 있다.
[0041] 다양한 실시예들에서, 도펀트 금속-함유 전구체에 대한 노출의 지속기간은 1 내지 60초의 범위, 이를테면 3 내지 30초 또는 5 내지 10초의 범위일 수 있다. 도펀트 금속 전구체에 대한 더 긴 노출들은, 배리어 막이 배리어 막의 밀도에 대한 최대 도핑에 도달하지 않은 한, 배리어 막의 도핑량을 증가시킬 것이다.
[0042] 도 1은 하나 이상의 실시예들에 따른 방법의 프로세스 흐름도를 도시한다. 도 2 내지 도 4는 본 개시내용의 하나 이상의 실시예들에 따른 마이크로전자 디바이스(200)의 단면도들을 예시한다. 도 2를 참조하면, 유전체 층(204)이 기판(202) 상에 형성된다. 하나 이상의 실시예들에서, 유전체 층(204)은 적어도 하나의 피처(206)를 포함할 수 있다. 하나 이상의 실시예들에서, 적어도 하나의 피처(206)는 최하부(212) 및 제1 측벽(208) 및 제2 측벽(210)을 포함한다.
[0043] 도면들은 예시적인 목적들을 위해 단일 피처를 갖는 기판들을 도시하지만; 당업자들은 하나 초과의 피처가 존재할 수 있다는 것을 이해할 것이다. 이와 관련하여 사용되는 바와 같이, "피처"라는 용어는 임의의 의도적인 표면 불규칙성을 의미한다. 피처들의 적합한 예들은 최상부, 2개의 측벽들 및 최하부를 갖는 트렌치들, 최상부 및 2개의 측벽들을 갖는 피크들을 포함한다(그러나 이에 제한되지 않음). 피처들은 임의의 적합한 종횡비(피처의 깊이 대 피처의 평균 폭의 비)를 가질 수 있다. 일부 실시예들에서, 종횡비는 약 5:1, 10:1, 15:1, 20:1, 25:1, 30:1, 35:1 또는 40:1 이상이다.
[0044] 하나 이상의 실시예들에서, 유전체 층(204)은 저-k 유전체 층이다. 특정 실시예들에서, 유전체 층(204)은 실리콘 산화물(SiOx)을 포함한다. 추가적인 실시예들은 유전체 층(204)이 다공성 또는 탄소-도핑된 SiOx를 포함하는 것을 제공한다. 일부 실시예들에서, 유전체 층(204)은 약 5 미만의 k 값을 갖는 다공성 또는 탄소-도핑된 SiOx 층이다. 다른 실시예들에서, 유전체 층(204)은 다층 구조이다. 예컨대, 하나 이상의 실시예들에서, 유전체 층(204)은 유전체 층, 에칭 정지 층, 및 하드 마스크 층 중 하나 이상을 갖는 다층 구조를 포함한다.
[0045] 도 1 내지 도 3a를 참조하면, 동작(104)에서, 배리어 막(214)이 기판(202)의 유전체 층(204) 상에 증착된다. 하나 이상의 실시예들에서, 배리어 막(214)은 적어도 하나의 피처(206)의 제1 측벽(208), 제2 측벽(210), 및 최하부(212) 상에 형성된다. 일부 실시예들에서, 배리어 막(214)은 컨포멀 증착 프로세스에 의해 형성된다. 일부 실시예들에서, 배리어 막(214)은 원자층 증착(ALD) 또는 화학 기상 증착(CVD)에 의해 형성된다.
[0046] 하나 이상의 실시예들에서, 배리어 막(214)은 실질적으로 컨포멀하다. 본원에서 사용되는 바와 같이, "실질적으로 컨포멀한" 층은 두께가 전체에 걸쳐(예컨대, 피처(206)의 최하부(212) 상에서 그리고 측벽들의 최상부, 중간 및 최하부 상에서) 거의 동일한 층을 지칭한다. 실질적으로 컨포멀한 층은 두께가 약 5%, 2%, 1% 또는 0.5% 이하만큼 변화한다.
[0047] 도 3a는, 적어도 하나의 피처(206)의 제1 측벽(208), 제2 측벽(210) 및 최하부(212)의 적어도 일부를 커버하는, 배리어 막(214)의 증착 후의 마이크로전자 디바이스(200)를 도시한다. 도 3b에 예시된 바와 같이, 배리어 층(214)은 적어도 하나의 피처(206)의 제1 측벽(208), 제2 측벽(210) 및 최하부(212)의 전체를 커버할 수 있다.
[0048] 하나 이상의 실시예들에서, 배리어 막(214)은 원자층 증착(ALD)에 의해 증착되고, 약 2Å 내지 약 10Å의 범위의 두께를 갖는다. 일부 실시예들에서, 배리어 막(214)은 단일 ALD 사이클로 증착된다. 다른 실시예들에서, 배리어 막(214)은 1 내지 15 ALD 사이클들로 증착된다.
[0049] 도 1 및 도 3b를 참조하면, 동작(106)에서, 배리어 막(214) 상에 도펀트 층(216)을 형성함으로써 배리어 막(214)이 도핑된다. 하나 이상의 실시예들에서, 도펀트 층(216)으로부터의 금속 도펀트는 배리어 층(214)을 통해 유전체 층(204)으로 확산된다.
[0050] 이론에 의해 제한하고자 하는 것은 아니지만, 금속 도펀트는 배리어 층(214)을 통해 유전체 층으로 선택적으로 확산될 수 있고, 일렉트로마이그레이션에 저항할 유전체 재료와 착물을 형성할 수 있는 것으로 생각된다. 하나의 제안된 메커니즘은, 노출된 전구체가 결정립계들 또는 다른 약한 경로들을 통해 유전체/배리어 층 계면으로 우선적으로 이동할 수 있다는 것이다.
[0051] 하나 이상의 실시예들에서, 형성된 착물은 금속 산화물(MOx) 또는 금속 규산염(MSixO)일 수 있다. 따라서, 도펀트가 루테늄(Ru)이고 유전체 층이 실리콘 산화물(SiOx)을 포함하는 실시예들에서, 루테늄(Ru)은 루테늄 산화물(RuOx) 또는 루테늄 실리콘 산화물(RuSiOx)을 형성하기 위해 도펀트 층(216)으로부터 배리어 층(214)을 통해 확산할 수 있다. 루테늄 실리콘 산화물의 이러한 경계 층은 나중에 증착되는 전도성 재료(222)로부터 유전체 층(204)으로의 구리 일렉트로마이그레이션을 방지할 수 있다.
[0052] 다른 실시예들에서, 금속 도펀트는 배리어 층 매트릭스와 금속간 화합물들(예컨대, Ru:NbN)을 형성하여, 고밀도, 낮은 저항률 상을 야기시킬 수 있으며, 이는 구리(Cu), 산소(O), 및/또는 탄소(C) 확산에 대한 우수한 배리어 성능을 나타낸다.
[0053] 전도성 재료(222)에 대한 배리어인 것에 부가하여, 도핑된 배리어 층(220)은 또한, 유전체 층(204)으로부터 전도성 재료(222)로 확산되는 산소에 대한 배리어일 수 있다. 유전체 층(204)으로부터 전도성 재료(222)로의 산소 확산은 전도성 재료(222) 내의 성분들과 산소가 반응하는 것을 초래할 수 있다.
[0054] 하나 이상의 실시예들에서, 유전체 층(204)으로부터 배리어 층(214) 내로 확산되는 산소는 도펀트와 반응할 것이고, 전도성 재료(222) 내로 확산되는 것이 방지될 것으로 여겨진다. 결과적으로, 산소는 임의의 시드 층 또는 전도성 재료(222)와 반응하는 데 이용가능하지 않을 것이다.
[0055] 하나 이상의 실시예들에서, 도펀트 층(216)은 화학 기상 증착에 의해 증착되고, 그리고 약 1Å 내지 약 3Å의 범위 또는 약 2Å 내지 약 10Å의 범위의 두께를 갖는다.
[0056] 하나 이상의 실시예들에서, 배리어 층(220)은 배리어 층(220)의 총 중량을 기준으로 약 0.01 내지 약 50 중량% 범위의 도펀트를 포함한다. 특정 실시예들에서, 배리어 층(220)은 약 5% 내지 약 70% 범위의 도펀트, 이를테면 약 10 내지 약 30% 범위의 도펀트, 이를테면 약 8 내지 약 25 중량% 범위의 도펀트, 또는 약 10 내지 약 20 중량% 범위의 도펀트를 포함한다. 일부 실시예들에서, 배리어 막(220)은 약 5 내지 약 30 중량% 범위의 도펀트, 이를테면 약 5 중량%, 약 6 중량%, 약 7 중량%, 약 8 중량%, 약 9 중량%, 약 10 중량%, 약 11 중량%, 약 12 중량%, 약 13 중량%, 약 14 중량%, 15 중량%, 약 16 중량%, 약 17 중량%, 약 18 중량%, 약 19 중량%, 약 20 중량%, 약 21 중량%, 약 22 중량%, 약 23 중량%, 약 24 중량%, 25 중량%, 약 26 중량%, 약 27 중량%, 약 28 중량%, 약 29 중량%, 또는 약 30 중량%의 도펀트를 포함한다. 일부 실시예들에서, 배리어 층은 30 중량% 내지 40 중량% 범위의 도펀트를 포함한다.
[0057] 도 1 및 도 3c를 참조하면, 동작(108)에서, 제2 배리어 막(218)이 도핑된 배리어 막(216) 상에 증착된다. 하나 이상의 실시예들에서, 제2 배리어 막(218)은 배리어 막(214)과 동일한 재료를 포함한다.
[0058] 하나 이상의 실시예들에서, 제2 배리어 막(218)은 원자층 증착(ALD)에 의해 증착되고, 약 2Å 내지 약 10Å의 범위, 또는 약 2Å 내지 약 6Å의 범위의 두께를 갖는다. 일부 실시예들에서, 제2 배리어 막(218)은 단일 ALD 사이클로 증착된다. 다른 실시예들에서, 제2 배리어 막(218)은 1 내지 15 ALD 사이클들로 증착된다.
[0059] 일부 실시예들에서, 도펀트 층 또는 배리어 막의 하나 이상의 추가적인 층들이 증착된다. 이들 실시예들에서, 배리어 막의 각각의 층은 도펀트 층의 층에 의해 분리된다. 일부 실시예들에서, 도핑된 배리어 층은 3개, 4개, 5개, 6개, 7개 또는 그 초과의 증착된 층들에 의해 형성될 수 있다.
[0060] 하나 이상의 실시예들에서, 배리어 막(214), 도핑된 층(216), 및 제2 배리어 막(218)을 포함하는 도핑된 배리어 층(220)은 약 5Å 내지 약 15Å, 또는 약 8Å 내지 약 10Å 범위의 결합된 두께를 갖는다. 추가 실시예들에서, 결합된 두께는 약 15Å 미만이다.
[0061] 하나 이상의 실시예들에서, 도핑된 배리어 층(220)은 높은 금속 함량 및 비정질 결정도를 갖는다. 이론에 의해 제한하고자 하는 것은 아니지만, 배리어 층을 도핑하는 것은 증착된 배리어 층의 ALD 결정도를 감소시키며, 이는 결정립계에 대한 확산 단축(diffusion shortcut)을 감소시킬 수 있는 것으로 생각된다. 배리어의 최상부 대신에 배리어 층 내에 도핑하는 것은 최소 도펀트 확산으로 인한 통합 및 부식 위험들을 완화시킬 수 있다.
[0062] 하나 이상의 실시예들에서, 도핑된 배리어 층(220)은 배리어 막에 도펀트 금속을 포함하며, 여기서, 도펀트 금속은 나노결정자들의 비정질 매트릭스이다. 특정 실시예들에서, 도핑된 니오븀 질화물(NbN) 배리어 막은 니오븀 질화물 막에 루테늄(Ru)을 포함하며, 여기서 루테늄(Ru)은 나노결정자들의 비정질 매트릭스이다. 하나 이상의 실시예들의 도핑된 배리어 막은 상이한 조성들(예컨대, TaN)의 배리어 막들보다 더 양호한 확산 배리어 특성들을 나타낸다. 추가적으로, 하나 이상의 실시예들의 도핑된 배리어 막은 구리 및 산화물에 대한 우수한 접착력을 나타낸다.
[0063] 동작(110)에서, 디바이스는 선택적으로 포스트-프로세싱(post-processing)된다. 선택적인 포스트-프로세싱 동작(110)은, 예컨대, 막 특성들을 변경하기 위한 프로세스(예컨대, 어닐링) 또는 추가적인 막들을 성장시키기 위한 추가의 막 증착 프로세스(예컨대, 추가적인 ALD 또는 CVD 프로세스들)일 수 있다. 일부 실시예들에서, 선택적인 포스트-프로세싱 동작(110)은 증착된 막의 특성을 변경하는 프로세스일 수 있다. 일부 실시예들에서, 선택적인 포스트-프로세싱 동작(110)은 증착 직후의 막을 어닐링하는 것을 포함한다. 일부 실시예들에서, 어닐링은 약 300℃, 400℃, 500℃, 600℃, 700℃, 800℃, 900℃, 또는 1000℃의 범위의 온도들에서 수행된다. 일부 실시예들의 어닐링 환경은 불활성 가스(예컨대, 분자 질소(N2), 아르곤(Ar)) 또는 환원 가스(예컨대, 분자 수소(H2) 또는 암모니아(NH3)) 또는 산화제, 이를테면, 산소(O2), 오존(O3), 또는 과산화물들(그러나 이에 제한되지 않음) 중 하나 이상을 포함한다. 어닐링은 임의의 적합한 시간 길이 동안 수행될 수 있다. 일부 실시예들에서, 막은, 약 15초 내지 약 90분의 범위, 또는 약 1분 내지 약 60분의 범위 내의 미리 결정된 시간 동안 어닐링된다. 일부 실시예들에서, 증착 직후 막을 어닐링하는 것은 밀도를 증가시키고, 저항률을 감소시키고, 그리고/또는 막의 순도를 증가시킨다.
[0064] 도 4를 참조하면, 전도성 충전 재료(222)가 배리어 막(214), 도핑된 배리어 막(216), 및 제2 배리어 막(218)으로 라이닝된 트렌치(206)의 적어도 일부를 충전한다. 하나 이상의 실시예들에 따르면, 전도성 충전 재료(222)는 구리(Cu) 또는 구리 합금을 포함한다. 추가 실시예들에서, 전도성 충전 재료(222)는 또한 망간(Mn)을 포함한다. 다른 실시예들에서, 전도성 충전 재료(222)는 알루미늄(Al)을 더 포함한다. 일부 실시예에서, 전도성 충전 재료(222)는 텅스텐(W)을 포함한다.
[0065] 도 4에서 전도성 충전 재료(220)가 배리어 층(220)과 직접 접촉하는 것으로 도시되지만, 전도성 충전 재료(222)와 배리어 층(220) 사이에 접착 층들 또는 시딩 층들과 같은 중간 층들이 있을 수 있다. 예컨대, 하나 이상의 실시예들에서, 마이크로전자 디바이스(200)는 Ru 및 Co 중 하나 이상을 포함하는 접착 층을 더 포함한다. Ru 및/또는 Co에 추가하여, 접착 층은 Mn, Al, Mg, Cr, Nb, Ti 또는 V와 같은 하나 이상의 도펀트들을 포함할 수 있다. 일부 실시예들에서, 접착 층은 Ru 및 Mn을 포함한다. 다른 실시예들에서, 접착 층은 Co 및 Mn을 포함한다.
[0066] 특정의 실시예들에서, 시딩 층(예시되지 않음)이 도핑된 배리어 층(220)의 최상부 상에 증착될 수 있다. 하나 이상의 실시예들에 따르면, 시딩 층은 구리의 합금, 이를테면, Cu-Mn 합금을 포함할 수 있다.
[0067] 구리 배리어인 것에 추가하여, 도핑된 배리어 층(220)은 또한, 유전체 층(204)으로부터 전도성 충전 재료(222)로 확산되는 산소에 대한 배리어일 수 있다. 유전체 층(204)으로부터 전도성 충전 재료(222)로의 산소 확산은 산소가 전도성 충전 재료(222) 및/또는 시드 층 내의 성분들과 반응하게 할 수 있다.
[0068] 일부 실시예들에서, 기판은 제1 챔버로부터 추가 프로세싱을 위해 별개의 다음 챔버로 이동된다. 기판은 제1 챔버로부터 별개의 프로세싱 챔버로 직접적으로 이동될 수 있거나, 또는 기판은 제1 챔버로부터 하나 이상의 이송 챔버들로 이동된 다음, 별개의 프로세싱 챔버로 이동될 수 있다. 일부 실시예들에서, 배리어 막 및 도펀트 막의 증착은 단일 챔버에서 수행될 수 있으며, 이어서, 포스트-프로세싱은 별개의 챔버에서 수행될 수 있다. 따라서, 프로세싱 장치는 이송 스테이션과 연통하는 다수의 챔버들을 포함할 수 있다. 이러한 종류의 장치는 "클러스터 툴" 또는 "클러스터링된 시스템" 등으로 지칭될 수 있다.
[0069] 일반적으로, 클러스터 툴은 기판 중심-찾기 및 배향, 탈기, 어닐링, 증착 및/또는 에칭을 포함하는 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈식 시스템이다. 하나 이상의 실시예들에 따르면, 클러스터 툴은 적어도 제1 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는 프로세싱 챔버들과 로드 록 챔버들 사이에서 그리고 프로세싱 챔버들과 로드 록 챔버들 간에 기판들을 왕복시킬 수 있는 로봇을 하우징할 수 있다. 이송 챔버는 통상적으로, 진공 상태로 유지되고, 그리고 기판들을 하나의 챔버로부터 다른 챔버로 그리고/또는 클러스터 툴의 전단부에 포지셔닝된 로드 록 챔버로 왕복시키기 위한 중간 스테이지를 제공한다. 본 개시내용에 대해 구성될 수 있는 2개의 잘-알려진 클러스터 툴들은 Centura® 및 Endura®이며, 이들 둘 모두는 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능하다. 그러나, 챔버들의 정확한 어레인지먼트 및 조합은 본원에서 설명되는 바와 같은 프로세스의 특정 단계들을 수행하는 목적들을 위해 변경될 수 있다. 사용될 수 있는 다른 프로세싱 챔버들은, 주기적 층 증착(CLD), 원자층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 에칭, 사전-세정, 화학적 세정, 플라즈마 질화, 탈기, 배향, 수산화 및 다른 기판 프로세스들을 포함한다(그러나 이에 제한되지 않음). 클러스터 툴 상의 챔버에서 프로세스들을 수행함으로써, 후속 막을 증착하기 전에 산화 없이, 대기 불순물들에 의한 기판의 표면 오염이 방지될 수 있다.
[0070] 하나 이상의 실시예들에 따르면, 기판은 연속적으로 진공 또는 "로드 록(load lock)" 조건들 하에 있고, 하나의 챔버로부터 다음 챔버로 이동될 때 주변 공기에 노출되지 않는다. 따라서, 이송 챔버들은 진공 하에 있고, 진공 압력 하에서 "펌핑 다운(pump down)"된다. 불활성 가스들이 프로세싱 챔버들 또는 이송 챔버들에 존재할 수 있다. 일부 실시예들에서, 불활성 가스는 반응물들(예컨대, 반응물) 중 일부 또는 전부를 제거하기 위한 퍼지 가스로서 사용된다. 하나 이상의 실시예들에 따르면, 반응물들(예컨대, 반응물)이 증착 챔버로부터 이송 챔버 및/또는 추가적인 프로세싱 챔버로 이동하는 것을 방지하기 위해, 퍼지 가스가 증착 챔버의 출구에서 주입된다. 따라서, 불활성 가스의 유동은 챔버의 출구에서 커튼을 형성한다.
[0071] 기판은, 다른 기판이 프로세싱되기 전에, 단일 기판이 로딩되고, 프로세싱되고, 언로딩되는, 단일 기판 증착 챔버들에서 프로세싱될 수 있다. 기판은 또한, 컨베이어 시스템과 유사하게, 연속적인 방식으로 프로세싱될 수 있으며, 여기서, 다수의 기판이 챔버의 제1 부분 내로 개별적으로 로딩되고, 챔버를 통해 이동하고, 챔버의 제2 부분으로부터 언로딩된다. 챔버 및 연관된 컨베이어 시스템의 형상은 직선 경로 또는 곡선 경로를 형성할 수 있다. 추가적으로, 프로세싱 챔버는, 다수의 기판들이 중앙 축을 중심으로 이동되고, 캐러셀 경로 전체에 걸쳐 증착, 에칭, 어닐링, 세정 등의 프로세스들에 노출되는 캐러셀(carousel)일 수 있다.
[0072] 프로세싱 동안, 기판은 가열 또는 냉각될 수 있다. 그러한 가열 또는 냉각은, 기판 지지부의 온도를 변화시키는 것 및 가열된 또는 냉각된 가스들을 기판 표면으로 유동시키는 것을 포함(그러나 이에 제한되지 않음)하는 임의의 적합한 수단에 의해 달성될 수 있다. 일부 실시예들에서, 기판 지지부는 기판 온도를 전도적으로 변화시키도록 제어될 수 있는 가열기/냉각기를 포함한다. 하나 이상의 실시예들에서, 이용되는 가스들(반응성 가스들 또는 불활성 가스들)은 기판 온도를 국부적으로 변화시키기 위해 가열 또는 냉각된다. 일부 실시예들에서, 기판 온도를 대류에 의해 변화시키기 위해, 챔버 내에 기판 표면에 인접하게 가열기/냉각기가 포지셔닝된다.
[0073] 기판은 또한, 프로세싱 동안 정지되어 있거나 또는 회전될 수 있다. 회전하는 기판은 연속적으로 또는 불연속적인 스텝들로 (기판 축을 중심으로) 회전될 수 있다. 예컨대, 기판은 전체 프로세스에 걸쳐 회전될 수 있거나, 또는 기판은 상이한 반응성 또는 퍼지 가스들에 대한 노출들 사이에서 소량만큼 회전될 수 있다. 프로세싱 동안 기판을 (연속적으로 또는 단계적으로) 회전시키는 것은, 예컨대, 가스 유동 기하형상들에서의 국부적인 변동성의 영향을 최소화함으로써, 더 균일한 증착 또는 에칭을 생성하는 것을 도울 수 있다.
[0074] 본 개시내용의 추가적인 실시예들은 도 5에 도시된 바와 같이, 디바이스들의 형성 및 설명된 방법들의 실시를 위한 프로세싱 툴들(900)에 관한 것이다. 클러스터 툴(900)은 복수의 측면들을 갖는 적어도 하나의 중앙 이송 스테이션(921, 931)을 포함한다. 로봇(925, 935)이 중앙 이송 스테이션(921, 931) 내에 포지셔닝되고, 로봇 블레이드 및 웨이퍼를 복수의 측면들 각각으로 이동시키도록 구성된다.
[0075] 클러스터 툴(900)은 중앙 이송 스테이션에 연결된 복수의 프로세싱 챔버들(902, 904, 906, 908, 910, 912, 914, 916, 및 918)(프로세스 스테이션들로도 지칭됨)을 포함한다. 다양한 프로세싱 챔버들은 인접한 프로세스 스테이션들로부터 격리된 별개의 프로세싱 구역들을 제공한다. 프로세싱 챔버는 원자층 증착 챔버, 화학 기상 증착 챔버, 어닐링 챔버 등을 포함하는(그러나 이에 제한되지 않음) 임의의 적합한 챔버일 수 있다. 프로세스 챔버들 및 컴포넌트들의 특정 어레인지먼트는 클러스터 툴에 따라 변화될 수 있고, 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다.
[0076] 도 5에 도시된 실시예에서, 팩토리 인터페이스(950)는 클러스터 툴(900)의 전면에 연결된다. 팩토리 인터페이스(950)는 팩토리 인터페이스(950)의 전면(951) 상에 로딩 챔버(954) 및 언로딩 챔버(956)를 포함한다. 로딩 챔버(954)가 좌측에 도시되고 언로딩 챔버(956)가 우측에 도시되지만, 당업자들은 이러한 것이 단지 하나의 가능한 구성을 나타낼 뿐이라는 것을 이해할 것이다.
[0077] 로딩 챔버(954) 및 언로딩 챔버(956)의 크기 및 형상은, 예컨대, 클러스터 툴(900)에서 프로세싱되는 기판들에 따라 변할 수 있다. 도시된 실시예에서, 로딩 챔버(954) 및 언로딩 챔버(956)는 복수의 웨이퍼들이 웨이퍼 카세트 내에 포지셔닝된 채로 그러한 웨이퍼 카세트를 홀딩하도록 크기가 설정된다.
[0078] 로봇(952)은 팩토리 인터페이스(950) 내에 있고, 로딩 챔버(954)와 언로딩 챔버(956) 사이에서 이동할 수 있다. 로봇(952)은 로딩 챔버(954) 내의 카세트로부터 팩토리 인터페이스(950)를 통해 로드 락 챔버(960)로 웨이퍼를 이송할 수 있다. 로봇(952)은 또한, 로드 록 챔버(962)로부터 팩토리 인터페이스(950)를 통해 언로딩 챔버(956) 내의 카세트로 웨이퍼를 이송할 수 있다. 당업자들에 의해 이해될 바와 같이, 팩토리 인터페이스(950)는 하나 초과의 로봇(952)을 가질 수 있다. 예컨대, 팩토리 인터페이스(950)는, 로딩 챔버(954)와 로드 록 챔버(960) 사이에서 웨이퍼들을 이송하는 제1 로봇, 및 로드 록(962)과 언로딩 챔버(956) 사이에서 웨이퍼들을 이송하는 제2 로봇을 가질 수 있다.
[0079] 도시된 클러스터 툴(900)은 제1 섹션(920) 및 제2 섹션(930)을 갖는다. 제1 섹션(920)은 로드 록 챔버들(960, 962)을 통해 팩토리 인터페이스(950)에 연결된다. 제1 섹션(920)은 적어도 하나의 로봇(925)이 내부에 포지셔닝된 제1 이송 챔버(921)를 포함한다. 로봇(925)은 또한, 로봇 웨이퍼 운송 메커니즘으로 지칭된다. 제1 이송 챔버(921)는 로드 록 챔버들(960, 962), 프로세스 챔버들(902, 904, 916, 918), 및 버퍼 챔버들(922, 924)에 대해 중앙에 위치된다. 일부 실시예들의 로봇(925)은 한 번에 하나 초과의 웨이퍼를 독립적으로 이동시킬 수 있는 다중-암 로봇이다. 일부 실시예들에서, 제1 이송 챔버(921)는 하나 초과의 로봇식 웨이퍼 이송 메커니즘을 포함한다. 제1 이송 챔버(921) 내의 로봇(925)은 제1 이송 챔버(921) 주위의 챔버들 사이에서 웨이퍼들을 이동시키도록 구성된다. 개별적인 웨이퍼들은 제1 로봇식 메커니즘의 원위 단부에 위치된 웨이퍼 운송 블레이드 상에서 운반된다.
[0080] 제1 섹션(920)에서 웨이퍼를 프로세싱한 후에, 웨이퍼는 패스-스루(pass-through) 챔버를 통해 제2 섹션(930)으로 전달될 수 있다. 예컨대, 챔버들(922, 924)은 단방향 또는 양방향 패스-스루 챔버들일 수 있다. 패스-스루 챔버들(922, 924)은, 예컨대, 제2 섹션(930)에서 프로세싱하기 전에 웨이퍼를 극저온 냉각시키거나, 또는 제1 섹션(920)으로 다시 이동하기 전에 웨이퍼 냉각 또는 포스트-프로세싱을 가능하게 하기 위해 사용될 수 있다.
[0081] 시스템 제어기(990)는 제1 로봇(925), 제2 로봇(935), 복수의 제1 프로세싱 챔버들(902, 904, 916, 918) 및 복수의 제2 프로세싱 챔버들(906, 908, 910, 912, 914)과 통신한다. 시스템 제어기(990)는 프로세싱 챔버들 및 로봇들을 제어할 수 있는 임의의 적합한 컴포넌트일 수 있다. 예컨대, 시스템 제어기(990)는 CPU(central processing unit)(992), 메모리(994), 입력들/출력들(I/O)(996) 및 지원 회로들(998)을 포함하는 컴퓨터일 수 있다. 제어기(990)는 직접적으로, 또는 특정 프로세스 챔버 및/또는 지원 시스템 컴포넌트들과 연관된 컴퓨터들(또는 제어기들)을 통해, 프로세싱 툴(900)을 제어할 수 있다.
[0082] 하나 이상의 실시예들에서, 제어기(990)는 다양한 챔버들 및 서브-프로세서들을 제어하기 위해 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. 제어기(990)의 메모리(994) 또는 컴퓨터 판독가능 매체는, 쉽게 이용할 수 있는 메모리, 예컨대, 비-일시적 메모리(예컨대, RAM(random access memory)), ROM(read only memory), 플로피 디스크, 하드 디스크, 옵티컬 저장 매체(예컨대, 콤팩트 디스크 또는 디지털 비디오 디스크), 플래시 드라이브, 또는 로컬 또는 원격의 임의의 다른 형태의 디지털 저장소 중 하나 이상일 수 있다. 메모리(994)는 프로세싱 툴(900)의 파라미터들 및 컴포넌트들을 제어하도록 프로세서(CPU(992))에 의해 동작가능한 명령 세트를 보유할 수 있다.
[0083] 지원 회로들(998)은 종래의 방식으로 프로세서를 지원하기 위해 CPU(992)에 커플링된다. 이러한 회로들은 캐시, 전력 공급부들, 클록 회로들, 입력/출력 회로 및 서브시스템들 등을 포함한다. 하나 이상의 프로세스들은, 프로세서에 의해 실행되거나 호출될 때, 프로세서로 하여금, 본원에서 설명된 방식으로 프로세싱 툴(900) 또는 개별적인 프로세싱 유닛들의 동작을 제어하게 하는 소프트웨어 루틴으로서 메모리(994)에 저장될 수 있다. 소프트웨어 루틴은 또한, CPU(992)에 의해 제어되는 하드웨어로부터 원격으로 위치된 제2 CPU(도시되지 않음)에 의해 저장 및/또는 실행될 수 있다.
[0084] 본 개시내용의 방법들 및 프로세스들의 일부 또는 전부는 또한 하드웨어로 수행될 수 있다. 이에 따라, 프로세스는 소프트웨어로 구현되어 컴퓨터 시스템을 사용하여 실행될 수 있거나, 또는 예컨대 주문형 집적 회로로서 또는 다른 유형의 하드웨어 구현으로서 하드웨어로 구현될 수 있거나, 또는 소프트웨어와 하드웨어의 조합으로서 구현될 수 있다. 소프트웨어 루틴은, 프로세서에 의해 실행될 때, 프로세스들이 수행되도록 챔버 동작을 제어하는 특정 목적 컴퓨터(제어기)로 범용 컴퓨터를 변환시킨다.
[0085] 일부 실시예들에서, 제어기(990)는 방법을 수행하기 위해 개별 프로세스들 또는 서브-프로세스들을 실행하기 위한 하나 이상의 구성들을 갖는다. 제어기(990)는 방법들의 기능들을 수행하기 위해 중간 컴포넌트들에 연결되어 중간 컴포넌트들을 동작시키도록 구성될 수 있다. 예컨대, 제어기(990)는 물리 기상 증착 챔버에 연결되어 물리 기상 증착 챔버를 제어하도록 구성될 수 있다.
[0086] 프로세스들은 일반적으로, 프로세서에 의해 실행될 때, 프로세스 챔버로 하여금 본 개시내용의 프로세스들을 수행하게 하는 소프트웨어 루틴으로서 시스템 제어기(990)의 메모리(994)에 저장될 수 있다. 소프트웨어 루틴은 또한, 프로세서에 의해 제어되는 하드웨어로부터 원격으로 위치된 제2 프로세서(도시되지 않음)에 의해 저장 및/또는 실행될 수 있다. 본 개시내용의 방법의 일부 또는 전부는 또한 하드웨어로 수행될 수 있다. 이에 따라, 프로세스는 소프트웨어로 구현되어 컴퓨터 시스템을 사용하여 실행될 수 있거나, 또는 예컨대 주문형 집적 회로로서 또는 다른 유형의 하드웨어 구현으로서 하드웨어로 구현될 수 있거나, 또는 소프트웨어와 하드웨어의 조합으로서 구현될 수 있다. 소프트웨어 루틴은, 프로세서에 의해 실행될 때, 프로세스들이 수행되도록 챔버 동작을 제어하는 특수 목적 컴퓨터(제어기)로 범용 컴퓨터를 변환시킨다.
[0087] 일부 실시예들에서, 시스템 제어기(990)는 기판 상에 배리어 막을 증착하도록 원자층 증착 챔버를 제어하기 위한 구성을 갖는다. 제어되는 시스템(990)은 약 20℃ 내지 약 400℃의 범위의 온도로 배리어 막 상에 금속 막을 증착하도록 화학 기상 증착 챔버를 제어하기 위한 제2 구성을 갖는다.
[0088] 하나 이상의 실시예들에서, 프로세싱 툴은 웨이퍼를 이동시키도록 구성된 로봇을 포함하는 중앙 이송 스테이션; 복수의 프로세스 스테이션들 ― 각각의 프로세스 스테이션은 중앙 이송 스테이션에 연결되고, 인접한 프로세스 스테이션들의 프로세싱 구역들로부터 분리된 프로세싱 구역을 제공하며, 복수의 프로세스 스테이션들은 증착 챔버, 플라즈마 처리 챔버, 원격 플라즈마 소스, 어닐링 챔버를 포함함 ―; 및 중앙 이송 스테이션 및 복수의 프로세스 스테이션들에 연결된 제어기를 포함하며, 제어기는 로봇을 활성화시켜 프로세스 스테이션들 사이에서 웨이퍼를 이동시키도록, 그리고 프로세스 스테이션들 각각에서 발생하는 프로세스를 제어하도록 구성된다.
[0089] 본 명세서 전반에 걸쳐 "일 실시예", "특정 실시예들", "하나 이상의 실시예들" 또는 "실시예"에 대한 언급은, 실시예와 관련하여 설명된 특정 특징, 구조, 재료 또는 특성이 본 개시내용의 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전반에 걸쳐 다양한 곳들에서 "하나 이상의 실시예들에서", "특정 실시예들에서", "일 실시예에서" 또는 "실시예에서"와 같은 어구들의 출현들은 반드시 본 개시내용의 동일한 실시예를 지칭하는 것은 아니다. 또한, 특정 특징들, 구조들, 재료들, 또는 특징들은 하나 이상의 실시예들에서 임의의 적합한 방식으로 조합될 수 있다.
[0090] 본원에서의 개시내용이 특정 실시예들을 참조하여 설명되었지만, 이러한 실시예들은 단지 본 개시내용의 원리들 및 애플리케이션들을 예시하는 것임이 이해되어야 한다. 본 개시내용의 사상 및 범위를 벗어나지 않으면서, 본 개시내용의 방법 및 장치에 대해 다양한 변형들 및 변화들이 이루어질 수 있음이 당업자들에게 명백할 것이다. 따라서, 본 개시내용은 첨부된 청구항들 및 이들의 등가물들의 범위 내에 있는 변형들 및 변화들을 포함하는 것으로 의도된다.

Claims (19)

  1. 루테늄 도핑된 니오븀 질화물 배리어 층을 형성하기 위한 방법으로서, 상기 방법은
    제1 ALD 프로세스에 의해 기판 상에 제1 니오븀 질화물(NbN) 배리어 막을 형성하는 단계;
    플래시 화학 기상 증착 프로세스에 의해 상기 제1 배리어 막을 루테늄으로 도핑하는 단계; 그리고
    루테늄 도핑된 니오븀 질화물 배리어 층을 형성하기 위해, 제2 ALD 프로세스에 의해 상기 도핑된 제1 배리어 막 상에 제2 니오븀 질화물 배리어 막을 형성하는 단계를 포함하는, 방법.
  2. 제1항에 있어서, 상기 제1 ALD 프로세스 및 상기 제2 ALD 프로세스가 동일한 반응물들 및 동일한 프로세싱 조건들로 수행되는, 방법.
  3. 제2항에 있어서, 상기 ALD 프로세스가, 할로겐화물이 실질적으로 존재하지 않는 니오븀 전구체를 포함하는, 방법.
  4. 제3항에 있어서, 상기 니오븀 전구체가 트리스(디에틸아미도)(3차-부틸이미도)니오븀을 포함하는, 방법.
  5. 제2항에 있어서, 상기 ALD 프로세스가 암모니아를 포함하는, 방법.
  6. 제2항에 있어서, 상기 ALD 프로세스가 플라즈마 반응물을 포함하는, 방법.
  7. 제2항에 있어서, 상기 ALD 프로세스가 250℃ 내지 300℃의 온도에서 수행되는, 방법.
  8. 제1항에 있어서, 상기 제1 NbN 배리어 막 및 상기 제2 NbN 배리어 막이 Nb3N4를 포함하는, 방법.
  9. 제1항에 있어서, 상기 플래시 화학 기상 증착 프로세스가 루테늄 전구체 및 수소 가스(H2)를 포함하는, 방법.
  10. 제9항에 있어서, 상기 루테늄 전구체가 메틸사이클로헥사디엔 루테늄 트리카보닐을 포함하는, 방법.
  11. 제1항에 있어서, 상기 루테늄 도펀트가 상기 제1 배리어 막 및 상기 제2 배리어 막과 금속간 복합체를 형성하는, 방법.
  12. 제1항에 있어서, 상기 도핑된 배리어 층이 약 15Å 미만의 두께를 갖는, 방법.
  13. 제1항에 있어서, 도핑 후에 상기 도핑된 배리어 층을 플라즈마 처리, 물리 기상 증착(PVD) 처리, 열적 어닐링, 또는 화학적 강화 중 하나 이상에 노출시키는 단계를 더 포함하는, 방법.
  14. 제1항에 있어서, 상기 기판이 적어도 하나의 피처(feature)를 포함하는, 방법.
  15. 루테늄 도핑된 니오븀 질화물 층을 형성하는 방법으로서, 상기 방법은
    기판 상에 제1 배리어 막을 형성하기 위해 기판을 니오븀 전구체 및 암모니아에 노출시키는 단계 ― 상기 기판은 적어도 하나의 피처를 갖는 유전체 층을 포함함 ―;
    플래시 화학 기상 증착 프로세스에서 상기 제1 배리어 막을 루테늄 전구체 및 수소 가스(H2)에 노출시킴으로써 상기 제1 배리어 막을 루테늄으로 도핑하는 단계; 그리고
    상기 도핑된 제1 배리어 막 상에 제2 배리어 막을 형성하기 위해 상기 기판을 상기 니오븀 전구체 및 암모니아에 노출시키는 단계; 그리고
    도핑된 금속 질화물 층을 형성하기 위해 상기 플래시 화학 기상 증착 프로세스 또는 상기 플래시 화학 기상 증착 프로세스 및 상기 제2 배리어 막의 형성을 반복하는 단계를 포함하는, 방법.
  16. 제15항에 있어서, 상기 도핑된 금속 질화물 층이 약 15Å 미만의 두께를 갖는, 방법.
  17. 제15항에 있어서, 상기 도핑된 금속 질화물 층을 플라즈마 처리, 물리 기상 증착(PVD) 처리, 열적 어닐링, 또는 화학적 강화 중 하나 이상에 노출시키는 단계를 더 포함하는, 방법.
  18. 제15항에 있어서, 상기 제1 금속 질화물 막이 상기 적어도 하나의 피처에 걸쳐 실질적으로 컨포멀한(conformal), 방법.
  19. 제15항에 있어서, 상기 도펀트 금속이 상기 제1 금속 질화물 막을 통해 상기 유전체 막으로 확산되거나, 또는 상기 도펀트 금속이 상기 제1 금속 질화물 막 및 상기 제2 금속 질화물 막과 금속간 화합물을 형성하는, 방법.
KR1020230084917A 2022-06-30 2023-06-30 복합 배리어 층들 KR20240002965A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202263357613P 2022-06-30 2022-06-30
US63/357,613 2022-06-30

Publications (1)

Publication Number Publication Date
KR20240002965A true KR20240002965A (ko) 2024-01-08

Family

ID=89289142

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020230084917A KR20240002965A (ko) 2022-06-30 2023-06-30 복합 배리어 층들

Country Status (4)

Country Link
US (1) US20240006235A1 (ko)
KR (1) KR20240002965A (ko)
CN (1) CN117334636A (ko)
TW (1) TW202403884A (ko)

Also Published As

Publication number Publication date
US20240006235A1 (en) 2024-01-04
TW202403884A (zh) 2024-01-16
CN117334636A (zh) 2024-01-02

Similar Documents

Publication Publication Date Title
US11587829B2 (en) Doping control of metal nitride films
KR20200140391A (ko) 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
KR102189781B1 (ko) 망간 및 망간 니트라이드들의 증착 방법들
KR102394249B1 (ko) 코발트에 대한 망간 배리어 층 및 접착 층
US11286556B2 (en) Selective deposition of titanium films
US20200090991A1 (en) Method Of Forming Via With Embedded Barrier
TWI821661B (zh) 金屬阻障層之摻雜
TWI609095B (zh) 用於氮化錳整合之方法
US20240006235A1 (en) Composite barrier layers
US20230070489A1 (en) Doped tantalum-containing barrier films
US11587873B2 (en) Binary metal liner layers
US11713507B2 (en) Low-k films
US20230253248A1 (en) Methods of forming metal liner for interconnect structures
US20230072614A1 (en) Method Of Forming A Metal Liner For Interconnect Structures