JP2018195833A - 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法 - Google Patents

有機アミノジシラン前駆体、及びそれを含む膜の堆積方法 Download PDF

Info

Publication number
JP2018195833A
JP2018195833A JP2018129282A JP2018129282A JP2018195833A JP 2018195833 A JP2018195833 A JP 2018195833A JP 2018129282 A JP2018129282 A JP 2018129282A JP 2018129282 A JP2018129282 A JP 2018129282A JP 2018195833 A JP2018195833 A JP 2018195833A
Authority
JP
Japan
Prior art keywords
group
branched
disilane
linear
bis
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018129282A
Other languages
English (en)
Other versions
JP2018195833A5 (ja
JP6904924B2 (ja
Inventor
マンチャオ シャオ
Manchao Xiao
シャオ マンチャオ
レイ シンチャン
Xinjian Lei
レイ シンチャン
ピー.スペンス ダニエル
Daniel P Spence
ピー.スペンス ダニエル
チャンドラ ハリピン
Chandra Hairpin
チャンドラ ハリピン
ビン ハン
Bing Han
ビン ハン
マーク レオナルド オニール
O'neal Leonard Marc
レオナルド オニール マーク
ジェラルド マヨルガ スティーブン
Steven Gerard Mayorga
ジェラルド マヨルガ スティーブン
マリカージュナン アヌパマ
Mallikarjunan Anupama
マリカージュナン アヌパマ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=48539020&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2018195833(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Publication of JP2018195833A publication Critical patent/JP2018195833A/ja
Publication of JP2018195833A5 publication Critical patent/JP2018195833A5/ja
Priority to JP2021069016A priority Critical patent/JP7177209B2/ja
Application granted granted Critical
Publication of JP6904924B2 publication Critical patent/JP6904924B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/06Binary compounds of nitrogen with metals, with silicon, or with boron, or with carbon, i.e. nitrides; Compounds of nitrogen with more than one metal, silicon or boron
    • C01B21/068Binary compounds of nitrogen with metals, with silicon, or with boron, or with carbon, i.e. nitrides; Compounds of nitrogen with more than one metal, silicon or boron with silicon
    • C01B21/0682Preparation by direct nitridation of silicon
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/082Compounds containing nitrogen and non-metals and optionally metals
    • C01B21/0828Carbonitrides or oxycarbonitrides of metals, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/02Silicon
    • C01B33/021Preparation
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/113Silicon oxides; Hydrates thereof
    • C01B33/12Silica; Hydrates thereof, e.g. lepidoic silicic acid
    • C01B33/126Preparation of silica of undetermined type
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D1/00Coating compositions, e.g. paints, varnishes or lacquers, based on inorganic substances
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D7/00Features of coating compositions, not provided for in group C09D5/00; Processes for incorporating ingredients in coating compositions
    • C09D7/20Diluents or solvents
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2002/00Crystal-structural characteristics
    • C01P2002/02Amorphous compounds
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/13Hollow or container type article [e.g., tube, vase, etc.]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Polymers With Sulfur, Phosphorus Or Metals In The Main Chain (AREA)

Abstract

【課題】ケイ素含有膜を形成するための前駆体及びそれを含む膜の堆積方法を提供する。
【解決手段】下記の式Iの前駆体を与える。
Figure 2018195833

ここで、Rは、C〜C10のアルキル基又はアルケニル基又はアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、C〜C10のアリール基;Rは、水素、C〜C10のアルキル基、C〜Cのアルケニル基又はアルキニル基、C〜Cのジアルキルアミノ基又はフッ素化アルキル基、電子求引基、C〜C10のアリール基。
【選択図】なし

Description

アモルファスシリコン、結晶性シリコン、窒化ケイ素、酸化ケイ素、炭素ドープ酸化ケイ素、炭窒化ケイ素及び酸窒化ケイ素の膜を含むが、これらに限定されないケイ素含有膜の堆積に用いることができる前駆体、特に有機アミノジシラン及びその組成物を本明細書で述べる。他の態様において、本明細書で述べるものは、集積回路デバイスの製造時にケイ素含有膜を堆積するためのこれらの有機アミノジシラン前駆体の使用である。これらの又は他の態様において、有機アミノジシラン前駆体を、様々な堆積プロセスに関して、例えば限定されないが原子層堆積(ALD)、化学気相成長(CVD)、プラズマ化学気相成長(PECVD)、低圧化学気相成長(LPCVD)及び常圧CVDに関して、用いることができる。
複数の種類の化合物を、ケイ素含有膜用の前駆体、例えば限定されないが、酸化ケイ素膜、炭素ドープ酸化ケイ素膜、又は窒化ケイ素膜用の前駆体として用いることができる。前駆体としての使用に適切なこれらの化合物の例としては、シラン、クロロシラン、ポリシラザン、アミノシラン及びアジドシランが挙げられる。また、不活性キャリアガス又は希釈剤、例えば限定されないが、ヘリウム、水素、窒素等を用いて、前駆体を反応チャンバーに提供する。
低圧化学気相成長(LPCVD)プロセスは、ケイ素含有膜の堆積に関して半導体産業で用いられる、比較的幅広く受け入れられている方法の1つである。アンモニアを用いる低圧化学気相成長(LPCVD)では、適度な成長速度及び均質性を得るために、750℃超の堆積温度が必要となる場合がある。改良した膜特性を与えるために、比較的高い堆積温度が通常用いられる。窒化ケイ素又は他のケイ素含有膜を成長させるための比較的一般的な産業的方法の1つは、前駆体のシラン、ジクロロシラン及び/又はアンモニアを用いる、750℃超の温度でのホットウォール反応器(hot wall reactor)内での低圧化学気相成長による。しかし、この方法を用いることには複数の欠点が存在している。例えば、ある種の前駆体、例えばシランは、自然発火性である。これは、取り扱い時及び使用時に問題点を提示する場合がある。また、シラン及びジクロロシランから堆積した膜は、ある種の不純物を含有する場合がある。例えば、ジクロロシランを用いて堆積した膜は、ある種の不純物、例えば塩素及び塩化アンモニウムを含有する場合があり、これらは堆積プロセスの間に副生成物として形成される。シランを用いて堆積した膜は、水素を含有する場合がある。
窒化ケイ素膜を堆積するのに用いられる前駆体、例えばBTBAS及びクロロシランは、通常、550℃超の温度で膜を堆積させる。半導体デバイスの縮小化及び低いサーマルバジェット(thermal budget)の傾向には、比較的低い処理温度及び比較的高い堆積速度が必要とされている。ケイ素膜を堆積させる温度は、格子中へのイオン拡散を防ぐために、特に金属化層(metallization layer)を有する基材に関して、また多くの3−5族デバイス及び2−6族デバイスにおいて、格子へのイオン拡散を防ぐために、低下させるべきである。したがって、550℃以下又は更には室温において、CVD、ALD又は他のプロセスにより堆積を可能とする、十分に化学的に反応性のある、ケイ素含有膜の堆積のための前駆体、例えば酸化ケイ素膜、酸窒化ケイ素膜、又は窒化ケイ素膜の堆積のための前駆体を与える必要性が、本分野において存在している。
非特許文献1は、Si−Si−N構造単位を有する、窒化ケイ素膜のPECVD用の潜在的単一源前駆体(potential single−source precursor)について述べている。その構造単位には、例えば(EtN)HSi−SiH, (EtN)HSi−SiH(NEt、[(i−Pr)N]HSi−SiH、 及び[(i−Pr)N]HSi−SiH[N(i−Pr)]が挙げられる。前駆体の1,2−ビス(ジ−i−プロピルアミン)ジシラン(BIPADS)を、窒化ケイ素膜のPECVD堆積用に用いている。BIPADS前駆体からの生成膜は、1.631〜1.814の範囲の屈折率を示し、かつ低い炭素含量及び非常に低い酸素含量であり、高いSi結合水素含量であった。
非特許文献2は、完全に水素化したSi結合を有する複数の開鎖かつ環状のジアミノジシランに関する、高収率での合成を記載している。
特許文献1は、ジシラン(Si)及び亜酸化窒素を用いたPECVDプロセスでの低温での高品質SiO膜の堆積について記載している。
特許文献2及び3は、塩素を含まず、かつ((R)HN)−Si−Si−(NH(R)){上記式中、RはC〜Cのヒドロカルビルを独立して表している}の式を有するヘキサキス(モノヒドロカルビラミノ)ジシラン又はシラン化合物の組成物及び調製方法について記載している。このヘキサキス(モノヒドロカルビラミノ)ジシラン前駆体を、窒化ケイ素膜又は酸窒化ケイ素膜の堆積に用いる。
特許文献4は、Si(NMeY{式中、Yは、H、Cl、又はアミノ基からなる群より選択される}の式を有するペンタキス(ジメチルアミノ)ジシラン化合物、及びSiN又はSiONのケイ素含有エッチング停止膜又はケイ素含有ゲート膜を製造するためのその用途について記載している。
特許文献5は、ヘキサキス(モノアルキルアミノ)ジシラン、例えばヘキサキス(エチルアミノ)ジシランをケイ素源として用いて、かつオゾンを酸化剤として用いる、二酸化ケイ素含有薄膜を基材に堆積する方法について記載している。その成長速度は、約1.1Å/サイクルである。
特許文献6は、ヘキサクロロジシランをケイ素源として用いて、かつ水を酸化剤として用いて、触媒、例えばピリジンの存在下で、二酸化ケイ素含有薄膜を基材に堆積する方法について記載している。その成長速度は、50〜140℃の基材温度で、2.6〜0.6Å/サイクルの範囲である。
特許文献7は、2つのSi原子を有するアミノシラン系ガス、例えばヘキサキスエチルアミノジシラン(C1236Si)を用いて薄膜に関するシード層を形成する方法を記載している。次の式を有する他のアミノシランを用いることもできる:(1)(R)N)Si6−n−m(R{nは、アミノ基の数であり、mはアルキル基の数である}、又は(2)(R)NH)Si6−n−m(R{nは、アミノ基の数であり、mはアルキル基の数である}。式(1)及び(2)では、R、R、R=CH、C、Cであり、R=R=R、又は互いに同じでなくてもよく、nは1〜6の範囲の整数であり、かつm=0及び1〜5である。
特許文献8〜13は、少なくとも1つのジシラン誘導体を含むシラン前駆体について記載しており、これはアルキルアミノ官能基及び/又はジアルキルアミノ官能基で完全に置換されている。上記事項に加えて、本分野では、少数のモノジアルキルアミノジシランが報告されており、例えばジメチルアミノジシラン(CAS#14396−26−0P)、ジエチルアミノジシラン(CAS#132905−0−5)、及びジ−イソ−プロピルアミノジシラン(CAS#151625−25−1)である。
米国特許第5,660,895号 米国特許第7,019,159号 米国特許第7,064,083号 米国特許第8,153,832号 米国特許出願公開第2009/0209081号 米国特許第7,077,904号 米国特許出願公開第2013/0109155号 米国特許第7,446,217号 米国特許第7,531,679号 米国特許第7,713,346号 米国特許第7,786,320号 米国特許第7,887,883号 米国特許第7,910,765号
本明細書に記載するものは、Si−N結合、Si−Si結合、及びSi−H基を有する有機アミノジシラン前駆体、及びそれらを含む組成物、並びにこれを用いる、ケイ素含有膜を基材の少なくとも一部に形成するためにそれらを用いる方法である。ここで、ケイ素含有膜としては、例えば限定されないが、アモルファスシリコン、結晶性シリコン、酸化ケイ素、炭素ドープ酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭化ケイ素、炭窒化ケイ素及びこれらの組合せが挙げられる。さらに、本明細書に記載されるものは、ここに記載される有機アミノジシランを含む組成物であって、その有機アミノジシランが、アミン、ハロゲン、比較的高い分子量の物質種、及び微量の金属から選択される少なくとも1種を実質的に有さない組成物である。これらの実施態様、又は他の実施態様において、この組成物はさらに溶媒を有していてもよい。また、本明細書に開示するものは、ケイ素含有膜又はケイ素含有コーティングを処理対象上に、例えば半導体ウェハー上に、形成するための方法である。本明細書に記載した方法の1つの実施態様では、酸化ケイ素膜、炭素ドープ酸化ケイ素膜を基材上に生成するための条件において、堆積チャンバーで、有機アミノジシラン前駆体及び酸素含有源を用いて、ケイ素及び酸素を含む膜を基材に堆積させる。本明細書に記載した方法の他の1つの実施態様では、窒化ケイ素膜を基材に生成するための条件において、堆積チャンバーで、式Iを有する有機アミノジシラン前駆体及び窒素含有前駆体を用いて、ケイ素及び窒素を含む膜を基材に堆積させる。さらなる実施態様では、ここに記載される有機アミノジシランを、金属含有膜のためのドーパントとして、例えば限定されないが、金属酸化物膜又は金属窒化物膜のためのドーパントとして、用いることもできる。本明細書に記載した組成物及び方法では、ここに記載される式を有する有機アミノジシランを、ケイ素含有前駆体の少なくとも1種として用いる。
1つの態様において、本明細書に記載される有機アミノジシラン前駆体は、次の式Iで表されるSi−N結合、Si−Si結合、及びSi−H基を有する有機アミノジシラン前駆体の少なくとも1種を含む:
Figure 2018195833
(ここで、Rは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、及びC〜C10のアリール基から選択され;Rは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基、電子求引基、及びC〜C10のアリール基から選択され;ここで、R及びRは、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成することができ;かつn=1又は2)。
式Iの特定の実施態様では、R及びRは、共に結合して環を形成する。1つの特定の実施態様では、R及びRは、直鎖又は分岐鎖のC〜C10のアルキル基から選択され、かつ結合して環を形成する。式Iの別の態様では、R及びRは、結合して環を形成しない。式Iの特定の態様では、R及びRは、それらがイソ−プロピルにならないという条件の下で、同じである。他の実施態様では、R及びRは、異なる。
他の1つの態様では、(a)次の式Iで表されるSi−N結合、Si−Si結合、及びSi−H基を有する少なくとも1種の有機アミノジシラン前駆体、及び(b)溶媒を含有する組成物が与えられる:
Figure 2018195833
(ここで、Rは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、及びC〜C10のアリール基から選択され;Rは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基、電子求引基、及びC〜C10のアリール基から選択され;ここで、R及びRは、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成することができ;かつn=1又は2)。
ここに記載した組成物の特定の実施態様において、典型的な溶媒としては、限定されないが、エーテル、第三級アミン、アルキル炭化水素、芳香族炭化水素、第三級アミノエーテル、及びこれらの組合せが挙げられる。特定の実施態様では、有機アミノジシランの沸点と溶媒の沸点との差は、40℃以下である。
他の1つの態様では、次の工程を含む、基材の少なくとも1つの表面にケイ素含有膜を形成する方法が与えられる:
反応チャンバーに上記基材の上記少なくとも1つの表面を与える工程;及び
次の式Iで表されるSi−N結合、Si−Si結合、及びSi−H基を有する少なくとも1種の有機アミノジシラン前駆体を用いて、化学気相成長プロセス及び原子層堆積プロセスから選択される堆積プロセスによって、上記少なくとも1つの表面に上記ケイ素含有膜を形成する工程:
Figure 2018195833
(ここで、Rは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、及びC〜C10のアリール基から選択され;Rは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基、電子求引基、及びC〜C10のアリール基から選択され;ここで、R及びRは、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成することができ;かつn=1又は2)。
1つの特定の実施態様では、R及びRは、同じである。他の実施態様では、R及びRは、異なる。上記実施態様又は他の実施態様において、共に結合して環を形成する。さらなる実施態様では、式Iの別の態様では、R及びRは、結合して環を形成しない。
他の1つの態様では、次の工程を含む、原子層堆積プロセス又はALD類似プロセスによって酸化ケイ素膜、炭素ドープ酸化ケイ素膜を形成するための方法が与えられる:
a.基材を反応器に与える工程;
b.上記反応器に、次の式Iによって表されるSi−N結合、Si−Si結合、及びSi−H基を有する少なくとも1種の有機アミノジシラン前駆体を導入する工程:
Figure 2018195833
(ここで、Rは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、及びC〜C10のアリール基から選択され;Rは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基、電子求引基、及びC〜C10のアリール基から選択され;ここで、R及びRは、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成することができ;かつn=1又は2);
c.上記反応器を、パージガスでパージする工程;
d.上記反応器に、酸素含有源を導入する工程;及び
e.上記反応器を、パージガスでパージする工程;
ここで、上記膜の所望の厚みが得られるまで、b〜eの工程を繰り返す。
特定の実施態様では、R及びRは、同じである。他の実施態様では、R及びRは、異なる。上記実施態様又は他の実施態様において、共に結合して環を形成する。さらなる実施態様では、式Iの別の態様では、R及びRは、結合して環を形成しない。
さらなる態様では、次の工程を含む、酸化ケイ素膜及び炭素ドープ酸化ケイ素から選択される膜を、CVDプロセスを用いて基材の少なくとも1つの表面に形成するための方法が与えられる:
a.基材を反応器に与える工程;
b.上記反応器に、次の式Iによって表されるSi−N結合、Si−Si結合、及びSi−H基を有する少なくとも1種の有機アミノジシラン前駆体を導入する工程:
Figure 2018195833
(ここで、Rは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、及びC〜C10のアリール基から選択され;Rは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基、電子求引基、及びC〜C10のアリール基から選択され;ここで、R及びRは、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成することができ;かつn=1又は2);及び
c.酸素含有源を与えて、上記少なくとも1つの表面に上記膜を堆積する工程。
特定の実施態様では、R及びRは、同じである。他の実施態様では、R及びRは、異なる。上記実施態様又は他の実施態様において、共に結合して環を形成する。さらなる実施態様では、式Iの別の態様では、R及びRは、結合して環を形成しない。
他の態様では、次の工程を含む、原子層堆積プロセスを用いて窒化ケイ素膜を形成する方法が与えられる:
a.基材を反応器に与える工程;
b.上記反応器に、次の式Iによって表されるSi−N結合、Si−Si結合、及びSi−H基を有する少なくとも1種の有機アミノジシラン前駆体を導入する工程:
Figure 2018195833
(ここで、Rは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、及びC〜C10のアリール基から選択され;Rは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基、電子求引基、及びC〜C10のアリール基から選択され;ここで、R及びRは、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成することができ;かつn=1又は2);
c.上記反応器を、パージガスでパージする工程;
d.上記反応器に、窒素含有源を導入する工程;及び
e.上記反応器を、パージガスでパージする工程;
ここで、上記膜の所望の厚みが得られるまで、b〜eの工程を繰り返す。
特定の実施態様では、R及びRは、同じである。他の実施態様では、R及びRは、異なる。上記実施態様又は他の実施態様において、共に結合して環を形成する。さらなる実施態様では、式Iの別の態様では、R及びRは、結合して環を形成しない。
さらなる態様では、次の工程を含む、CVDプロセスを用いて基材の少なくとも1つの表面に窒化ケイ素膜を形成するための方法が与えられる:
a.基材を反応器に与える工程;
b.上記反応器に、次の式Iによって表されるSi−N結合、Si−Si結合、及びSi−H基を有する少なくとも1種の有機アミノジシラン前駆体及び窒素含有源を導入する工程:
Figure 2018195833
(ここで、Rは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、及びC〜C10のアリール基から選択され;Rは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基、電子求引基、及びC〜C10のアリール基から選択され;ここで、R及びRは、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成することができ;かつn=1又は2);
c.窒素含有源を与える工程であって、上記少なくとも1種の有機アミノジシラン前駆体と、上記窒素含有源とを反応させて、上記膜を上記少なくとも1つの表面に堆積させる工程。
特定の実施態様では、R及びRは、同じである。他の実施態様では、R及びRは、異なる。上記実施態様又は他の実施態様において、共に結合して環を形成する。さらなる実施態様では、式Iの別の態様では、R及びRは、結合して環を形成しない。
本明細書に記載した方法のさらなる実施態様において、このプロセスは、アモルファスシリコン膜又は結晶性シリコン膜を、サイクリックCVD法を用いて堆積する。この実施態様では、この方法は、次の工程を含む:
1以上の基材を反応器に配置し、これを周囲温度から約700℃の範囲の1以上の温度に加熱する工程;
次の式Iによって表されるSi−N結合、Si−Si結合、及びSi−H基を有する少なくとも1種の有機アミノジシラン前駆体を導入する工程:
Figure 2018195833
(ここで、Rは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、及びC〜C10のアリール基から選択され;Rは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基、電子求引基、及びC〜C10のアリール基から選択され;ここで、R及びRは、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成することができ;かつn=1又は2);及び
還元剤源を上記反応器に与えて、上記少なくとも1種の有機アミノジシラン前駆体と少なくとも部分的に反応させて、そしてケイ素含有膜を上記1以上の基材に堆積させる工程。
この還元剤は、水素、水素プラズマ、塩化水素からなる群より選択される。このCVD法の特定の実施態様では、この反応器は、導入工程の間に、10mTorr〜760Torrの範囲の圧力に維持される。上記の工程を、ここに記載した方法に関して1サイクルと定義し、所望の厚みの膜が得られるまで、この工程のサイクルを繰り返すことができる。特定の実施態様では、R及びRは、同じである。他の実施態様では、R及びRは、異なる。上記実施態様又は他の実施態様において、共に結合して環を形成する。さらなる実施態様では、式Iの別の態様では、R及びRは、結合して環を形成しない。
他の1つの態様において、アモルファスシリコン膜又は結晶性シリコン膜を、原子層堆積プロセス又はサイクリック化学気相成長プロセスによって形成するための方法が与えられる。この方法は、次の工程を含む:
a.基材を反応器に与える工程;
b.上記反応器に、次の式Iによって表されるSi−N結合、Si−Si結合、及びSi−H基を有する少なくとも1種の有機アミノジシラン前駆体を導入する工程:
Figure 2018195833
(ここで、R及びRは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、及びC〜C10のアリール基から選択され;R及びRは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、及びC〜C10のアリール基から選択され;かつR及びR、R及びR、R及びR、若しくはR及びRのいずれか1つ又は全ては、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成し、又はこれら全てがそれらの環を形成しない);
ここで、上記膜の所望の厚みが得られるまで、bの工程を繰り返す。
特定の実施態様では、上記膜の厚みは、1Å以上、1〜10000Å、1〜1000Å、又は1〜100Åとなることができる。
他の1つの態様について、式Iを有する1種以上の有機アミノジシラン前駆体を含むケイ素含有膜を堆積させるための容器が、本明細書に記載される。1つの特定の実施態様では、その容器は、CVD又はALDプロセスのために反応器への1種以上の前駆体の供給を可能とするのに適切なバルブ及び取付具を備えた、少なくとも1つの耐圧容器(好ましくはステンレス鋼製)を含む。
図1は、ジ−イソ−プロピルアミノジシランのTGA/DSCグラフを示しており、TGAは、この化合物が揮発性であることを示し、またDSCは、これが約157℃の沸点を有することを示している。 図2は、実施例3で記載された、PMADSを用いてホウケイ酸ガラスに堆積したアモルファスSi膜のラマンスペクトルを示している。 図3は、DIPADS及びオゾンを用いた300℃の温度での酸化ケイ素膜の形成に関して、DIPADSの堆積速度とパルス時間との関係を示してる。 図4は、DIPADS及びオゾンを用いた300℃の温度での酸化ケイ素膜に関して、厚みとサイクル数との関係を示している。
本明細書に記載した有機アミノジシランを、前駆体として用いて、化学量論的なケイ素含有膜及び非化学量論的なケイ素含有膜、例えば限定されないが、アモルファスシリコン、結晶性シリコン、酸化ケイ素、酸炭化ケイ素、窒化ケイ素、酸窒化ケイ素及び酸窒化炭化ケイ素を形成する。また、これらの前駆体を、例えば、金属含有膜のためのドーパントとして用いることもできる。半導体プロセスで用いられる有機アミノジシラン前駆体は、通常、高純度の揮発性液体前駆体化学物質であり、これらを気化させ、そして堆積チャンバー又は反応器にガスとして提供して、CVD又はALDプロセスにより、半導体デバイス用のケイ素含有膜を堆積させる。堆積のための前駆体材料の選択は、所望の生成ケイ素含有材料又は膜に依存する。例えば、前駆体材料を、その化学元素の内容、化学元素の化学量論比及び/又はCVD下で形成する生成ケイ素含有膜若しくは生成ケイ素含有コーティングに関して、選択することができる。また、前駆体材料を、様々な他の特徴、例えばコスト、比較的低い毒性、取扱い性、室温で液相を維持する性能、揮発性、分子量及び/又は他の考慮事項に関して選択することができる。ある種の実施態様では、本明細書に記載した前駆体を、あらゆる手段で反応器システムに提供することができ、好ましくは堆積チャンバー又は反応器への液相の前駆体の提供を可能とする適切なバルブ及び取付具を備えた耐圧ステンレス鋼容器を用いて、反応器システムに提供することができる。
本明細書に記載した有機アミノジシラン前駆体は、マイクロエレクトロニクス製造プロセスにおけるCVD又はALD前駆体としてそれらを理想的に適切とする、反応性及び安定性のバランスを示す。反応性に関して、ある種の前駆体では、気化させ、そして反応器に提供して基材に膜として堆積させるのに、高すぎる沸点を有する場合がある。比較的に高い沸点を有する前駆体では、所定の減圧度の下で、提供容器及び提供ラインを前駆体の沸点以上に加熱して、容器、ライン又はこの両方への凝縮物又は粒子の形成を、防ぐことが必要となる。安定性に関して、他の前駆体は、分解するにしたがって、シラン(SiH)又はジシラン(Si)を形成する場合がある。シランは、室温で自然発火性であり、又はそれは自発的に燃焼することがあり、これは安全性の問題と取扱いの問題を提示する。さらに、シラン又はジシラン及び他の副生成物の形成は、前駆体の純度を低下させる。また、1〜2%程の小さな化学的純度の変化が、信頼性のある半導体製造のためには許容できないものとみなされる場合がある。ある種の実施態様では、本明細書に記載した式Iを有する有機アミノジシラン前駆体は、6ヶ月以上又は1年以上の期間で保存した後に、2wt%以下、1wt%以下又は0.5wt%以下の副生成物(例えば、対応するビス−ジシランの副生成物)を含み、これは保存安定性の指標である。上記の利点に加えて、ある種の実施態様、例えばALD堆積法、ALD類似堆積法、PEALD堆積法、又はCCVD堆積法を用いて、酸化ケイ素膜、窒化ケイ素膜、又はシリコン膜を堆積する実施態様では、本明細書に記載された有機アミノジシラン前駆体は、比較的低い堆積温度で、例えば500℃以下、400℃以下、300℃以下、200℃以下、100℃以下、又は50℃以下で、高密度材料を堆積できる場合がある。1つの特定の実施態様では、有機アミノジシラン前駆体、例えばジ−イソ−プロピルアミノジシラン、ジ−sec−ブチルアミノジシラン、又は2,6−ジメチルピペリジノジシランを用いて、ALD又はPEALDによって、50℃以下で、又は周囲温度若しくは室温(例えば25℃)程の低い温度で、ケイ素含有膜を堆積することができる。
1つの実施態様では、ここに記載された式Iを有する有機アミノジシラン及び溶媒を含む、ケイ素含有膜形成用の組成物が本明細書に記載される。あらゆる理論に拘束されることを望むものではないが、本明細書に記載された組成物は、純粋な有機アミノジシランと比較して1以上の利点を与えることができると考えられる。これらの利点としては、半導体プロセスにおける有機アミノジシランの良好な利用法、長期間での保管にわたる良好な安定性、フラッシュ気化による比較的清浄な気化、及び/又は直接液体注入(DLI)化学気相成長プロセスでの全体的な良好な安定性が挙げられる。この組成物における有機アミノジシランの重量百分率は、残部を溶媒として、1〜99%の範囲となることができ、この溶媒は、その有機アミノジシランと反応せず、かつその有機アミノジシランと近い沸点を有する。後者に関して、組成物中の有機アミノジシランと溶媒との沸点の差は、40℃以下、より好ましくは20℃以下又は10℃以下である。典型的な組成物としては、限定されないが、ジ−イソ−プロピルアミノジシラン(沸点約157C)及びオクタン(沸点125〜126℃)の混合物、ジ−イソ−プロピルアミノジシラン(沸点約157C)及びエチルシクロヘキサン(沸点130〜132C)の混合物、ジ−イソ−プロピルアミノジシラン(沸点約157C)及びトルエン(沸点115C)、ジ−sec−ブチルアミノジシラン及びデカン(沸点174C)の混合物、ジ−sec−ブチルアミノジシラン及びデカンの混合物、ジ−sec−ブチルアミノジシラン及び2,2’−オキシビス(N,N−ジメチルエタンアミン(沸点189C)の混合物が挙げられる。
1つの態様では、次の式Iによって表されるSi−N結合、Si−Si結合、及びSi−H基を有する少なくとも1種の有機アミノジシラン前駆体が与えられる:
Figure 2018195833
(ここで、Rは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、及びC〜C10のアリール基から選択され;Rは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基、電子求引基、及びC〜C10のアリール基から選択され;ここで、R及びRは、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成することができ;かつn=1又は2)。
n=2のさらなる1つの実施態様において、R及びR、R及びR、又はR及びRのいずれか1つ以上又は全てが結合して環を作ることができる。式Iの特定の実施態様では、R及びRは、それらが共にイソ−プロピルにはならないという条件で、同じである。他の実施態様では、R及びRは異なる。1つの実施態様では、R及びRは直鎖又は分岐鎖のC〜Cのアルキル基から選択され、かつ結合して環を形成する。さらなる実施態様では、R及びRは環を形成する結合をしない。
n=1の式Aの有機アミノジシラン前駆体に関して、有機アミノジシラン前駆体は次の式IAを有し、ここでR及びRは上述の通りであり、また結合して環を形成する場合がある。
Figure 2018195833
n=2の式Aの有機アミノジシラン前駆体に関して、有機アミノジシラン前駆体は次の式IBを有し、ここでRは上述のRと等しく、R及びRは上述の通りであり、また結合して環を形成する場合がある。
Figure 2018195833
本明細書に記載した式中、また明細書を通じて、用語「アルキル」は、1〜20、1〜12又は1〜6の炭素原子を有する直鎖又は分岐鎖の官能基を意味する。典型的なアルキル基としては、限定されないが、メチル、エチル、プロピル、イソプロピル、ブチル、イソブチル、sec−ブチル、tert−ブチル、ペンチル、イソ−ペンチル、tert−ペンチル、ヘキシル、イソ−ヘキシル及びネオ−ヘキシルが挙げられる。ある種の実施態様では、アルキル基は、自身に結合している1つ以上の官能基、例えば限定されないが、アルコキシ基、ジアルキルアミノ基又はこれらの組み合わせを有する場合がある。他の実施態様では、アルキル基は、自身に結合する1つ以上の官能基を有さない。
式中、また明細書を通じて、用語「環状アルキル」は、3〜10、4〜10又は5〜10の炭素原子を有する環状官能基を意味する。典型的な環状アルキル基としては、限定されないが、シクロブチル、シクロペンチル、シクロヘキシル及びシクロオクチル基が挙げられる。
式中、また明細書を通じて、用語「アリール」は、5〜12の炭素原子又は6〜10の炭素原子を有する芳香族の環状官能基を意味する。典型的なアリール基としては、限定されないが、フェニル、ベンジル、クロロベンジル、トリル、及びo−トリルが挙げられる。
式中、また明細書を通じて、用語「アルケニル基」は、1つ以上の炭素−炭素二重結合を有し、且つ3〜10、3〜6又は3〜4の炭素原子を有する基を意味する。
式中、また明細書を通じて、用語「アルキニル基」は、1つ以上の炭素−炭素三重結合を有し、且つ3〜10、3〜6又は3〜4の炭素原子を有する基を意味する。
式中、また明細書を通じて、用語「アルコキシ」は、酸素原子に結合しており(例えばR−O)、且つ1〜10、1〜6又は1〜4の炭素原子を有することができるアルキル基を意味する。典型的なアルコキシ基としては、限定されないが、メトキシ(−OCH)、エトキシ(−OCHCH)、n−プロポキシ(−OCHCHCH)及びイソ−プロポキシ(−OCHMe)が挙げられる。
式中、また明細書を通じて、用語「ジアルキルアミノ基」は、窒素原子に結合している2つのアルキル基を有し、且つ1〜10、2〜6又は2〜4の炭素原子を有する基を意味する。
ここで用いられる場合、用語「電子求引基」は、Si−N結合から電子を求引するように機能する原子又はその基について述べている。適切な電子求引基の例としては、限定されないが、ニトリル(CN)が挙げられる。ある種の実施態様では、電子求引置換基は、式Iのいずれか1つ中のNに隣接又は近接させることができる。電子求引基のさらなる非限定的な例としては、F、Cl、Br、I、CN、NO、RSO及び/又はRSOが挙げられ、ここでRは、C〜C10のアルキル基とすることができ、例えば限定されないが、メチル基又は他の1つの基とすることができる。
ある種の実施態様では、式I中のアルキル基、アルケニル基、アルキニル基、アルコキシ基、ジアルキルアミノ基、アリール基及び/又は電子求引基の1つ以上が、置換されている場合があり、又は例えば水素原子の代わりに置換した1つ以上の原子若しくはその原子の基を有する場合がある。典型的な置換基の例としては、限定されないが、酸素、硫黄、ハロゲン原子(例えば、F、Cl、I又はBr)、窒素及びリンが挙げられる。他の実施態様では、式I中のアルキル基、アルケニル基、アルキニル基、アルコキシ基、ジアルキルアミノアリール基及び/又は電子求引基の1つ以上が、置換されていない場合がある。
特定の実施態様では、Rは、C〜C10のアルキル基、好ましくは分岐鎖のC〜Cアルキル基、例えばイソ−プロピル、sec−ブチル、tert−ブチル、tert−ペンチル(アミル)から選択され、Rは水素であり、n=2である。これらの特定の実施態様の例としては、限定されないが、以下が挙げられる:
Figure 2018195833
特定の実施態様では、式IにおけるR及びRは結合して環状構造を形成する。これらの実施態様では、Rは水素ではない。例えば、R及びRが結合して環を形成する実施態様では、Rは(水素置換基の代わりに)Rに連結するための結合部を有してもよい。そして特定の実施態様では、Rは、例えば、C〜C10のアルキル部位、C〜C10のアルケニル部位、又は直鎖若しくは分岐鎖のC〜C10のアルキニル部位から選択することができる。これらの実施態様又は他の実施態様では、その環状構造は、不飽和構造又は飽和構造となることができ、例えば環状アルキル環、又はアリール環となることができる。さらに、これらの実施態様では、環状構造は、置換構造又は非置換構造となることもできる。1つの特定の実施態様では、有機アミノジシランは、置換脂肪族環、例えば5〜10の炭素原子を有するヘテロ原子含有環状官能基、及び少なくとも1つの窒素原子を有することができる。これらの特定の実施態様の例としては、限定されないが、1,2−ビス(ピペリジノ)ジシラン(Rがプロピル、かつRがMe)、1,2−ビス(ピペリジノ)ジシラン(Rがプロピル、かつRがEt)、2,6−ジメチルピペリジノジシラン(Rがイソ−プロピル、かつRがsec−ブチル)、及び2,5−ジメチルピペリジノジシラン(R及びRがイソ−プロピル)が挙げられる。
式Iの特定の実施態様では、Rは、C〜C10のアルキル基、好ましくは分岐鎖のC〜Cアルキル基、例えばイソ−プロピル、sec−ブチル、tert−ブチル、tert−ペンチル(アミル)から選択され、RはC〜C10のアルキル基、好ましくはC〜Cのアルキル基から選択され、n=2である。これらの特定の実施態様の例としては、限定されないが、以下が挙げられる:
Figure 2018195833
式Iの特定の実施態様では、n=2であり、R及びRは、結合して、さらに脂肪族環を形成する。これらはさらに置換される場合があり、又は置換されない場合がある。これらの実施態様の典型的な構造を、以下に示す:
Figure 2018195833
式Iの特定の実施態様では、n=2であり、R及びRは、結合して、さらに脂肪族環を形成する。これらはさらに置換される場合があり、又は置換されない場合がある。これらの実施態様の典型的な構造を、以下に示す:
Figure 2018195833
他の実施態様では、式IにおいてR及びRは結合しない。
特定の実施態様では、式Iを有する上記少なくとも1種の有機アミノジシラン前駆体は、酸素原子又は窒素原子を含む1以上の置換基を有する。この実施態様又は他の実施態様では、置換基R及びRは式Iにおいて酸素原子又は窒素原子を通じて結合して、環状構造を形成する。
これは理論に拘束されないが、有機アミノジシラン前駆体、例えばSi−N結合、Si−Si結合、及びSiH基を有し、本明細書に記載した式Iで表される有機アミノジシランは、Si−N結合及びSi−Si結合のみ、又はSi−Cl結合及びSi−Si結合のみを有する公知の有機アミノジシラン前駆体よりも有利であると考えられる。この点に関して、4つから5つのSi−H基、1つのSi−N結合、及び1つのSi−Si結合を有するここに記載されている有機アミノジシランは、反応性が高くなり、他の公知の有機アミノジシラン前駆体、例えばヘキサクロロジシランよりも堆積温度を低くさせると考えられる。本明細書に記載した式1の前駆体の特有の構造が、堆積温度を、例えば400℃以下、300℃以下、200℃以下、100℃以下、又は25℃に低下させると考えられる。
ある種の実施態様では、式Iを有する有機アミノジシランを、モノクロロジシラン(MCDS)若しくはモノブロモジシラン(MBDS)、又は低分子ジアルキルアミノジシラン、例えばジ−イソ−プロピルアミノジシラン又はジ−sec−ブチルアミノジシランと、次の式IIを有するアミンとを、有機溶媒又は溶媒混合物中で反応させることによって、調製することができる。
Figure 2018195833
式II中で、R及びRは、式Iにおいて記載された置換基と同じである。次の反応式(1)は、反応機構又は合成経路の非限定的な例を与えており、これを用いて上記式Iを有する有機アミノジシランを作製することができる。反応式(1)の反応を、有機溶媒を用いて(例えば、有機溶媒の存在下で)、又は有機溶媒を用いずに(例えば、有機溶媒の不在下で)実行することができる。有機溶媒を用いる実施態様において、適切な有機溶媒の例としては、限定されないが、炭化水素、例えばヘキサン、オクタン、トルエン、並びにジエチルエーテル及びテトラヒドロフラン(THF)等のエーテルが挙げられる。これらの実施態様、又は他の実施態様では、反応温度は、約−70℃から、溶媒を用いる場合用いる溶媒の沸点までの範囲である。例えば、生成する有機アミノジシランを、全ての副生成物及び存在するならばあらゆる溶媒を除去した後で、減圧蒸留によって精製することができる。
Figure 2018195833
反応式(1)は、モノハロジシラン(XSiHSiH、ここでX=Cl、Br、I)と式IIで表される第二級アミンとの反応を用いる、式Iを有する有機アミノジシランを作製するための合成経路の1つである。他の合成経路を用いて、これらの有機アミノジシランを作製することができ、例えばモノアミノクロロジシランを金属水素化物を用いて還元することによって、又はモノアミノクロロジシランの不均化、又はジシランと第二級アミンとの触媒の存在下での反応によって、作製することができる。
ケイ素含有膜又はケイ素含有コーティングを形成するために用いる方法は、堆積プロセスである。本明細書で開示した方法に関して適切な堆積プロセスの例としては、限定されないが、サイクリックCVD(CCVD)、MOCVD(有機金属CVD)、熱化学気相成長、プラズマ化学気相成長(PECVD:plasma enhanced chemical vapor deposition)、高密度PECVD、光支援CVD(photon assisted CVD)、プラズマ−光支援(PPECVD)、低温化学気相成長、化学支援気相成長(chemical assisted vapor deposition)、ホットフィラメント化学気相成長、液体ポリマー前駆体のCVD、超臨界流体からの堆積、及び低エネルギーCVD(LECVD)が挙げられる。ある種の実施態様では、金属含有膜を、原子層堆積(ALD)プロセス、プラズマALD(PEALD)プロセス、又はプラズマサイクリックCVD(PECCVD)プロセスによって堆積させる。本明細書で用いられる場合、用語「化学気相成長プロセス」は、基材を、1種以上の揮発性前駆体に露出させ、1以上の揮発性前駆体を、基材表面で反応させ且つ/又は分解させて、所望の堆積物を生成する、あらゆるプロセスについて言及している。本明細書で用いられる場合、用語「原子層堆積プロセス」は、様々な組成の基材に材料の膜を堆積させる、自己制限的な(例えば、各反応サイクルで堆積される膜材料の量が一定である)、順次的な表面化学反応について言及している。本明細書で用いられる、前駆体、試薬及び物質源は、「ガス状」として記載される場合があるが、前駆体は、不活性ガスを用いて又は不活性ガスを用いずに、直接気化、バブリング又は昇華によって、反応器に輸送される、液体又は固体のいずれかであってよいことが理解される。いくつかの場合では、揮発した前駆体は、プラズマ発生器を通過することができる。1つの実施態様では、ケイ素含有膜を、ALDプロセスを用いて堆積させる。他の一つの実施態様では、ケイ素含有膜を、CCVDプロセスを用いて堆積させる。さらなる実施態様では、ケイ素含有膜を、熱CVDプロセスを用いて堆積させる。本明細書で用いる場合、用語「反応器」は、限定を含まずに、反応チャンバー又は堆積チャンバーを含む。
ある種の実施態様では、本明細書に開示した方法は、反応器に導入する前に且つ/又は導入中に前駆体を分離しておくALD法又はCCVD法を用いることによって、前駆体の前反応を回避する。これに関連して、堆積技術、例えばALDプロセス又はCCVDプロセスを用いて、ケイ素含有膜を堆積させる。1つの実施態様では、基材表面を、ケイ素含有前駆体、酸素源、窒素含有源、又は他の前駆体若しくは試薬の1種以上に交互にさらすことによって、ALDプロセスを通じて、膜を堆積させる。膜の成長は、表面反応の自己制限的な制御、各前駆体又は試薬のパルス長さ、及び堆積温度によって進む。しかし、基材の表面が飽和すると、膜の成長は停止する。
ある種の実施態様において、本明細書に記載した方法は、上記の式Iを有する有機アミノジシラン前駆体以外の、1種以上の追加のケイ素含有前駆体をさらに含む。追加のケイ素含有前駆体の例としては、限定されないが、有機ケイ素化合物、例えばモノアミノシラン(例えば、ジ−イソ−プロピルアミノシラン、ジ−sec−ブチルアミノシラン、フェニルメチルアミノシラン);オルガノケイ素化合物、例えば、トリシリルアミン(TSA):モノアミノシラン(ジ−イソ−プロピルアミノシラン、 ジ−sec−ブチルアミノシラン、フェニルメチルアミノシラン);シロキサン(例えば、ヘキサメチルジシロキサン(HMDSO)及びジメチルシロキサン(DMSO));有機シラン(例えばメチルシラン、ジメチルシラン、ビニルトリメチルシラン、トリメチルシラン、テトラメチルシラン、エチルシラン、ジシリルメタン、2,4−ジシラペンタン、1,4−ジシラブタン、2,5−ジシラへキサン、2,2−ジシリルプロパン、1,3,5−トリシラシクロヘキサン及びこれら化合物のフッ素化された誘導体);フェニル含有有機ケイ素化合物(例えば、ジメチルフェニルシラン及びジフェニルメチルシラン);酸素含有有機ケイ素化合物(例えば、ジメチルジメトキシシラン;1,3,5,7−テトラメチルシクロテトラシロキサン;1,1,3,3−テトラメチルジシロキサン;1,3,5,7−テトラシラ−4−オキソ−へプタン、2,4,6,8−テトラシラ−3,7−ジオキソ−ノナン、2,2−ジメチル−2,4,6,8−テトラシラ−3,7−ジオキソ−ノナン、オクタメチルシクロテトラシロキサン、[1,3,5,7,9]−ペンタメチルシクロペンタシロキサン、1,3,5,7−テトラシラ−2,6−ジオキソ−シクロオクタン、ヘキサメチルシクロトリシロキサン、1,3−ジメチルジシロキサン、1,3,5,7,9−ペンタメチルシクロペンタシロキサン、ヘキサメトキシジシロキサン及びこれら化合物のフッ素化された誘導体)が挙げられる。
堆積方法に応じて、ある種の実施態様では、1種以上のケイ素含有前駆体を、所定のモル体積で又は約0.1〜約1000マイクロモルで、反応器に導入することができる。この実施態様又は他の実施態様では、ケイ素含有前駆体及び/又は有機アミノジシラン前駆体を、所定の時間間隔で反応器に導入することができる。ある種の実施態様では、その時間間隔は、約0.001〜約500秒の範囲となる。
ある種の実施態様では、本明細書に記載した方法を用いて堆積させるケイ素含有膜を、酸素含有源、酸素を含む前駆体又は試薬を用いて酸素の存在下で形成させる。酸素含有源は、少なくとも1種の酸素含有源の形態で反応器に導入させることができ、且つ/又は堆積プロセスで用いる他の前駆体に付随して存在させることができる。適切な酸素含有源ガスとしては、例えば水(HO)(例えば、脱イオン水、精製水、及び/又は蒸留水)、酸素(O)、酸素プラズマ、オゾン(O)、NO、NO、一酸化炭素(CO)、二酸化炭素(CO)及びこれらの組合せを挙げることができる。ある種の実施態様では、酸素含有源は、約1〜約2000sccm又は約1〜約1000sccmの範囲の流量で反応器に導入する酸素源を含む。酸素含有源を、約0.1秒〜約100秒の範囲の時間で導入することができる。1つの特定の実施態様では、酸素源は、10℃以上の温度を持つ水を含む。膜をALDプロセス又はサイクリックCVDプロセスによって堆積させる実施態様において、前駆体パルスは、0.01秒超であるパルス時間を有することができ、且つ酸素含有源が、0.01秒未満であるパルス時間を有することができ、さらに水のパルス時間が、0.01秒未満であるパルス時間を有することができる。さらなる他の1つの実施態様では、パルスとパルスの間のパージ時間は、0秒程度まで低くすることができ、又はその間にパージをしないで連続的にパルスさせることができる。酸素含有源又は酸素含有試薬を、ケイ素前駆体に対して1:1の比より小さな分子総量で与えて、それにより少なくともいてiの炭素を、堆積させたままのケイ素含有膜に保持させる。
ある種の実施態様では、ケイ素含有膜は、ケイ素及び窒素を含む。これらの実施態様では、本明細書に記載した方法を用いて堆積させるケイ素含有膜を、窒素含有源の存在下で形成させる。窒素含有源を、少なくとも1種の窒素含有源の形態で、反応器に導入させることができ、且つ/又は堆積プロセスで用いる他の前駆体に付随して存在させることができる。適切な窒素含有源としては、例えば、アンモニア、ヒドラジン、モノアルキルヒドラジン、ジアルキルヒドラジン、窒素、窒素/水素、アンモニアプラズマ、窒素プラズマ、窒素/水素プラズマ及びこれらの混合物が挙げられる。ある種の実施態様において、窒素含有源は、約1〜約2000sccm又は約1〜約1000sccmの範囲の流量で反応器に導入するアンモニアプラズマ、又は水素/窒素プラズマ源ガスを含む。窒素含有源を、約0.1秒〜約100秒の範囲の時間で導入することができる。膜をALDプロセス又はサイクリックCVDプロセスによって堆積させる実施態様において、前駆体パルスは、0.01秒超であるパルス時間を有することができ、且つ窒素含有源が、0.01秒未満であるパルス時間を有することができ、さらに水のパルス時間が、0.01秒未満であるパルス時間を有することができる。さらなる他の1つの実施態様では、パルスとパルスとの間のパージ時間は、0秒程度まで低くすることができ、又は間にパージをしないで連続的にパルスさせることができる。
本明細書で開示した堆積方法は、1種以上のパージガスを伴う場合がある。未反応の反応物及び/又は反応副生成物をパージするために用いるパージガスは、不活性ガスであり、これは前駆体と反応しない。典型的なパージガスとしては、限定されないが、アルゴン(Ar)、窒素(N)、ヘリウム(He)、ネオン、水素(H)及びこれらの混合物が挙げられる。ある種の実施態様では、パージガス、例えばArを、約0.1秒〜1000秒の間に、約10〜約2000sccmの範囲の流量で反応器に供給することができ、それにより反応器に残留している場合がある未反応の材料及びあらゆる副生成物を、パージすることができる。
前駆体、酸素含有源、窒素含有源並びに/又は他の前駆体、他の物質源ガス及び/若しくは試薬を供給する各工程を、それらを供給する時間を変えることによって実行し、生成ケイ素含有膜の化学両論的な組成を変えることができる。
エネルギーを、前駆体、窒素含有源、還元剤、他の前駆体又はこれらの組合せの少なくとも1つに適用して、反応を誘導し、そしてケイ素含有膜又はコーティングを基材に形成させる。そのようなエネルギーは、限定されないが、熱、プラズマ、パルスプラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ、X線、電子線、光子、リモートプラズマ法及びこれらの組合せによって与えることができる。ある種の実施態様では、二次高周波(secondary rf frequency)源を用いて、プラズマ特性を基材表面で変えることができる。堆積にプラズマを伴う実施態様では、プラズマ生成プロセスは、プラズマを反応器で直接的に生成させる直接プラズマ生成プロセス、あるいはプラズマを反応器の外部で生成させて反応器に供給するリモートプラズマ生成プロセスを、含むことができる。
この有機アミノジシラン前駆体及び/又は他のケイ素含有前駆体を、反応チャンバー、例えばCVD反応器又はALD反応器に、様々な方法で提供することができる。1つの実施態様では、液体提供システムを用いることができる。別の実施態様では、液体提供プロセスとフラッシュ気化プロセスが組み合わされたユニット、例えばターボ気化器(MSP Corporation製、ショアビュー、ミネソタ州、米国)を用いて、低揮発度物質を容量分析的に供給することを可能とする。これは、前駆体の熱的分解のない状態で再現性のある輸送及び堆積をもたらすことができる。液体提供配合物中において、本明細書に記載された前駆体は、そのままの液体形態で提供することができ、あるいは、この前駆体を含む溶媒配合物中又は組成物中で使用することができる。それゆえ、ある種の実施態様において、その前駆体配合物は、基材上に膜を形成する特定の最終用途において所望であり且つ有利となるような、適切な特性を有する溶媒成分を含むことができる。
式Iを有する前駆体を用いる実施態様では、本明細書に記載した式Iを有する有機アミノジシラン前駆体及び溶媒を含む組成物において選択される溶媒又は溶媒混合物は、その有機アミノジシランと反応しない。その組成物中の重量%による溶媒の量は、0.5〜99.5wt%又は10〜75wt%の範囲である。この実施態様又は他の実施態様において、溶媒は、式Iの有機アミノジシランの沸点(b.p.)に近いb.p.を有し、又は溶媒のb.p.と式Iの有機アミノシランのb.p.との差は、40℃以下、30℃以下、20℃以下、又は10℃である。あるいは、その沸点の差は、次を任意の端点とする範囲になる:0℃、10℃、20℃、30℃又は40℃。b.p.差の適切な範囲の例としては、限定されないが、0〜40℃、20〜30℃、又は10〜30℃である。組成物中の適切な溶媒の例としては、限定されないが、エーテル(例えば、1,4−ジオキサン、ジブチルエーテル)、第三級アミン(例えば、ピリジン、1−メチルピペリジン、1−エチルピペリジン、N,N’−ジメチルピペラジン、N,N,N’,N’−テトラメチルエチレンジアミン)、ニトリル(例えば、ベンゾニトリル)、アルキル炭化水素(例えば、オクタン、ノナン、ドデカン、エチルシクロヘキサン)、芳香族炭化水素(例えば、トルエン、メシチレン)、第三級アミノエーテル(例えば、ビス(2−ジメチルアミノエチル)エーテル)又はこれらの混合物が挙げられる。非限定的ないくつかの典型的な組成物の例としては、限定されないが、ジ−イソ−プロピルアミノジシラン(b.p.約157℃)及びオクタン(b.p.125〜126℃)を含む組成物;ジ−イソ−プロピルアミノジシラン(b.p.約157℃)及びエチルシクロヘキサン(b.p.130〜132℃)を含む組成物;ジ−イソ−プロピルアミノジシラン(b.p.約157℃)及びトルエン(b.p.115℃)を含む組成物;ジ−sec−ブチルアミノジシラン及びデカン(b.p.174℃)を含む組成物;ジ−sec−ブチルアミノジシラン及びデカン(b.p.174℃)を含む組成物;ジ−sec−ブチルアミノジシラン及び2,2’−オキシビス(N,N−ジメチルエタンアミン(沸点189C)を含む組成物が挙げられる。
他の1つの実施態様における、式Iを有する1種以上の有機アミノジシラン前駆体を含むケイ素含有膜を堆積するための容器について、本明細書で記載する。1つの特定の実施態様では、その容器は、CVDプロセス又はALDプロセスのための反応器に1種以上の前駆体を提供することを可能とするための適切なバルブ及び治具を備えた、少なくとも1つの耐圧容器(好ましくはステンレス鋼製)を有する。この実施態様又は他の実施態様では、式Iのいずれかを有する有機アミノジシラン前駆体を、ステンレス鋼から構成された耐圧容器で与え、そしてその前駆体の純度は、大部分の半導体用途に適切となる98wt%以上、又は99.5wt%以上である。ある種の実施態様では、そのような容器が、前駆体と、望むのであれば1種以上の追加の前駆体とを混合するための手段を有することもできる。これらの実施態様又は他の実施態様では、容器の内容物を、追加の前駆体と事前に混合することができる。あるいは、有機アミノシシラン前駆体及び/又は他の前駆体を、別個の容器に保持することができ、又は有機アミノシシラン前駆体と他の前駆体との分離を保存中に維持するための分離手段を有する単一の容器に、保持することができる。
本明細書に記載した方法の1つの実施態様では、サイクリック堆積プロセス、例えばCCVD、ALD又はPEALDを用いることができ、ここでは、本明細書に記載した式を有する有機アミノジシラン前駆体から選択される少なくとも1種のケイ素含有前駆体、及び随意に窒素含有源、例えば、アンモニア、ヒドラジン、モノアルキルヒドラジン、ジアルキルヒドラジン、窒素、窒素/水素、アンモニアプラズマ、窒素プラズマ、窒素/水素プラズマを用いることができる。
ある種の実施態様では、前駆体容器から反応チャンバーに連結するガスラインを、プロセスの必要性に応じて、1以上の温度に加熱し、本明細書に記載した式を有する有機アミノジシラン前駆体の容器を、バブリングのために1以上の温度で維持する。他の実施態様では、本明細書に記載した式を有する少なくとも1種のケイ素含有前駆体を含有する溶液を、直接液体注入(direct liquid injection)のために1以上の温度で維持した気化器に注入する。
アルゴン及び/又は他のガスの流れを、キャリアガスとして用いて、前駆体パルスの間の反応チャンバーへの少なくとも1種の有機アミノジシラン前駆体の蒸気の提供を、促進することができる。特定の実施態様では、反応チャンバーのプロセス圧力は、約1Torrである。
典型的なALD又はCCVDプロセスでは、基材、例えば限定しないが、酸化ケイ素、炭素ドープ酸化ケイ素、フレキシブル基材、又は金属窒化物基材を、反応チャンバー内のヒーター台で加熱し、これを初めにケイ素含有前駆体にさらして、この有機アミノジシランを基材の表面に化学的に吸着させる。パージガス、例えば窒素、アルゴン、又は他の不活性ガスは、未吸着の余分な有機アミノジシランをプロセスチャンバーからパージする。十分なパージの後で、酸素含有源を、反応チャンバーに導入して、吸着した表面と反応させた後で、他の1つのパージガスによって、チャンバーから反応副生成物を除去することができる。このプロセスサイクルを、所望の膜厚さを得るように繰り返すことができる。他の実施態様では、減圧下での排出を用いて、未吸着の余分な有機アミノジシランをプロセスチャンバーから除去することができる。ポンプによる十分な排気の後で、酸素含有源を反応チャンバーに導入して、吸着した表面と反応させた後、もう一度ポンプによって排出することで、チャンバーから反応副生成物を除去することができる。さらに、他の実施態様では、有機アミノジシラン及び酸素含有源を、反応チャンバーに同時に流して、基材表面で反応させて酸化ケイ素、炭素ドープ酸化ケイ素を堆積させることができる。サイクリックCVDの特定の実施態様では、パージ工程は行われない。
この実施態様、又は他の実施態様において、本明細書に記載した方法の工程を、様々な順番で実行でき、順次的に又は同時に(例えば、他の1つの工程の少なくとも一部の間に)実行でき、そしてこれらのあらゆる組合せで実行することができると理解される。前駆体及び窒素含有前駆体源ガスを提供するそれぞれの工程を、それらを供給するための時間の持続時間を変えることによって実行して、生成ケイ素含有膜の化学量論的組成を変えることができる。
本明細書に開示した方法の他の1つの実施態様では、ケイ素及び窒素の両方を含有する膜を、次の工程を含む、ALD堆積法、PEALD堆積法、CCVD堆積法又はPECCVD堆積法を用いて形成する:
a.基材をALD反応器に与える工程;
b.上記ALD反応器に、次の式Iによって表されるSi−N結合、Si−Si結合、及びSi−H基を有する少なくとも1種の有機アミノジシラン前駆体を導入する工程:
Figure 2018195833
(ここで、Rは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、及びC〜C10のアリール基から選択され;Rは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基、電子求引基、及びC〜C10のアリール基から選択され;ここで、R及びRは、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成することができ;かつn=1又は2);
c.上記少なくとも1種の有機アミノジシラン前駆体を、基材に化学吸着させる工程;
d.未吸着の上記少なくとも1種の有機アミノジシラン前駆体を、パージガスを用いてパージする工程;
e.窒素含有源を、加熱した上記基材上の前記有機アミノジシラン前駆体に与えて、上記吸着した少なくとも1種の有機アミノジシラン前駆体と反応させる工程;及び
f.随意に、あらゆる未反応の窒素含有源をパージ又は排気する工程。
他の1つの態様では、次の工程を含む、PEALD堆積法又はPECCVD堆積法によって、酸化ケイ素膜及び炭素ドープ酸化ケイ素膜から選択される膜を形成するための方法が与えられる:
a.基材を反応器に与える工程;
b.上記反応器に、次の式Iによって表されるSi−N結合、Si−Si結合、及びSi−H基を有する少なくとも1種の有機アミノジシラン前駆体及び酸素を導入する工程:
Figure 2018195833
(ここで、Rは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、及びC〜C10のアリール基から選択され;Rは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基、電子求引基、及びC〜C10のアリール基から選択され;ここで、R及びRは、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成することができ;かつn=1又は2);
c.上記反応器を、酸素と共にパージガスでパージする工程;
d.RFプラズマを適用する工程;
e.上記反応器を、パージガスでパージする工程、又は上記反応器を排気して反応副生成物を除去する工程;
ここで、上記膜の所望の厚みが得られるまで、b〜eの工程を繰り返す。
本明細書に開示した方法の他の1つの実施態様では、ケイ素含有膜を、次の工程を含む、ALD堆積法を用いて形成する:
a.基材を反応器に与える工程;
b.上記反応器に、次の式Iによって表されるSi−N結合、Si−Si結合、及びSi−H基を有する少なくとも1種の有機アミノジシラン前駆体を導入する工程:
Figure 2018195833
(ここで、Rは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、及びC〜C10のアリール基から選択され;Rは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基、電子求引基、及びC〜C10のアリール基から選択され;ここで、R及びRは、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成することができ;かつn=1又は2);
c.上記少なくとも1種の有機アミノジシラン前駆体を、基材に化学吸着させる工程;
d.未吸着の上記少なくとも1種の有機アミノジシラン前駆体を、パージガスを用いてパージする工程;
e.酸素含有源を、加熱した上記基材上の上記有機アミノジシラン前駆体に与えて、上記吸着した少なくとも1種の有機アミノジシラン前駆体と反応させる工程;及び
f.随意に、あらゆる未反応の酸素含有源をパージ又は排気する工程。
さらなる態様では、次の工程を含む、PEALDプロセス又はPECCVDプロセスによって、窒化ケイ素膜又は炭窒化ケイ素膜を形成するための方法が与えられる:
a.基材を反応器に与える工程;
b.上記反応器に、次の式Iによって表されるSi−N結合、Si−Si結合、及びSi−H基を有する少なくとも1種の有機アミノジシラン前駆体及び窒素含有源を導入する工程:
Figure 2018195833
(ここで、Rは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、及びC〜C10のアリール基から選択され;Rは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基、電子求引基、及びC〜C10のアリール基から選択され;ここで、R及びRは、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成することができ;かつn=1又は2);
c.上記反応器を、上記窒素含有源と共にパージガスでパージする工程;
d.RFプラズマを適用する工程;及び
e.上記反応器をパージガスでパージして、又は上記反応器を排気して、未反応の有機アミノジシラン及び反応副生成物を除去する工程;
ここで、上記膜の所望の厚みが得られるまで、b〜eの工程を繰り返す。
ここに記載した方法に関して、上記の工程は1サイクルを構成し;このサイクルを、ケイ素含有膜の所望の厚みを得るまで繰り返すことができる。この実施態様又は他の実施態様において、本明細書に記載した方法の工程を、様々な順番で実行することができ、順次的に又は同時に(例えば、他の1つの工程の少なくとも一部の間に)実行でき、そしてこれらのあらゆる組合せで実行することができると理解される。前駆体及び酸素含有源又は窒素源を提供するそれぞれの工程を、それらを供給するための時間の持続時間を変えることによって実行して、生成するケイ素含有膜の化学量論的組成を変えることができる。ただし、ここでは、利用可能なケイ素に対して、常に酸素を化学量論量よりも少なくして用いる。
多成分のケイ素含有膜に関して、他の前駆体、例えばケイ素含有前駆体、窒素含有前駆体、還元剤及び/又は他の試薬を、反応チャンバーに交互に導入することができる。
本明細書に記載した方法のさらなる実施態様では、ケイ素含有膜を、熱CVDプロセスを用いて堆積させる。この実施態様では、この方法は、次のステップを含む:
a.周囲温度から約700℃までの範囲の1点以上の温度に加熱した反応器に、1以上の基材を配置するステップ;
b.次の式Iで表されるSi−N結合、Si−Si結合、及びSi−H基を有する少なくとも1種の有機アミノジシラン前駆体を導入するステップ:
Figure 2018195833
(ここで、Rは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、及びC〜C10のアリール基から選択され;Rは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基、電子求引基、及びC〜C10のアリール基から選択され;ここで、R及びRは、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成することができ;かつn=1又は2);及び
c.酸素含有源を、上記反応器に与えて、上記少なくとも1種の有機アミノジシラン前駆体と少なくとも部分的に反応させ、そして上記1以上の基材にケイ素含有膜を堆積させるステップ。
このCVD法のある種の実施態様では、上記反応器を、上記導入工程の間に10mTorr〜760Torrの範囲の圧力で維持する。ここ記載した方法に関して、上記の工程は1サイクルを構成し;このサイクルを、ケイ素含有膜の所望の厚みを得るまで繰り返すことができる。この実施態様又は他の実施態様において、本明細書に記載した方法の工程を、様々な順番で実行することができ、順次的に又は同時に(例えば、他の1つの工程の少なくとも一部の間に)実行でき、そしてこれらのあらゆる組合せで実行することができると理解される。前駆体及び酸素含有源又は窒素源を提供するそれぞれの工程を、それらを供給するための時間の持続時間を変えることによって実行して、生成するケイ素含有膜の化学量論的組成を変えることができる。ただし、ここでは、利用可能なケイ素に対して、常に酸素を化学量論量よりも少なくして用いる。
本明細書に記載した方法のさらなる実施態様において、このプロセスは、アモルファスシリコン膜又は結晶性シリコン膜を、本明細書に記載した式Iの前駆体を用いて堆積する。この実施態様では、この方法は、次の工程を含む:
a.1以上の基材を反応器に配置し、これを周囲温度から約700℃の範囲の1以上の温度に加熱する工程;
b.次の式Iによって表されるSi−N結合、Si−Si結合、及びSi−H基を有する少なくとも1種の有機アミノジシラン前駆体を導入する工程:
Figure 2018195833
(ここで、Rは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、及びC〜C10のアリール基から選択され;Rは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基、電子求引基、及びC〜C10のアリール基から選択され;ここで、R及びRは、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成することができ;かつn=1又は2);
c.還元剤源を上記反応器に与えて、上記少なくとも1種の有機アミノジシラン前駆体と少なくとも部分的に反応させて、そしてケイ素含有膜を1以上の基材に堆積させる工程。
還元剤は、水素、水素プラズマ、塩化水素からなる群より選択される。
このCVD法のある種の実施態様では、上記反応器を、上記導入工程の間に10mTorr〜760Torrの範囲の圧力で維持する。ここに記載した方法に関して、上記の工程は1サイクルを構成し;このサイクルを、ケイ素含有膜の所望の厚みを得るまで繰り返すことができる。
多成分のケイ素含有膜に関して、他の前駆体、例えばケイ素含有前駆体、窒素含有前駆体、酸素含有源、還元剤及び/又は他の試薬を、反応チャンバーに交互に導入することができる。
本明細書に記載した方法のさらなる実施態様において、ケイ素含有膜を、熱CVDプロセスを用いて堆積する。この実施態様では、この方法は、次の工程を含む:
a.1以上の基材を反応器に配置し、これを周囲温度から約700℃の範囲の1以上の温度に加熱する工程;
b.次の式Iによって表されるSi−N結合、Si−Si結合、及びSi−H基を有する少なくとも1種の有機アミノジシラン前駆体を導入する工程:
Figure 2018195833
(ここで、Rは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、及びC〜C10のアリール基から選択され;Rは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基、電子求引基、及びC〜C10のアリール基から選択され;ここで、R及びRは、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成することができ;かつn=1又は2);
c.窒素含有源を、上記反応器に同時に与えて、上記少なくとも1種の有機アミノジシラン前駆体と少なくとも部分的に反応させ、そして上記1以上の基材にケイ素含有膜を堆積させるステップ。このCVD法のある種の実施態様では、上記反応器を、上記導入工程の間に10mTorr〜760Torrの範囲の圧力で維持する。
本明細書に記載した方法のさらなる実施態様において、上記の有機アミノジシラン前駆体を用いて、ケイ素含有膜を堆積する。このケイ素含有膜は、アモルファス膜、結晶性シリコン膜又はこれらの組合せである。これらの実施態様では、それらケイ素含有膜を、次の工程を含む、ALD又はサイクリックCVDから選択される堆積方法を用いて形成する:
a.基材を反応器に配置し、これを周囲温度から約700℃の範囲の温度に加熱する工程;
b.次の式Iによって表されるSi−N結合、Si−Si結合、及びSi−H基を有する少なくとも1種の有機アミノジシラン前駆体を導入する工程:
Figure 2018195833
(ここで、Rは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、及びC〜C10のアリール基から選択され;Rは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基、電子求引基、及びC〜C10のアリール基から選択され;ここで、R及びRは、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成することができ;かつn=1又は2);
c.還元剤源を上記反応器に与えて、上記少なくとも1種の有機アミノシラン前駆体と少なくとも部分的に反応させて、そしてケイ素含有膜を1以上の基材に堆積させる工程、ここで還元剤は、水素、水素プラズマ、塩化水素からなる群より選択される。
ここに記載した方法に関して、上記の工程は1サイクルを構成し;このサイクルを、ケイ素含有膜の所望の厚みを得るまで繰り返すことができる。上記膜の所望の厚みは、1Å超、1〜10000Åとなることができる。
他の1つの態様において、アモルファスシリコン膜又は結晶性シリコン膜を、従来のケイ素前駆体よりも低い温度で原子層堆積プロセス又はサイクリック化学気相成長プロセス若しくは化学気相成長プロセスによって堆積する方法が与えられる。この方法は、次の工程を含む:
a.基材を反応器に与える工程;
b.上記反応器に、次の式Iによって表されるSi−N結合、Si−Si結合、及びSi−H基を有する少なくとも1種の有機アミノジシラン前駆体を導入する工程:
Figure 2018195833
(ここで、Rは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、及びC〜C10のアリール基から選択され;Rは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基、電子求引基、及びC〜C10のアリール基から選択され;ここで、R及びRは、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成することができ;かつn=1又は2);
c.上記反応器を、パージガスでパージする工程、
ここで、上記シリコン膜の所望の厚みが得られるまで、工程b及びcを繰り返す。
式Iの前駆体は、加熱によりHSi:二価基又はHSi:基を生成し、これはSi−Si結合を含むオリゴマーの形成、又は基材表面への固定を促進することができると考えられる。それらオリゴマー又は固定されたSiH又はSiHは、さらにアモルファスシリコン膜を形成することができる。この実施態様又は他の実施態様において、これらのオリゴマーは、その後のケイ素膜又は酸化ケイ素膜の堆積用のシード層として機能することができる。
特定の実施態様では、本明細書に記載した式Iを有する有機アミノジシラン前駆体を、金属含有膜、例えば限定されないが、金属酸化物膜又は金属窒化物膜のドーパントとして用いることもできる。これらの実施態様では、金属含有膜を、ALDプロセス又はCVDプロセス、例えば本明細書に記載したプロセスによって、金属アルコキシド前駆体、金属アミド前駆体又は有機金属前駆体を用いて堆積する。本明細書に開示した方法と共に用いることができる適切な金属アルコキシド前駆体の例としては、限定されないが、第3族〜第6族の金属アルコキシド、アルコキシ配位子とアルキル置換したシクロペンタジエニル配位子との両方を有する第3族〜第6族の金属錯体、アルコキシ配位子とアルキル置換したピロリル配位子との両方を有する第3族〜第6族の金属錯体、アルコキシ配位子とジケトナート配位子との両方を有する第3族〜第6族の金属錯体、アルコキシ配位子とケトエステル配位子との両方を有する第3族〜第6族の金属錯体が挙げられ;本明細書に開示した方法と共に用いることができる適切な金属アミド前駆体の例としては、限定されないが、テトラキス(ジメチルアミノ)ジルコニウム(TDMAZ)、テトラキス(ジエチルアミノ)ジルコニウム(TDEAZ)、テトラキス(エチルメチルアミノ)ジルコニウム(TEMAZ)、テトラキス(ジメチルアミノ)ハフニウム、(TDMAH)、テトラキス(ジエチルアミノ)ハフニウム(TDEAH)及びテトラキス(エチルメチルアミノ)ハフニウム(TEMAH)、テトラキス(ジメチルアミノ)チタン(TDMAT)、テトラキス(ジエチルアミノ)チタン(TDEAT)、テトラキス(エチルメチルアミノ)チタン(TEMAT)、tert−ブチルイミノトリ(ジエチルアミノ)タンタル(TBTDET)、tert−ブチルイミノトリ(ジメチルアミノ)タンタル(TBTDMT)、tert−ブチルイミノトリ(エチルメチルアミノ)タンタル(TBTEMT)、エチルイミノトリ(ジエチルアミノ)タンタル(EITDET)、エチルイミノトリ(ジメチルアミノ)タンタル(EITDMT)、エチルイミノトリ(エチルメチルアミノ)タンタル(EITEMT)、tert−アミルイミノトリ(ジメチルアミノ)タンタル(TAIMAT)、tert−アミルイミノトリ(ジエチルアミノ)タンタル、ペンタキス(ジメチルアミノ)タンタル、tert−アミルイミノトリ(エチルメチルアミノ)タンタル、ビス(tert−ブチルイミノ)ビス(ジメチルアミノ)タングステン(BTBMW)、ビス(tert−ブチルイミノ)ビス(ジエチルアミノ)タングステン、ビス(tert−ブチルイミノ)ビス(エチルメチルアミノ)タングステン及びこれらの組合せが挙げられる。本明細書に開示した方法と共に用いることができる適切な有機金属前駆体の例としては、限定されないが、第3族金属シクロペンタジエニル又は第3族金属アルキルシクロペンタジエニルが挙げられる。ここでの典型的な第3族〜第6族金属としては、限定されないが、Y、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Er、Yb、Lu、Ti、Hf、Zr、V、Nb、Ta、Cr、Mo及びWが挙げられる。
ある種の実施態様では、生成するケイ素含有膜又はコーティングを、堆積後処理、例えば限定されないが、プラズマ処理、化学的処理、紫外線照射、電子線照射、及び/又は膜の1つ以上の特性に影響を与える他の処理にさらすことができる。
ある種の実施態様では、本明細書に記載したケイ素含有膜は、6以下の誘電率を有する。これらの実施態様又は他の実施態様では、膜は、約5以下、約4以下又は約3.5以下の誘電率を有する場合がある。しかし、他の誘電率(例えば、より高い値又は低い値)を有する膜を、膜の所望の最終用途に応じて形成できることが想定される。本明細書に記載した有機アミノジシラン前駆体及びプロセスを用いて形成されるケイ素含有膜の例は、式Siを有し、ここで、原子百分率重量%で、Siは約10〜約40%の範囲を有し;Oは約0%〜約65%の範囲を有し;Cは約0%〜約75%又は約0%〜約50%の範囲を有し;Nは約0%〜約75%又は約0%〜約50%の範囲を有し;Hは約0%〜約50%の範囲を有し、且つx+y+z+v+w=100原子重量%であり、これは例えばXPS又は他の手段で測定される。
上述したように、本明細書に記載した方法を用いて、ケイ素含有膜を、基材の少なくとも一部に堆積させることができる。適切な基材の例としては、限定されないが、ケイ素、SiO、Si、OSG、FSG、炭化ケイ素、水素化した炭化ケイ素、窒化ケイ素、水素化した窒化ケイ素、炭化窒化ケイ素、水素化した炭化窒化ケイ素、窒化ホウ素、反射防止コーティング、フォトレジスト、フレキシブル基材、有機ポリマー、多孔性有機及び無機材料、金属(例えば銅及びアルミニウム)、及び拡散バリア層(例えば限定されないが、TiN、Ti(C)N、TaN、Ta(C)N、Ta、W又はWN)が挙げられる。この膜は、様々な続く処理ステップ、例えば化学機械平坦化(CMP)処理及び異方性エッチング処理と適合する。
堆積させた膜は、限定されないが、コンピューターチップ、光学デバイス、磁気情報ストレージ、支持材料又は支持基材へのコーティング、微小電気機械素子(MEMS)、ナノ電気機械素子、薄膜トランジスター(TFT)、発光ダイオード(LED)、有機発光ダイオード(OLED)、IGZO及び液晶ディスプレイ(LCD)を含む用途を有する。
次の実施例は、有機アミノジシラン前駆体の調製方法と共に、本明細書に記載した堆積させるケイ素含有膜の調製方法を例証し、決して限定することを意図していない。
以下の例では、特記しない限り、標準的な抵抗(8〜12Ωcm)の単結晶シリコンウェハー基材に堆積させたサンプル膜から物性を得た。
例1:モノクロロジシランからのジ−イソ−プロピルアミノジシラン(DIPADS)の合成
機械的撹拌器、コンデンサー、及び添加ロートを備えた3つ口丸底フラスコにおいて、1当量のモノクロロジシランのヘキサン溶液を、コールドバスを用いて−10℃に冷却した。撹拌しながら、2当量のイソ−プロピルアミンを、添加ロートから滴下して加えた。添加が完了した後に、反応混合物を室温まで温めた。この反応混合物を室温で2時間撹拌して、続いて濾過を行った。溶媒のヘキサンを濾液から蒸溜によって除去した。生成物であるジ−イソ−プロピルアミノジシランを、減圧蒸留によって得た。ガスクロマトグラフィ(GC)は、生成物が99%超の純度のジ−イソ−プロピルアミノジシラン(DIPADS)であることを示した[H−NMR(500MHz,C):δ=4.89(m、SiH),3.31(t、SiH),2.93(m、CHMe),1.00(d、CHMe)]。図1は、ジ−イソ−プロピルアミノジシランのTGA/DSCグラフを示しており、TGAは、この化合物が揮発性であることを示し、またDSCは、これが約157℃の沸点を有することを示している。
式Iのさらなる有機アミノジシラン前駆体を、ジ−イソ−プロピルアミノジシランと、対応するアミンとの交換反応によって作製し、質量分析(MS:mass spectroscopy)によって特徴付けた。各有機アミノシラン前駆体の分子量(MW)、構造、及び対応するMSフラグメントピークを、それらを同定するために表1に与える。
Figure 2018195833
Figure 2018195833
Figure 2018195833
Figure 2018195833
例2:ジ−イソ−プロピルアミノジシランの熱安定性
ジイソプロピルアミノジシラン(DIPADS)の約2.0mlのサンプルを、乾燥した不活性条件の下で、4つのステンレス鋼管に装填した。この管に蓋をして、ラボオーブンに置き、4日間80℃で加熱した。4つの加熱したサンプルを、GCで解析し、加熱していない参照サンプルに対しての劣化の程度を確認した。これらのサンプルは、加熱後に99.15%の平均純度を有しており、99.24%の初期純度に対して0.09%の平均劣化率を示しており、またDIPADSが、その優れた熱安定性に起因してケイ素含有膜堆積用の前駆体として適切であることを証明している。ジ−sec−ブチルアミノジシラン及び2,6−ジメチルピペリジノジシランの両方が、両方のケイ素原子の周りでDIPASと同じ化学的環境を有することから、同様の熱安定性を持つであろうことが予想される。
比較例2:ジエチルアミノジシラン(DEADS)の熱安定性
約2mlのジエチルアミノジシラン(DEADS)を、封止した2つのステンレス鋼管で80℃で3日間加熱した。加熱前後のサンプルのGC解析は、平均で4.4%の純度の低下を示した。ビス(ジエチルアミノ)ジシラン(ビス−DEADS)が、加熱の結果として3.0%まで生成した。ジシランを、GC−MSでも検出した。観察された劣化は、ジメチルアミノジシラン(DMADS)に関してAbediniら(Inorg. Chem. Vol 2, 608 (1963))によって報告されたものと、以下のように一致している。
2DEADS=ビス−DEADS+ジシラン
これは、DEADS及びDMADSの両方が、その不安定さに起因してケイ素含有膜を堆積するための前駆体として適切とはならない場合があることを示唆していると考えられる。
例3:有機アミノジシランを用いたアモルファスシリコン膜のサイクリック化学気相成長 アモルファスシリコン膜を、有機アミノジシラン、例えばジイソプロピルアミノジシラン(DIPADS)及びフェニルメチルアミノジシラン(PMADS)を用いて、300〜400℃の範囲の温度で、サイクリックCVDモードで、ホウケイ酸ガラス及びSi基材上の100nmのSi酸化物に堆積させた。この堆積プロセスは、表2に示す次の工程aから工程cで構成されており、1000回繰り返した。
Figure 2018195833
この例は、サイクリックCVD堆積を用いているが、当業者は、このプロセスを、前駆体を連続的に流す通常の熱CVDプロセスに変えることができる。堆積速度は、ケイ素前駆体流量(秒単位)の関数の膜厚(Å単位)として報告されている。プロセスパラメーター及び生成膜特性を、表3に与える。
Figure 2018195833
DIPADSを用いて400℃で堆積させた膜を、ラマン分光法によって解析した。この膜は、図2で与えているように、477cm−1でラマン散乱を有しており、アモルファスシリコン構造であることが確認された。
例4:有機アミノジシランを用いた酸化ケイ素の原子層堆積
酸化ケイ素膜の原子層堆積を、ジ−イソ−プロピルアミノジシラン(DIPADS)を用いて行った。この堆積を、実験室スケールのALDプロセスツールで行った。この有機アミノジシラン前駆体を、55℃の原料温度で、そのチャンバーに気相で供給した。全てのガス(パージガス、酸素含有源ガス、及び反応物ガス)及び前駆体ラインを加熱して、それにより前駆体流が凝縮のない状態を確実にした。ガス及び前駆体流量を、高速で作動するALDダイヤフラムバルブで制御した。堆積で用いた基材は、12インチの長さのケイ素ストリップであった。基材温度を確認するために熱電対をサンプルホルダーに取り付けた。オゾンを酸素含有源ガスとして用いて堆積を行った。表4に堆積パラメーターを与える。
Figure 2018195833
表4の工程aから工程fを、所望の厚みに到達するまで繰り返した。膜の厚み及び屈折率は、エリプソメーター(FilmTek 2000SE)を用いて、膜からの反射データを所定の物理的モデル(例えば、ローレンツ振動子モデル)にフィッティングすることによって、測定した。厚み不均一性%は、次の式を用いて6点の測定値から計算した:不均一性%=(最大−最小)/(2×平均)。膜密度は、X線反射率法(XRR)を用いて特徴付けた。表5は、生成した酸化ケイ素膜特性を要約しており、また膜組成及び密度を表6に与えている。
Figure 2018195833
Figure 2018195833
堆積させた全ての膜が、酸化ケイ素に関して典型的な屈折率である1.44〜1.46の範囲に入る屈折率を有していた。表6は、炭素含有率及び窒素含有率が、全ての膜についてXPS検出限界未満(<0.1%)であることを示している。さらに、DIPADSは、低温(100℃)で、ハロゲン化ジシラン前駆体、例えばSiClよりもずっと良好な高い堆積速度を示している。これは、ハロゲン化前駆体は、通常オゾン又は酸素に対する反応性があまり高くなく、オゾンを酸素含有源として用いた場合には、酸化ケイ素を堆積させるために高温(>400℃)を必要とするからである。典型的なハロゲン化前駆体は、水及び触媒、例えばピリジンを必要として、それゆえそれらは低温で粒子形成を起こしやすい。
図3は、酸化ケイ素膜をDIPADS及びオゾンを用いて300℃で形成する場合の、堆積速度とDIPADSのパルス時間との関係性を示している。DIPADSが自己制限的な挙動を示しており、DIPADSが酸化ケイ素のALDについて適切な前駆体であることが確認される。
図4は 酸化ケイ素膜をDIPADS及びオゾンを用いて300℃で形成する場合の、厚みとサイクル回数との関係性を示している。良好な直線関係を示しており、DIPADSが酸化ケイ素のALDについて適切な前駆体であることがさらに確認される。
例5:有機アミノジシランを用いる酸化ケイ素膜のプラズマ原子層堆積
酸化ケイ素のALD堆積を、ASM Stellar 3000生産装置を用いて、300mmSiウェハー上に、DIPADS及びオゾンプラズマプロセスから行った。前駆体を、Arキャリアガスを用いて室温で供給する。酸素を、プロセス中で連続的に流して堆積時間を短くする。堆積温度を、30℃及び100℃に設定する。前駆体ラインを加熱して前駆体の凝縮を防いだ。チャンバーの圧力は、堆積の間3Torrにする。堆積の各工程及び堆積パラメーターを表7に与える。
Figure 2018195833
工程cから工程eを500回繰り返して、所望の厚みの膜を得る。堆積させた膜の厚み及び屈折率は、エリプソメーター(FilmTek 2000SE)を用いて、膜からの反射データを所定の物理的モデル(例えば、ローレンツ振動子モデル)にフィッティングすることによって、測定した。厚み不均一性%は、次の式を用いて9点の測定値から計算した:不均一性%=(最大−最小)/(2×平均)。表8は、生成した酸化ケイ素膜の特性を与える。
Figure 2018195833
DIPADSは、1.45〜1.46の範囲の屈折率で示されるように、高品質の酸化ケイ素膜を堆積する。表8は、XPSによって炭素及び窒素が検出されないことを示している。膜密度は、XRRで測定した場合、2.1g/ccである。表8の結果は、DIPADSが、比較的低い温度でも、例えば30℃でも、ハロゲン化ジシラン前駆体、例えばSiClよりも優れた、良好な堆積速度を示すことを、表している。この良好な堆積速度は、本発明で規定された有機アミノジシランの高い化学的反応性に起因していると考えられる。
例6:DIPADSの有機アミノジシランを用いる窒化ケイ素膜又は炭窒化ケイ素膜のプラズマ原子層堆積
ケイ素含有膜のALD堆積を、ASM Stellar 3000生産装置を用いて、300mmSiウェハー上に、DIPADS及び窒素−水素プラズマプロセスから行った。前駆体を、Arキャリアガスを用いて室温で供給した。堆積温度を、300℃に設定した。前駆体ラインを加熱して前駆体の凝縮を防いだ。チャンバーの圧力を、堆積の間3Torrにした。堆積の各工程及び堆積パラメーターを表9に与える。
Figure 2018195833
工程cから工程eを500回繰り返して、所望の厚みの膜を得る。厚み不均一性%は、次の式を用いて9点の測定値から計算した:不均一性%=(最大−最小)/(2×平均)。X線光電子分光法(XPS)を用いて膜組成を測定し、密度測定に関してはX線反射率法(XRR)を用いた。表10は、生成した膜の特性を与える。
Figure 2018195833
例7:有機アミノジシランを用いた酸化ケイ素膜のサイクリック化学気相成長
ケイ素含有膜を、PMADS及びオゾンを用いて、サイクリック化学気相成長モードで堆積させた。堆積の各工程は、以下の表11に示すとおりであり、堆積温度は300℃であった。
Figure 2018195833
工程bから工程cを500回繰り返して、所望の厚みの膜を得る。堆積させた膜は、1.48の屈折率及び2.3%の不均一性を有しており;堆積速度は、3.7Å/サイクルであった。有機アミノジシランの供給工程後にパージしないで酸化剤を投与したところ、堆積速度は向上し、また良好な均一性も与え、本発明で規定する有機アミノジシランの高い表面の化学反応性をさらに確認した。

Claims (59)

  1. 次の式Iで表されるSi−N結合、Si−Si結合、及びSi−H基を有する有機アミノジシラン前駆体:
    Figure 2018195833
    (ここで、Rは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、及びC〜C10のアリール基から選択され;Rは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基、電子求引基、及びC〜C10のアリール基から選択され;ここで、R及びRは、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成することができ;かつn=1又は2;ここで、R及びRの両方がイソ−プロピルにはならない)。
  2. 及びRが共に結合して環を形成している、請求項1に記載の有機アミノジシラン前駆体。
  3. 及びRがメチル又はエチルではないという条件で、R及びRが、同じである、請求項1に記載の有機アミノジシラン前駆体。
  4. ジ−sec−ブチルアミノジシラン、ジ−tert−ブチルアミノジシラン、2,6−ジメチルピペリジノジシラン、2,2,6,6−テトラメチルピペリジノジシラン、シクロヘキシル−イソ−プロピルアミノジシラン、フェニルメチルアミノジシラン、フェニルエチルアミノジシラン、ジ−シクロヘキシルアミノジシラン、トランス−デカヒドロキノリニルジシラン、1,1−ビス(t−ブチルアミノ)ジシラン、1,1−ビス(tert−ペンチルアミノ)ジシラン、1,1−ビス(イソ−プロピルアミノ)ジシラン、1,1−ビス(イソ−プロピルメチルアミノ)ジシラン、1,1−ジピペリジノジシラン、1,1−ジピロリジノジシラン、1,1−ジ(2,6−ジメチルピペリジノ)ジシラン、1,1−ビス(ジ−sec−ブチルアミノ)ジシランからなる群より選択される、請求項1に記載の有機アミノジシラン前駆体。
  5. ジ−sec−ブチルアミノジシランを含む、請求項4に記載の有機アミノジシラン前駆体。
  6. 2,6−ジメチルピペリジノジシランを含む、請求項4に記載の有機アミノジシラン前駆体。
  7. フェニルエチルアミノジシランを含む、請求項4に記載の有機アミノジシラン前駆体。
  8. 以下を含む組成物:
    (a)次の式Iで表される、Si−N結合、Si−Si結合、及びSi−H基を有する少なくとも1種の有機アミノジシラン前駆体:
    Figure 2018195833
    (ここで、Rは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、及びC〜C10のアリール基から選択され;Rは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基、電子求引基、及びC〜C10のアリール基から選択され;ここで、R及びRは、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成することができ;かつn=1又は2);
    (b)沸点を有する溶媒であって、前記少なくとも1種の有機アミノジシラン前駆体の沸点と前記溶媒の前記沸点との差が40℃以下である、溶媒。
  9. ジ-イソ-プロピルアミノジシラン、ジ−sec−ブチルアミノジシラン、ジ−tert−ブチルアミノジシラン、2,6−ジメチルピペリジノジシラン、2,2,6,6−テトラメチルピペリジノジシラン、シクロヘキシル−イソ−プロピルアミノジシラン、フェニルメチルアミノジシラン、フェニルエチルアミノジシラン、ジ−シクロヘキシルアミノジシラン、トランス−デカヒドロキノリニルジシラン、1,1−(N,N’−ジ−tert−ブチルエチレンジアミノ)ジシラン、1,1−(N,N’−ジ−イソ−プロピルエチレンジアミノ)ジシラン、1,1−ビス(t−ブチルアミノ)ジシラン、1,1−ビス(tert−ペンチルアミノ)ジシラン、1,1−ビス(イソ−プロピルアミノ)ジシラン、1,1−ビス(イソ−プロピルメチルアミノ)ジシラン、1,1−ジピペリジノジシラン、1,1−ジピロリジノジシラン、1,1−ビス(ジエチルアミノ)ジシラン、1,1−ビス(ジ−イソ−プロピルアミノ)ジシラン、1,1−ジ(2,6−ジメチルピペリジノ)ジシラン、1,1−ビス(ジ−sec−ブチルアミノ)ジシランからなる群より選択される少なくとも1種を含む、請求項9に記載の有機アミノジシラン前駆体。
  10. エーテル、第三級アミン、アルキル炭化水素、芳香族炭化水素、及び第三級アミノエーテルからなる群より選択される少なくとも1種を含む、請求項9に記載の溶媒。
  11. 次の工程を含む、化学気相成長プロセス及び原子層堆積プロセスから選択される堆積プロセスによって、基材の少なくとも1つの表面にケイ素含有膜を形成する方法:
    反応チャンバーに前記基材の前記少なくとも1つの表面を与える工程;及び
    次の式Iで表されるSi−N結合、Si−Si結合、及びSi−H基を有する少なくとも1種の有機アミノジシラン前駆体を導入する工程:
    Figure 2018195833
    (ここで、Rは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、及びC〜C10のアリール基から選択され;Rは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基、電子求引基、及びC〜C10のアリール基から選択され;ここで、R及びRは、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成することができ;かつn=1又は2)及び;
    窒素含有源を前記反応器に導入する工程であって、前記少なくとも1種の有機アミノジシラン前駆体と、前記窒素含有源とを反応させて、前記膜を前記少なくとも1つの表面に形成する工程。
  12. 前記少なくとも1種の有機アミノジシラン前駆体が、ジ-イソ-プロピルアミノジシラン、ジ−sec−ブチルアミノジシラン、ジ−tert−ブチルアミノジシラン、2,6−ジメチルピペリジノジシラン、2,2,6,6−テトラメチルピペリジノジシラン、シクロヘキシル−イソ−プロピルアミノジシラン、フェニルメチルアミノジシラン、フェニルエチルアミノジシラン、ジ−シクロヘキシルアミノジシラン、トランス−デカヒドロキノリニルジシラン、1,1−(N,N’−ジ−tert−ブチルエチレンジアミノ)ジシラン、1,1−(N,N’−ジ−イソ−プロピルエチレンジアミノ)ジシラン、1,1−ビス(tert−ブチルアミノ)ジシラン、1,1−ビス(tert−ペンチルアミノ)ジシラン、1,1−ビス(イソ−プロピルアミノ)ジシラン、1,1−ビス(イソ−プロピルメチルアミノ)ジシラン、1,1−ジピペリジノジシラン、1,1−ジピロリジノジシラン、1,1−ビス(ジエチルアミノ)ジシラン、1,1−ビス(ジ−イソ−プロピルアミノ)ジシラン、1,1−ジ(2,6−ジメチルピペリジノ)ジシラン、1,1−ビス(ジ−sec−ブチルアミノ)ジシランからなる群より選択される、請求項12に記載の方法。
  13. 前記少なくとも1種の有機アミノジシラン前駆体が、フェニルエチルアミノシランを含む、請求項13に記載の方法。
  14. 前記少なくとも1種の有機アミノジシラン前駆体が、ジ−sec−ブチルアミノジシランを含む、請求項13に記載の方法。
  15. 前記少なくとも1種の有機アミノジシラン前駆体が、2,6−ジメチルピペリジノジシランを含む、請求項13に記載の方法。
  16. 前記窒素含有源が、アンモニア、ヒドラジン、モノアルキルヒドラジン、ジアルキルヒドラジン、窒素、窒素/水素、アンモニアプラズマ、窒素プラズマ、窒素/水素プラズマ及びこれらの混合物からなる群より選択される、請求項12に記載の方法。
  17. 前記ケイ素含有膜が、窒化ケイ素及び炭窒化ケイ素からなる群より選択される、請求項12に記載の方法。
  18. 次の工程を含む、原子層堆積(ALD)プロセスによってケイ素含有膜を形成する方法:
    a.基材をALD反応器に与える工程;
    b.前記ALD反応器に、次の式Iによって表されるSi−N結合、Si−Si結合、及びSi−H基を有する少なくとも1種の有機アミノジシラン前駆体を与える工程:
    Figure 2018195833
    (ここで、Rは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、及びC〜C10のアリール基から選択され;Rは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基、電子求引基、及びC〜C10のアリール基から;ここで、R及びRは、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成することができ;かつn=1又は2);
    c.前記ALD反応器を、不活性ガスを用いてパージする工程;
    d.前記ALD反応器に、窒素含有源を与える工程;及び
    e.前記ALD反応器を、不活性ガスを用いてパージする工程、
    ここで、所望の厚みの前記膜が得られるまで、工程b〜eを繰り返す。
  19. 前記少なくとも1種の有機アミノジシラン前駆体が、ジ-イソ-プロピルアミノジシラン、ジ−sec−ブチルアミノジシラン、ジ−tert−ブチルアミノジシラン、2,6−ジメチルピペリジノジシラン、2,2,6,6−テトラメチルピペリジノジシラン、シクロヘキシル−イソ−プロピルアミノジシラン、フェニルメチルアミノジシラン、フェニルエチルアミノジシラン、ジ−シクロヘキシルアミノジシラン、トランス−デカヒドロキノリニルジシラン、1,1−(N,N’−ジ−tert−ブチルエチレンジアミノ)ジシラン、1,1−(N,N’−ジ−イソ−プロピルエチレンジアミノ)ジシラン、1,1−ビス(tert−ブチルアミノ)ジシラン、1,1−ビス(tert−ペンチルアミノ)ジシラン、1,1−ビス(イソ−プロピルアミノ)ジシラン、1,1−ビス(イソ−プロピルメチルアミノ)ジシラン、1,1−ジピペリジノジシラン、1,1−ジピロリジノジシラン、1,1−ビス(ジエチルアミノ)ジシラン、1,1−ビス(ジ−イソ−プロピルアミノ)ジシラン、1,1−ジ(2,6−ジメチルピペリジノ)ジシラン、1,1−ビス(ジ−sec−ブチルアミノ)ジシランからなる群より選択される、請求項19に記載の方法。
  20. 前記少なくとも1種の有機アミノジシラン前駆体が、フェニルエチルアミノジシランを含む、請求項20に記載の方法。
  21. 前記少なくとも1種の有機アミノジシラン前駆体が、ジ−sec−ブチルアミノジシランを含む、請求項20に記載の方法。
  22. 前記少なくとも1種の有機アミノジシラン前駆体が、2,6−ジメチルピペリジノジシランを含む、請求項20に記載の方法。
  23. 前記窒素含有源が、アンモニア、ヒドラジン、モノアルキルヒドラジン、ジアルキルヒドラジン、窒素、窒素/水素、アンモニアプラズマ、窒素プラズマ、窒素/水素プラズマ及びこれらの混合物からなる群より選択される、請求項19に記載の方法。
  24. 前記ケイ素含有膜が、窒化ケイ素及び炭窒化ケイ素からなる群より選択される、請求項19に記載の方法。
  25. 次の工程を含む、プラズマ原子層堆積(PEALD)プロセス及びPECCVDプロセスから選択される堆積プロセスを用いて、基材の少なくとも1つの表面にケイ素含有膜を形成するための方法:
    a.基材をALD反応器に与える工程;
    b.前記ALD反応器に、次の式Iによって表されるSi−N結合、Si−Si結合、及びSi−H基を有する少なくとも1種の有機アミノジシラン前駆体を与える工程:
    Figure 2018195833
    (ここで、Rは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、及びC〜C10のアリール基から選択され;Rは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基、電子求引基、及びC〜C10のアリール基から選択され;ここで、R及びRは、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成することができ;かつn=1又は2);
    c.前記ALD反応器を、不活性ガスでパージする工程;
    d.プラズマ窒素含有源を、前記ALD反応器に与える工程;及び
    e.前記ALD反応器を、不活性ガスでパージする工程;
    ここで、所望の厚みの前記ケイ素含有膜が得られるまで、工程b〜eを繰り返す。
  26. 前記少なくとも1種の有機アミノジシラン前駆体が、ジ-イソ-プロピルアミノジシラン、ジ−sec−ブチルアミノジシラン、ジ−tert−ブチルアミノジシラン、2,6−ジメチルピペリジノジシラン、2,2,6,6−テトラメチルピペリジノジシラン、シクロヘキシル−イソ−プロピルアミノジシラン、フェニルメチルアミノジシラン、フェニルエチルアミノジシラン、ジ−シクロヘキシルアミノジシラン、トランス−デカヒドロキノリニルジシラン、1,1−(N,N’−ジ−tert−ブチルエチレンジアミノ)ジシラン、1,1−(N,N’−ジ−イソ−プロピルエチレンジアミノ)ジシラン、1,1−ビス(tert−ブチルアミノ)ジシラン、1,1−ビス(tert−ペンチルアミノ)ジシラン、1,1−ビス(イソ−プロピルアミノ)ジシラン、1,1−ビス(イソ−プロピルメチルアミノ)ジシラン、1,1−ジピペリジノジシラン、1,1−ジピロリジノジシラン、1,1−ビス(ジエチルアミノ)ジシラン、1,1−ビス(ジ−イソ−プロピルアミノ)ジシラン、1,1−ジ(2,6−ジメチルピペリジノ)ジシラン、1,1−ビス(ジ−sec−ブチルアミノ)ジシランからなる群より選択される請求項26に記載の方法。
  27. 前記少なくとも1種の有機アミノジシラン前駆体が、フェニルエチルアミノジシランを含む、請求項27に記載の方法。
  28. 前記少なくとも1種の有機アミノジシラン前駆体が、ジ−sec−ブチルアミノジシランを含む、請求項27に記載の方法。
  29. 前記少なくとも1種の有機アミノジシラン前駆体が、2,6−ジメチルピペリジノジシランを含む、請求項27に記載の方法。
  30. 前記窒素含有源が、アンモニア、ヒドラジン、モノアルキルヒドラジン、ジアルキルヒドラジン、窒素、窒素/水素、アンモニアプラズマ、窒素プラズマ、窒素/水素プラズマ及びこれらの混合物からなる群より選択される、請求項26に記載の方法。
  31. 前記ケイ素含有膜が、窒化ケイ素及び炭窒化ケイ素からなる群より選択される、請求項26に記載の方法。
  32. 次の工程を含む、基材に酸化ケイ素膜又はカーボンドープ炭化ケイ素膜を形成する方法:
    前記基材に前記膜を形成するために、気相成長で、次の式Iによって表されるSi−N結合、Si−Si結合、及びSi−H基を有する少なくとも1種の有機アミノジシラン前駆体を含む前駆体を、酸素含有源と反応させる工程:
    Figure 2018195833
    (ここで、Rは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、及びC〜C10のアリール基から選択され;Rは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基、電子求引基、及びC〜C10のアリール基から選択され;ここで、R及びRは、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成することができ;かつn=1又は2)。
  33. 前記気相成長が、化学気相成長、低圧気相成長、プラズマ化学気相成長、サイクリック化学気相成長、プラズマサイクリック化学気相成長、原子層堆積、及びプラズマ原子層堆積から選択される少なくとも1つからなる群より選択される少なくとも1つである、請求項33に記載の方法。
  34. 前記少なくとも1種の有機アミノジシラン前駆体が、ジ-イソ-プロピルアミノジシラン、ジ−sec−ブチルアミノジシラン、ジ−tert−ブチルアミノジシラン、2,6−ジメチルピペリジノジシラン、2,2,6,6−テトラメチルピペリジノジシラン、シクロヘキシル−イソ−プロピルアミノジシラン、フェニルメチルアミノジシラン、フェニルエチルアミノジシラン、ジ−シクロヘキシルアミノジシラン、トランス−デカヒドロキノリニルジシラン、1,1−(N,N’−ジ−tert−ブチルエチレンジアミノ)ジシラン、1,1−(N,N’−ジ−イソ−プロピルエチレンジアミノ)ジシラン、1,1−ビス(t−ブチルアミノ)ジシラン、1,1−ビス(tert−ペンチルアミノ)ジシラン、1,1−ビス(イソ−プロピルアミノ)ジシラン、1,1−ビス(イソ−プロピルメチルアミノ)ジシラン、1,1−ジピペリジノジシラン、1,1−ジピロリジノジシラン、1,1−ビス(ジエチルアミノ)ジシラン、1,1−ビス(ジ−イソ−プロピルアミノ)ジシラン、1,1−ジ(2,6−ジメチルピペリジノ)ジシラン、1,1−ビス(ジ−sec−ブチルアミノ)ジシランからなる群より選択される、請求項33に記載の方法。
  35. 前記反応工程を、200℃以下の温度で行う、請求項33に記載の方法。
  36. 前記反応工程を、100℃以下の温度で行う、請求項33に記載の方法。
  37. 前記反応工程を、50℃以下の温度で行う、請求項33に記載の方法。
  38. 次の工程を含む、基材に酸化ケイ素膜又は炭素ドープ酸化ケイ素膜を形成する方法:
    次の式Iによって表されるSi−N結合、Si−Si結合、及びSi−H基を有する少なくとも1種の有機アミノジシラン前駆体を含む組成物及び少なくとも1種の酸素含有源から、気相成長によって、前記基材に前記膜を形成する工程:
    Figure 2018195833
    (ここで、Rは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、及びC〜C10のアリール基から選択され;Rは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基、電子求引基、及びC〜C10のアリール基から;ここで、R及びRは、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成することができ;かつn=1又は2)、
    ここで、前記気相成長は、化学気相成長、低圧気相成長、プラズマ化学気相成長、サイクリック化学気相成長、プラズマサイクリック化学気相成長、原子層堆積、及びプラズマ原子層堆積からなる群より選択される少なくとも1つである。
  39. 前記少なくとも1種の有機アミノジシラン前駆体が、ジ-イソ-プロピルアミノジシラン、ジ−sec−ブチルアミノジシラン、ジ−tert−ブチルアミノジシラン、2,6−ジメチルピペリジノジシラン、2,2,6,6−テトラメチルピペリジノジシラン、シクロヘキシル−イソ−プロピルアミノジシラン、フェニルメチルアミノジシラン、フェニルエチルアミノジシラン、ジ−シクロヘキシルアミノジシラン、トランス−デカヒドロキノリニルジシラン、1,1−(N,N’−ジ−tert−ブチルエチレンジアミノ)ジシラン、1,1−(N,N’−ジ−イソ−プロピルエチレンジアミノ)ジシラン、1,1−ビス(t−ブチルアミノ)ジシラン、1,1−ビス(tert−ペンチルアミノ)ジシラン、1,1−ビス(イソ−プロピルアミノ)ジシラン、1,1−ビス(イソ−プロピルメチルアミノ)ジシラン、1,1−ジピペリジノジシラン、1,1−ジピロリジノジシラン、1,1−ビス(ジエチルアミノ)ジシラン、1,1−ビス(ジ−イソ−プロピルアミノ)ジシラン、1,1−ジ(2,6−ジメチルピペリジノ)ジシラン、1,1−ビス(ジ−sec−ブチルアミノ)ジシランからなる群より選択される、請求項39に記載の方法。
  40. 前記形成工程を、200℃以下の温度で行う、請求項39に記載の方法。
  41. 前記形成工程を、100℃以下の温度で行う、請求項39に記載の方法。
  42. 前記形成工程を、50℃以下の温度で行う、請求項39に記載の方法。
  43. 次の工程を含む、基材に酸化ケイ素膜又は炭素ドープ酸化ケイ素膜を形成する方法:
    次の式Iによって表されるSi−N結合、Si−Si結合、及びSi−H基を有する少なくとも1種の有機アミノジシラン前駆体を反応器に導入する工程:
    Figure 2018195833
    (ここで、Rは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、及びC〜C10のアリール基から選択され;Rは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基、電子求引基、及びC〜C10のアリール基から選択され;ここで、R及びRは、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成することができ;かつn=1又は2);及び
    少なくとも1種の酸素含有源を前記反応器に導入し、前記少なくとも1種の酸素含有源と前記有機アミノジシランとを反応させて、前記基材に前記膜を与える工程。
  44. 次の工程を含む、厚みを有する酸化ケイ素膜又は炭素ドープ酸化ケイ素膜を基材に形成する方法:
    a.次の式Iによって表されるSi−N結合、Si−Si結合、及びSi−H基を有する少なくとも1種の有機アミノジシラン前駆体を導入する工程:
    Figure 2018195833
    (ここで、Rは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、及びC〜C10のアリール基から選択され;Rは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基、電子求引基、及びC〜C10のアリール基から選択され;ここで、R及びRは、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成することができ;かつn=1又は2);
    b.前記基材に、前記少なくとも1種の有機アミノジシラン前駆体を化学吸着させる工程;
    c.未反応の前記少なくとも1種の有機アミノジシラン前駆体を、パージガスを用いてパージする工程;
    d.酸素含有源を、加熱した前記基材上の前記有機アミノジシラン前駆体に与えて、前記吸着されている少なくとも1種の有機アミノジシラン前駆体と反応させる工程;及び e.随意に、あらゆる未反応の酸素含有源をパージする工程。
  45. 前記厚みのフィルムが得られるまで、工程a〜d及び随意の工程eを繰り返す、請求項45に記載の方法。
  46. 前記少なくとも1種の有機アミノジシラン前駆体が、ジ-イソ-プロピルアミノジシラン、ジ−sec−ブチルアミノジシラン、ジ−tert−ブチルアミノジシラン、2,6−ジメチルピペリジノジシラン、2,2,6,6−テトラメチルピペリジノジシラン、シクロヘキシル−イソ−プロピルアミノジシラン、フェニルメチルアミノジシラン、フェニルエチルアミノジシラン、ジ−シクロヘキシルアミノジシラン、トランス−デカヒドロキノリニルジシラン、1,1−(N,N’−ジ−tert−ブチルエチレンジアミノ)ジシラン、1,1−(N,N’−ジ−イソ−プロピルエチレンジアミノ)ジシラン、1,1−ビス(t−ブチルアミノ)ジシラン、1,1−ビス(tert−ペンチルアミノ)ジシラン、1,1−ビス(イソ−プロピルアミノ)ジシラン、1,1−ビス(イソ−プロピルメチルアミノ)ジシラン、1,1−ジピペリジノジシラン、1,1−ジピロリジノジシラン、1,1−ビス(ジエチルアミノ)ジシラン、1,1−ビス(ジ−イソ−プロピルアミノ)ジシラン、1,1−ジ(2,6−ジメチルピペリジノ)ジシラン、1,1−ビス(ジ−sec−ブチルアミノ)ジシランからなる群より選択される、請求項45に記載の方法。
  47. 前記化学吸着工程を、200℃以下の温度で行う、請求項45に記載の方法。
  48. 前記化学吸着工程を、100℃以下の温度で行う、請求項48に記載の方法。
  49. 前記化学吸着工程を、50℃以下の温度で行う、請求項48に記載の方法。
  50. 原子層堆積プロセスである、請求項45に記載の方法。
  51. プラズマサイクリック化学気相成長プロセスである、請求項45に記載の方法。
  52. 以下の工程を含む、ALD又はサイクリックCVDから選択される堆積法を用いて、ケイ素含有膜を形成する方法:
    a.基材を反応器に配置し、これを周囲温度から約700℃の範囲の1以上の温度に加熱する工程;
    b.次の式Iによって表されるSi−N結合、Si−Si結合、及びSi−H基を有する少なくとも1種の有機アミノジシラン前駆体を導入する工程:
    Figure 2018195833
    (ここで、Rは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、及びC〜C10のアリール基から選択され;Rは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基、電子求引基、及びC〜C10のアリール基から選択され;ここで、R及びRは、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成することができ;かつn=1又は2);
    c.随意に、未反応の前記少なくとも1種の有機アミノジシラン前駆体を、パージガスを用いてパージする工程;
    d.還元剤を前記反応器に与えて、前記吸着した有機アミノジシランと少なくとも部分的に反応させる工程;
    e.随意に、あらゆる未反応の還元剤をパージする工程、
    ここで、所望の厚みが得られるまで、前記工程b〜eを繰り返す。
  53. 前記還元剤は、水素、水素プラズマ、及び塩化水素からなる群より選択される少なくとも1種である、請求項53に記載の方法。
  54. 以下の工程を含む、原子層堆積、サイクリック化学気相成長、及び化学気相成長から選択される堆積プロセスを用いて、アモルファスシリコン膜又は結晶性シリコン膜を堆積する方法:
    a.反応器に基材を与える工程;
    b.前記反応器に、次の式Iによって表されるSi−N結合、Si−Si結合、及びSi−H基を有する少なくとも1種の有機アミノジシラン前駆体を導入する工程:
    Figure 2018195833
    (ここで、Rは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、及びC〜C10のアリール基から選択され;Rは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基、電子求引基、及びC〜C10のアリール基から選択され;ここで、R及びRは、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成することができ;かつn=1又は2);及び
    c.パージガスを用いて前記反応器をパージする、又は前記反応器を排気する工程、 ここで、所望の厚みの前記膜が得られるまで、前記工程b〜cを繰り返す。
  55. 前記少なくとも1種の有機アミノジシラン前駆体が、ジ-イソ-プロピルアミノジシラン、ジ−sec−ブチルアミノジシラン、ジ−tert−ブチルアミノジシラン、2,6−ジメチルピペリジノジシラン、2,2,6,6−テトラメチルピペリジノジシラン、シクロヘキシル−イソ−プロピルアミノジシラン、フェニルメチルアミノジシラン、フェニルエチルアミノジシラン、ジ−シクロヘキシルアミノジシラン、トランス−デカヒドロキノリニルジシラン、1,1−(N,N’−ジ−tert−ブチルエチレンジアミノ)ジシラン、1,1−(N,N’−ジ−イソ−プロピルエチレンジアミノ)ジシラン、1,1−ビス(t−ブチルアミノ)ジシラン、1,1−ビス(tert−ペンチルアミノ)ジシラン、1,1−ビス(イソ−プロピルアミノ)ジシラン、1,1−ビス(イソ−プロピルメチルアミノ)ジシラン、1,1−ジピペリジノジシラン、1,1−ジピロリジノジシラン、1,1−ビス(ジエチルアミノ)ジシラン、1,1−ビス(ジ−イソ−プロピルアミノ)ジシラン、1,1−ジ(2,6−ジメチルピペリジノ)ジシラン、1,1−ビス(ジ−sec−ブチルアミノ)ジシランからなる群より選択される、請求項55に記載の方法。
  56. 以下を含有する、ケイ素含有膜の堆積のための前駆体を提供するために用いられる容器:
    次の式Iによって表されるSi−N結合、Si−Si結合、及びSi−H基を有する少なくとも1種の有機アミノジシラン前駆体:
    Figure 2018195833
    (ここで、Rは、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜C10のアルケニル基、直鎖又は分岐鎖のC〜C10のアルキニル基、C〜Cのジアルキルアミノ基、電子求引基、及びC〜C10のアリール基から選択され;Rは、水素、直鎖又は分岐鎖のC〜C10のアルキル基、直鎖又は分岐鎖のC〜Cのアルケニル基、直鎖又は分岐鎖のC〜Cのアルキニル基、C〜Cのジアルキルアミノ基、C〜C10のアリール基、直鎖又は分岐鎖のC〜Cのフッ素化アルキル基、電子求引基、及びC〜C10のアリール基から選択され;ここで、R及びRは、共に結合して置換若しくは非置換の芳香環、又は置換若しくは非置換の脂肪族環から選択される環を形成することができ;かつn=1又は2)、
    ここで、前記前駆体の純度は、約98%以上である。
  57. ステンレス鋼で構成されている、請求項57に記載の容器。
  58. n=2であり、R及びRが共に結合して環を形成している、請求項1に記載の有機アミノジシラン前駆体。
  59. n=2であり、R及びRが共に結合して環を形成している、請求項1に記載の有機アミノジシラン前駆体。
JP2018129282A 2012-06-01 2018-07-06 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法 Active JP6904924B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2021069016A JP7177209B2 (ja) 2012-06-01 2021-04-15 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261654508P 2012-06-01 2012-06-01
US61/654,508 2012-06-01
US13/902,300 2013-05-24
US13/902,300 US9337018B2 (en) 2012-06-01 2013-05-24 Methods for depositing films with organoaminodisilane precursors
JP2015106433A JP6662579B2 (ja) 2012-06-01 2015-05-26 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2015106433A Division JP6662579B2 (ja) 2012-06-01 2015-05-26 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2021069016A Division JP7177209B2 (ja) 2012-06-01 2021-04-15 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法

Publications (3)

Publication Number Publication Date
JP2018195833A true JP2018195833A (ja) 2018-12-06
JP2018195833A5 JP2018195833A5 (ja) 2020-11-12
JP6904924B2 JP6904924B2 (ja) 2021-07-21

Family

ID=48539020

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2013117293A Withdrawn JP2014013889A (ja) 2012-06-01 2013-06-03 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法
JP2015106433A Active JP6662579B2 (ja) 2012-06-01 2015-05-26 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法
JP2018129282A Active JP6904924B2 (ja) 2012-06-01 2018-07-06 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法
JP2021069016A Active JP7177209B2 (ja) 2012-06-01 2021-04-15 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2013117293A Withdrawn JP2014013889A (ja) 2012-06-01 2013-06-03 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法
JP2015106433A Active JP6662579B2 (ja) 2012-06-01 2015-05-26 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2021069016A Active JP7177209B2 (ja) 2012-06-01 2021-04-15 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法

Country Status (5)

Country Link
US (6) US9337018B2 (ja)
EP (3) EP2669248B1 (ja)
JP (4) JP2014013889A (ja)
KR (9) KR20130135793A (ja)
CN (3) CN103450242B (ja)

Families Citing this family (401)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
JP5959307B2 (ja) * 2011-06-22 2016-08-02 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130217243A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8728955B2 (en) * 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP5925673B2 (ja) * 2012-12-27 2016-05-25 東京エレクトロン株式会社 シリコン膜の成膜方法および成膜装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
TW201441408A (zh) * 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
JP6082712B2 (ja) * 2013-07-31 2017-02-15 東京エレクトロン株式会社 シリコン膜の成膜方法および薄膜の成膜方法
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9284642B2 (en) * 2013-09-19 2016-03-15 Asm Ip Holding B.V. Method for forming oxide film by plasma-assisted processing
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9382269B2 (en) 2013-09-27 2016-07-05 Voltaix, Llc Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150303060A1 (en) * 2014-04-16 2015-10-22 Samsung Electronics Co., Ltd. Silicon precursor, method of forming a layer using the same, and method of fabricating semiconductor device using the same
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
EP3149010A4 (en) * 2014-05-30 2017-11-08 Dow Corning Corporation Monoaminosilane compounds
KR101956587B1 (ko) * 2014-05-30 2019-03-11 다우 실리콘즈 코포레이션 다이아미노실란 화합물
JP2017520532A (ja) 2014-05-30 2017-07-27 ダウ コーニング コーポレーションDow Corning Corporation ジイソプロピルアミノ−ジシランの合成方法
KR101875183B1 (ko) * 2014-06-11 2018-07-06 (주)디엔에프 신규한 아미노실릴아민 화합물 및 원자층 증착법을 이용한 Si-N 결합을 포함하는 절연막의 제조방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10006122B2 (en) 2014-10-02 2018-06-26 American Air Liquide, Inc. Organodisilane precursors for ALD/CVD silicon-containing film applications
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
SG11201703195QA (en) * 2014-10-24 2017-05-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
EP3254303B1 (en) * 2015-02-06 2018-12-05 Versum Materials US, LLC Method for formation of carbon doped silicon containing films
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
JP2016157893A (ja) * 2015-02-26 2016-09-01 東京エレクトロン株式会社 カーボン膜の成膜方法および成膜装置
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
TWI716333B (zh) 2015-03-30 2021-01-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10763103B2 (en) * 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
WO2016191199A1 (en) * 2015-05-22 2016-12-01 Dow Corning Corporation Diisopropylaminopentachlorodisilane
JP6086942B2 (ja) * 2015-06-10 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
EP3310942B1 (en) * 2015-06-16 2022-07-13 Versum Materials US, LLC Processes for depositing silicon-containing films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
KR102188750B1 (ko) 2015-09-11 2020-12-08 버슘머트리얼즈 유에스, 엘엘씨 콘포말한 금속 또는 메탈로이드 실리콘 니트라이드 막을 증착시키는 방법 및 얻어진 막
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
SG11201802781WA (en) * 2015-10-06 2018-05-30 Versum Materials Us Llc Methods for depositing a conformal metal or metalloid silicon nitride film
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
CN108431013B (zh) * 2015-12-18 2021-03-16 美国陶氏有机硅公司 二硅烷基胺和聚硅烷基胺的合成
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
CN114016001A (zh) * 2015-12-21 2022-02-08 弗萨姆材料美国有限责任公司 用于沉积含硅膜的组合物及使用其的方法
US10458016B2 (en) * 2015-12-25 2019-10-29 Tokyo Electron Limited Method for forming a protective film
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10053775B2 (en) 2015-12-30 2018-08-21 L'air Liquide, Societé Anonyme Pour L'etude Et L'exploitation Des Procédés Georges Claude Methods of using amino(bromo)silane precursors for ALD/CVD silicon-containing film applications
US9701695B1 (en) 2015-12-30 2017-07-11 American Air Liquide, Inc. Synthesis methods for amino(halo)silanes
US9777373B2 (en) 2015-12-30 2017-10-03 American Air Liquide, Inc. Amino(iodo)silane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
TWI753794B (zh) 2016-03-23 2022-01-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 形成含矽膜之組成物及其製法與用途
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
CN107794515B (zh) * 2016-09-01 2021-06-22 Asm Ip控股有限公司 通过形成基于烃的超薄膜对层进行保护的方法
KR20180034798A (ko) 2016-09-28 2018-04-05 삼성전자주식회사 유전막 형성 방법 및 반도체 장치의 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018125141A1 (en) * 2016-12-29 2018-07-05 Intel Corporation Methods for incorporating stabilized carbon into silicon nitride films
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10049882B1 (en) 2017-01-25 2018-08-14 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device including forming a dielectric layer on a structure having a height difference using ALD
JP6857503B2 (ja) * 2017-02-01 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10822458B2 (en) 2017-02-08 2020-11-03 Versum Materials Us, Llc Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
US11591692B2 (en) 2017-02-08 2023-02-28 Versum Materials Us, Llc Organoamino-polysiloxanes for deposition of silicon-containing films
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
TWI784022B (zh) * 2017-07-31 2022-11-21 中國大陸商南大光電半導體材料有限公司 1,1,1-參(二甲胺基)二矽烷及其製備方法
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
SG10201903201XA (en) 2018-04-11 2019-11-28 Versum Materials Us Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
EP3902939A4 (en) 2019-02-05 2022-09-28 Versum Materials US, LLC CARBON DOPED SILICON OXIDE DEPOSIT
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20210017198A1 (en) 2019-04-05 2021-01-21 Versum Materials Us, Llc Organoamino-Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11649560B2 (en) 2019-06-20 2023-05-16 Applied Materials, Inc. Method for forming silicon-phosphorous materials
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
CN110670045A (zh) * 2019-11-12 2020-01-10 复旦大学 一种原子层沉积制备有机无机杂化卤素钙钛矿材料的方法
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
CN115053016A (zh) * 2020-02-07 2022-09-13 朗姆研究公司 用于含硅膜高温沉积的前体
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
EP4110968A4 (en) 2020-04-02 2023-09-13 Versum Materials US, LLC ORGANOAMINO-FUNCTIONALIZED CYCLIC OLIGOSILOXANES FOR THE DEPOSITION OF SILICON-CONTAINING FILM
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN112110948B (zh) * 2020-09-29 2023-07-14 合肥安德科铭半导体科技有限公司 一种液态双氨基取代的乙硅烷制备方法及其产物的应用
CN112210769B (zh) * 2020-09-29 2023-04-25 合肥安德科铭半导体科技有限公司 一种低温高生长速率氧化硅薄膜的原子层沉积方法
FR3114588B1 (fr) * 2020-09-29 2023-08-11 Safran Ceram Procédé de fabrication d’une barrière environnementale
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20230087074A (ko) * 2021-12-09 2023-06-16 에스케이트리켐 주식회사 저 유전율 실리콘 함유 박막 형성용 전구체, 이를 이용한 저 유전율 실리콘 함유 박막 형성 방법 및 상기 저 유전율 실리콘 함유 박막을 포함하는 반도체 소자.
WO2024086199A1 (en) * 2022-10-19 2024-04-25 Entegris, Inc. Silane precursors and related methods

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11147889A (ja) * 1997-11-14 1999-06-02 Shin Etsu Chem Co Ltd オリゴシラニルエノールエーテル誘導体の製造方法
JP2000195801A (ja) * 1998-12-24 2000-07-14 Fujitsu Ltd 半導体装置の製造方法
JP2007509836A (ja) * 2003-10-31 2007-04-19 アヴィザ テクノロジー インコーポレイテッド 窒化シリコンの低温堆積
JP2009516906A (ja) * 2005-06-21 2009-04-23 アプライド マテリアルズ インコーポレイテッド 光励起堆積プロセス中にシリコン含有材料を形成する方法
JP2012025733A (ja) * 2010-06-02 2012-02-09 Air Products & Chemicals Inc 有機アミノシラン前駆体及びこれを含む膜の堆積方法

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0702017B1 (de) * 1994-09-14 2001-11-14 Degussa AG Verfahren zur Herstellung von chloridarmen bzw. chloridfreien aminofunktionellen Organosilanen
JP2907061B2 (ja) 1995-04-05 1999-06-21 信越化学工業株式会社 有機けい素化合物の製造方法
DE19516386A1 (de) * 1995-05-04 1996-11-07 Huels Chemische Werke Ag Verfahren zur Herstellung von an chlorfunktionellen Organosilanen armen bzw. freien aminofunktionellen Organosilanen
US5660895A (en) 1996-04-24 1997-08-26 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Low-temperature plasma-enhanced chemical vapor deposition of silicon oxide films and fluorinated silicon oxide films using disilane as a silicon precursor
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6837251B1 (en) 2000-06-21 2005-01-04 Air Products And Chemicals, Inc. Multiple contents container assembly for ultrapure solvent purging
US6526824B2 (en) 2001-06-07 2003-03-04 Air Products And Chemicals, Inc. High purity chemical container with external level sensor and liquid sump
JP4116283B2 (ja) * 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
JP4021653B2 (ja) 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
KR100468729B1 (ko) * 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7446217B2 (en) * 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
US7531679B2 (en) * 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7365029B2 (en) 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7345000B2 (en) 2003-10-10 2008-03-18 Tokyo Electron Limited Method and system for treating a dielectric film
US7579496B2 (en) 2003-10-10 2009-08-25 Advanced Technology Materials, Inc. Monosilane or disilane derivatives and method for low temperature deposition of silicon-containing films using the same
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
JP3945519B2 (ja) * 2004-06-21 2007-07-18 東京エレクトロン株式会社 被処理体の熱処理装置、熱処理方法及び記憶媒体
US20060051975A1 (en) * 2004-09-07 2006-03-09 Ashutosh Misra Novel deposition of SiON dielectric films
JP2006096675A (ja) 2004-09-28 2006-04-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 新規なアミノジシランおよび炭窒化珪素膜の形成方法
US7332618B2 (en) 2004-09-28 2008-02-19 Praxair Technology, Inc. Organometallic precursor compounds
US7514119B2 (en) 2005-04-29 2009-04-07 Linde, Inc. Method and apparatus for using solution based precursors for atomic layer deposition
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007235093A (ja) 2006-01-31 2007-09-13 Toshiba Corp 半導体装置の製造方法
US8153832B2 (en) 2006-04-03 2012-04-10 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Pentakis(dimethylamino) disilane precursor comprising compound and method for the preparation thereof
US8377511B2 (en) 2006-04-03 2013-02-19 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for depositing silicon nitride films and/or silicon oxynitride films by chemical vapor deposition
US20070287849A1 (en) * 2006-06-13 2007-12-13 Air Products And Chemicals, Inc. Low-Impurity Organosilicon Product As Precursor For CVD
US7442822B2 (en) 2006-09-01 2008-10-28 Air Products And Chemicals, Inc. Stabilization of nitrogen-containing and oxygen-containing organosilanes using weakly basic ion-exchange resins
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US20080188679A1 (en) * 2007-02-05 2008-08-07 Air Products And Chemicals, Inc. Method Of Purifying Organosilicon Compositions Used As Precursors In Chemical Vapor Desposition
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
KR20100038211A (ko) 2007-06-28 2010-04-13 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 이산화규소 간극 충전용 전구체
KR20090068179A (ko) 2007-12-21 2009-06-25 에이에스엠 인터내셔널 엔.브이. 실리콘 이산화물을 포함하는 박막의 제조 방법
US7999355B2 (en) * 2008-07-11 2011-08-16 Air Products And Chemicals, Inc. Aminosilanes for shallow trench isolation films
DE102009026755A1 (de) * 2009-06-04 2010-12-09 Wacker Chemie Ag Verfahren zur Herstellung von Aminoorganosilanen
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
JP5741382B2 (ja) 2011-09-30 2015-07-01 東京エレクトロン株式会社 薄膜の形成方法及び成膜装置
JP5793398B2 (ja) * 2011-10-28 2015-10-14 東京エレクトロン株式会社 シード層の形成方法及びシリコン含有薄膜の成膜方法
JP5829196B2 (ja) 2011-10-28 2015-12-09 東京エレクトロン株式会社 シリコン酸化物膜の成膜方法
JP5947710B2 (ja) 2012-12-27 2016-07-06 東京エレクトロン株式会社 シード層の形成方法、シリコン膜の成膜方法および成膜装置
JP6082712B2 (ja) 2013-07-31 2017-02-15 東京エレクトロン株式会社 シリコン膜の成膜方法および薄膜の成膜方法
US9382269B2 (en) 2013-09-27 2016-07-05 Voltaix, Llc Halogen free syntheses of aminosilanes by catalytic dehydrogenative coupling

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11147889A (ja) * 1997-11-14 1999-06-02 Shin Etsu Chem Co Ltd オリゴシラニルエノールエーテル誘導体の製造方法
JP2000195801A (ja) * 1998-12-24 2000-07-14 Fujitsu Ltd 半導体装置の製造方法
JP2007509836A (ja) * 2003-10-31 2007-04-19 アヴィザ テクノロジー インコーポレイテッド 窒化シリコンの低温堆積
JP2009516906A (ja) * 2005-06-21 2009-04-23 アプライド マテリアルズ インコーポレイテッド 光励起堆積プロセス中にシリコン含有材料を形成する方法
JP2012025733A (ja) * 2010-06-02 2012-02-09 Air Products & Chemicals Inc 有機アミノシラン前駆体及びこれを含む膜の堆積方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
HEINZ SCHUH, 外3名: "Disilanyl-amines — Compounds Comprising the Structural Unit Si—Si—N, as Single-Source Precursors", ZEITSCHRIFT FUR ANORGANISCHE UND ALLGEMEINE CHEMIE, vol. 619, JPN7014001500, 1993, pages 1347 - 1352, XP002486454, ISSN: 0004044910, DOI: 10.1002/zaac.19936190805 *
MARCUS SOLDNER, 外2名: "1,2-Disilanediyl Bis(triflate), F3CSO3-SiH2SiH2-O3SCF3, as the Key Intermediate for a Facile Prepara", INORGANIC CHEMISTRY, vol. 36, JPN6019019976, 1997, pages 1758 - 1763, ISSN: 0004238986 *

Also Published As

Publication number Publication date
KR101910020B1 (ko) 2018-10-19
EP2944608A1 (en) 2015-11-18
JP2015181191A (ja) 2015-10-15
US20150024608A1 (en) 2015-01-22
JP2021122047A (ja) 2021-08-26
CN103450242B (zh) 2018-05-01
CN105801612A (zh) 2016-07-27
KR20190039912A (ko) 2019-04-16
US20170186605A1 (en) 2017-06-29
US10283350B2 (en) 2019-05-07
EP2669248B1 (en) 2017-04-12
JP2014013889A (ja) 2014-01-23
KR101966907B1 (ko) 2019-04-08
KR102067473B1 (ko) 2020-01-17
KR20170034810A (ko) 2017-03-29
KR20170034811A (ko) 2017-03-29
JP6904924B2 (ja) 2021-07-21
KR20180129728A (ko) 2018-12-05
EP2944608B1 (en) 2020-04-22
US9613799B2 (en) 2017-04-04
KR101924630B1 (ko) 2018-12-03
US20160203975A1 (en) 2016-07-14
US20180294152A1 (en) 2018-10-11
KR20160133397A (ko) 2016-11-22
KR20150037792A (ko) 2015-04-08
CN103450242A (zh) 2013-12-18
KR20150139815A (ko) 2015-12-14
JP6662579B2 (ja) 2020-03-11
US9337018B2 (en) 2016-05-10
EP2669248A1 (en) 2013-12-04
EP3686157A1 (en) 2020-07-29
US10077364B2 (en) 2018-09-18
JP7177209B2 (ja) 2022-11-22
US9997350B2 (en) 2018-06-12
KR20180122583A (ko) 2018-11-13
US20130323435A1 (en) 2013-12-05
US20170183502A1 (en) 2017-06-29
CN105801612B (zh) 2020-11-27
KR20130135793A (ko) 2013-12-11
CN108558926A (zh) 2018-09-21
US9627193B2 (en) 2017-04-18

Similar Documents

Publication Publication Date Title
JP7177209B2 (ja) 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法
JP6445375B2 (ja) 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法
JP6100734B2 (ja) アザ−ポリシラン前駆体、及びそれを含む膜の堆積方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180806

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180806

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190604

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190531

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190903

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191010

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200324

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200623

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20200917

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20201215

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210415

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20210415

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20210423

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20210511

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210525

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210624

R150 Certificate of patent or registration of utility model

Ref document number: 6904924

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150