CN105801612B - 有机氨基乙硅烷前体和包含该前体的薄膜沉积的方法 - Google Patents

有机氨基乙硅烷前体和包含该前体的薄膜沉积的方法 Download PDF

Info

Publication number
CN105801612B
CN105801612B CN201610141031.8A CN201610141031A CN105801612B CN 105801612 B CN105801612 B CN 105801612B CN 201610141031 A CN201610141031 A CN 201610141031A CN 105801612 B CN105801612 B CN 105801612B
Authority
CN
China
Prior art keywords
branched
straight
group
organoaminodisilane
precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610141031.8A
Other languages
English (en)
Other versions
CN105801612A (zh
Inventor
萧满超
雷新建
D·P·斯彭斯
H·钱德拉
韩冰
M·L·奥内尔
S·G·玛约加
A·玛利卡尔朱南
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=48539020&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=CN105801612(B) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Publication of CN105801612A publication Critical patent/CN105801612A/zh
Application granted granted Critical
Publication of CN105801612B publication Critical patent/CN105801612B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/06Binary compounds of nitrogen with metals, with silicon, or with boron, or with carbon, i.e. nitrides; Compounds of nitrogen with more than one metal, silicon or boron
    • C01B21/068Binary compounds of nitrogen with metals, with silicon, or with boron, or with carbon, i.e. nitrides; Compounds of nitrogen with more than one metal, silicon or boron with silicon
    • C01B21/0682Preparation by direct nitridation of silicon
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/082Compounds containing nitrogen and non-metals and optionally metals
    • C01B21/0828Carbonitrides or oxycarbonitrides of metals, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/02Silicon
    • C01B33/021Preparation
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/113Silicon oxides; Hydrates thereof
    • C01B33/12Silica; Hydrates thereof, e.g. lepidoic silicic acid
    • C01B33/126Preparation of silica of undetermined type
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/025Silicon compounds without C-silicon linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/10Compounds having one or more C—Si linkages containing nitrogen having a Si-N linkage
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D1/00Coating compositions, e.g. paints, varnishes or lacquers, based on inorganic substances
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D7/00Features of coating compositions, not provided for in group C09D5/00; Processes for incorporating ingredients in coating compositions
    • C09D7/20Diluents or solvents
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2002/00Crystal-structural characteristics
    • C01P2002/02Amorphous compounds
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/13Hollow or container type article [e.g., tube, vase, etc.]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Polymers With Sulfur, Phosphorus Or Metals In The Main Chain (AREA)

Abstract

有机氨基乙硅烷前体和包含该前体的薄膜沉积的方法。本文描述了形成含硅薄膜的前体和方法。一方面,提供式I的前体:
Figure DDA0000939951760000011
其中R1选自直链或支链C3‑C10烷基、直链或支链C3‑C10烯基、直链或支链C3‑C10炔基、C1‑C6二烷基氨基、吸电子基团和C6‑C10芳基;R2选自氢、直链或支链C1‑C10烷基、直链或支链C3‑C6烯基、直链或支链C3‑C6炔基、C1‑C6二烷基氨基、C6‑C10芳基、直链或支链C1‑C6氟化烷基、吸电子基团和C4‑C10芳基;任选地其中R1和R2连接在一起形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;且n=1或2。

Description

有机氨基乙硅烷前体和包含该前体的薄膜沉积的方法
本申请为申请号为201310220939.4、申请日为2013年06月03日、发明名称为“有机氨基乙硅烷前体和包含该前体的薄膜沉积的方法”的分案申请。
相关申请的交叉引用
本申请要求2012年6月1日提交的美国临时申请号61/654,508的优先权和利益,其整体以引用的方式并入本文。
发明背景
本文描述了可以用于沉积含硅薄膜的前体(特别是有机氨基乙硅烷)及其组合物,所述含硅薄膜包括但不限于,无定形硅、晶体硅、氮化硅、氧化硅、碳掺杂的氧化硅、碳氮化硅和氮氧化硅薄膜。在再另一方面,本文描述了用于含硅薄膜沉积的有机氨基乙硅烷前体在制造集成电路器件中的用途。在这些或其它的方面中,有机氨基乙硅烷前体可以用于各种沉积工艺,包括但不限于原子层沉积(“ALD”)、化学气相沉积(“CVD”)、等离子体增强化学气相沉积(“PECVD”)、低压化学气相沉积(“LPCVD”)和常压化学气相沉积。
几类化合物可用作含硅薄膜(例如,但不限于,氧化硅、碳掺杂的氧化硅或氮化硅薄膜)的前体。适合用作前体的这些化合物的实例包括硅烷类、氯代硅烷类、聚硅氮烷类、氨基硅烷类和叠氮基硅烷类。惰性载气或稀释剂(例如,但不限于,氦、氢、氮等)也用于输送前体到反应室中。
低压化学气相沉积(LPCVD)工艺是半导体工业用于沉积含硅薄膜所用的较广泛接受的方法之一。使用氨的低压化学气相沉积(LPCVD)可能需要高于750℃的沉积温度以获得合理的生长速率和均匀度。更高的沉积温度通常用于提供更好的薄膜性能。更常见的用于生长氮化硅或其它含硅薄膜的工业方法之一是在高于750℃温度下的热壁反应器中使用前体硅烷、二氯硅烷和/或氨的低压化学气相沉积。但是,使用这种方法存在几种缺陷。例如,某些前体(例如硅烷)是易燃的。这可能产生操作和使用中的问题。而且,由硅烷和二氯硅烷沉积的薄膜可能包含某些杂质。例如,使用二氯硅烷沉积的薄膜可能包含某些杂质如氯和氯化铵,它们是在沉积过程中作为副产物形成的。使用硅烷沉积的薄膜可能包含氢。
用于沉积氮化硅薄膜的前体(如BTBAS和氯代硅烷类)通常在高于550℃的温度下沉积薄膜。半导体器件小型化的趋势和低的热预算需要更低的处理温度和更高的沉积速率。应当降低含硅薄膜进行沉积的温度以防止晶格中的离子扩散,特别是对于包含金属化层的那些衬底和在许多III-V族和II-VI族器件上。因此,本领域中需要提供具有充分的化学反应性以允许通过CVD、ALD或其它工艺在550℃或更低的温度下或甚至在室温下沉积的用于沉积含硅薄膜(例如氧化硅、碳掺杂的氧化硅、氮氧化硅或氮化硅薄膜)的前体。
题为“Disilanyl-amines-Compounds Comprising the Structure Unit Si-Si-N,as Single-Source Precursors for Plasma-Enhanced Chemical Vapor Deposition(PE-CVD)of Silicon Nitride”的参考文献,Schuh等,Zeitschrift Für Anorganischeund Allgemeine Chemie,619(1993),第1347-52页描述了潜在的用于氮化硅薄膜的PECVD的单一源前体,其中所述前体具有结构单元Si-Si-N,例如(Et2N)2HSi-SiH3、(Et2N)2HSi-SiH(NEt2)2[(i-Pr)2N]H2Si-SiH3和[(i-Pr)2N]H2Si-SiH2[N(i-Pr)2]。前体1,2-双(二异丙基氨基)乙硅烷(BIPADS)用于氮化硅薄膜的PECVD沉积。由BIPADS前体获得的薄膜具有1.631-1.814的折射率并具有低的碳含量和极低的氧含量,但具有高的(Si结合)氢含量。
题为“1,2-Disilanediyl Bis(triflate),F3CSO3-SiH2-SiH2-O3SCF3,as the KeyIntermediate for a Facile Preparation of Open-Chain and Cyclic 1,1-and 1,2-Diaminodisilanes”的参考文献,
Figure BDA0000939951740000031
等,Inorganic Chemistry,36(1997),第1758-63页描述了具有完全氢化的Si键的几种开链和环状二氨基乙硅烷的高产率合成。
美国专利No 5,660,895描述了在低温下在采用乙硅烷(Si2H6)和一氧化二氮在PECVD工艺中沉积高质量SiO2薄膜。
美国专利No.7,019,159和7,064,083描述了制备不含氯并具有通式((R)HN)3-Si-Si-(NH(R))3的硅烷化合物或六(单烃基氨基)乙硅烷的组合物和方法,其中R独立地代表C1到C4烃基。所述六(单烃基氨基)乙硅烷前体用于沉积氮化硅或氮氧化硅薄膜。
美国专利No.US 8153832描述了具有式Si2(NMe2)5Y的五(二甲基氨基)乙硅烷化合物,其中Y选自H、Cl或氨基,及其在制备SiN或SiON的门控含硅薄膜(gate silicon-containing film)或蚀刻停止含硅薄膜中的用途。
美国专利申请公开No.2009/0209081A描述了采用六(单烷基氨基)乙硅烷例如六(乙基氨基)乙硅烷作为硅源和臭氧作为氧化剂在衬底上沉积含二氧化硅的薄膜的方法。生长率为约
Figure BDA0000939951740000032
/循环。
美国专利No.7,077,904描述了采用六氯乙硅烷作为硅源和水作为氧化剂在催化剂例如吡啶的存在下在衬底上沉积含二氧化硅的薄膜的方法。在50-140℃的衬底温度下的生长率为
Figure BDA0000939951740000033
/循环。
美国专利申请公开No.2013/0109155描述了采用具有两个Si原子的基于氨基硅烷的气体例如六乙烷氨基乙硅烷(C12H36N6Si2)形成薄膜的晶种层的方法。也可以使用其他具有下式的氨基硅烷:(1)(R1R2)N)nSi2H6-n-m(R3)m…n:氨基数目,m:烷基数目;或(2)(R1)NH)nSi2H6-n-m(R3)m…n:氨基数目,m:烷基数目。在式(1)和(2)中,R1、R2、R3=CH3、C2H5、C3H7,R1=R2=R3或彼此可以不同,n=1-6的整数且m=0和1-5。
美国专利No.7,446,217;7,531,679;7,713,346;7,786,320;7,887,883和7,910,765描述了包含至少一种完全被烷基氨基和/或二烷基氨基官能团取代的乙硅烷衍生物的硅烷前体。除了以上所述,本领域已经报告了一些单二烷基氨基乙硅烷,例如二甲基氨基乙硅烷(CAS#14396-26-0P)、二乙基氨基乙硅烷(CAS#132905-0-5)和二异丙基氨基乙硅烷(CAS#151625-25-1)。
发明简述
本文描述了具有Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体、包含该前体的组合物和将其用于在衬底的至少一部分上形成包含硅的薄膜的方法,所述薄膜诸如但不限于无定形硅、晶体硅、氧化硅、碳掺杂的氧化硅、氮化硅、氮氧化硅、碳化硅、碳氮化硅薄膜及其组合。此外,本文描述了包含本文所述的有机氨基乙硅烷的组合物,其中所述有机氨基乙硅烷基本上不含选自胺、卤化物、较高分子量物质和痕量金属中的至少一种。在这些或其他实施方式中,所述组合物可以进一步包含溶剂。本文还公开了在待加工的物体(例如,举例来说,半导体晶片)上形成含硅的薄膜或涂层的方法。在本文所述方法的一个实施方式中,包含硅和氧的薄膜在于衬底上生成氧化硅、碳掺杂的氧化硅薄膜的条件下,在沉积室中使用有机氨基乙硅烷前体和含氧源而沉积到衬底上。在本文所述方法的另一个实施方式中,在于衬底上生成氮化硅薄膜的条件下,包含硅和氮的薄膜在沉积室中使用有机氨基乙硅烷前体和含氮前体而沉积到衬底上。在进一步的实施方式中,本文所述的有机氨基乙硅烷前体也可以用作含金属薄膜(例如,但不限于,金属氧化物薄膜或金属氮化物薄膜)的掺杂剂。在本文描述的组合物和方法中,使用具有本文所描述的通式的有机氨基乙硅烷作为至少含硅前体之一。
一个方面,本文描述的有机氨基乙硅烷前体包含至少一种包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体,由下式I表示:
Figure BDA0000939951740000051
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或取代或未取代的脂族环的环;且n=1或2。在式I的某些实施方式中,R1和R2连接在一起以形成环。在一个具体的实施方式中,R1和R2选自直链或支链C3-C6烷基且连接以形成环状环。在式I的可选实施方式中,R1和R2不连接在一起形成环。在式I的某些实施方式中,R1和R2相同,条件是它们不能都是异丙基。在其他实施方式中,R1和R2不同。
另一个方面,提供了一种组合物,其包含:(a)至少一种包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体,由下式I表示:
Figure BDA0000939951740000052
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或取代或未取代的脂族环的环;且n=1或2;和(b)溶剂。在本文所述的组合物的某些实施方式中,示例性的溶剂可以包括,但不限于,醚、叔胺、烷烃、芳烃、叔氨基醚及其组合。在某些实施方式中,有机氨基乙硅烷的沸点和溶剂的沸点之间的差异是40℃或更小。
另一个方面,提供了一种在衬底的至少一个表面上形成含硅薄膜的方法,其包括:
在反应室中提供该衬底的至少一个表面;和通过选自化学气相沉积工艺和原子层沉积工艺的沉积工艺,在所述的至少一个表面上采用至少一种由下式I表示的包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体形成含硅薄膜:
Figure BDA0000939951740000061
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或取代或未取代的脂族环的环;且n=1或2。在某些实施方式中,R1和R2相同。在其他实施方式中,R1和R2不同。在上述或其他实施方式中,R1和R2可以连接在一起以形成环。在进一步的实施方式中,R1和R2不连接在一起形成环。
另一个方面,提供了通过原子层沉积工艺或ALD样工艺形成氧化硅、碳掺杂的氧化硅薄膜的方法,该方法包括以下步骤:
a.在反应器中提供衬底;
b.向反应器中引入至少一种由下式I表示的包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体:
Figure BDA0000939951740000071
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或取代或未取代的脂族环的环;且n=1或2;
c.用吹扫气体吹扫反应器;
d.向反应器中引入含氧源;
e.用吹扫气体吹扫反应器;其中重复步骤b至e直到获得期望的薄膜厚度。在某些实施方式中,R1和R2相同。在其他实施方式中,R1和R2不同。在上述或其他实施方式中,R1和R2可以连接在一起以形成环。在再进一步的实施方式中,R1和R2不连接在一起形成环。
在进一步的方面中,提供了使用CVD工艺形成选自氧化硅薄膜和碳掺杂的氧化硅薄膜的薄膜到衬底的至少一个表面上的方法,包括:
a.在反应器中提供衬底;
b.向反应器中引入至少一种由下式I表示的包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体:
Figure BDA0000939951740000072
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或取代或未取代的脂族环的环;且n=1或2;和
c.提供含氧源以在该至少一个表面上沉积所述薄膜。在该方法的某些实施方式中,R1和R2相同。在其他实施方式中,R1和R2不同。在上述或其他实施方式中,R1和R2可以连接在一起以形成环。在再进一步的实施方式中,R1和R2不连接在一起形成环。
另一个方面,提供了通过原子层沉积工艺形成氮化硅薄膜的方法,该方法包括以下步骤:
a.在反应器中提供衬底;
b.向反应器中引入至少一种由下式I表示的包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体:
Figure BDA0000939951740000081
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;且n=1或2;
c.用吹扫气体吹扫反应器;
d.向反应器中引入含氮源;
e.用吹扫气体吹扫反应器;和其中重复步骤b至e直到获得期望的氮化硅薄膜的厚度。在某些实施方式中,式I中的R1和R2相同。在其他实施方式中,R1和R2不同。在上述或其他实施方式中,R1和R2可以连接在一起以形成环。在进一步的实施方式中,R1和R2不连接在一起形成环。
在进一步的方面中,提供了使用CVD工艺形成氮化硅薄膜到衬底的至少一个表面上的方法,包括:
a.在反应器中提供衬底;
b.向反应器中引入至少一种由下式I表示的包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体:
Figure BDA0000939951740000091
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;且n=1或2;
c.提供含氮源,其中所述至少一种有机氨基乙硅烷前体与含氮源反应以沉积薄膜到该至少一个表面上。在某些实施方式中,R1和R2相同。在其他实施方式中,R1和R2不同。在上述或其他实施方式中,R1和R2可以连接在一起以形成环。在再进一步的实施方式中,R1和R2不连接在一起形成环。
在本文公开的方法的进一步实施方式中,所述方法是沉积无定形或晶体硅薄膜。在该实施方式中,所述方法包括:
将一个或多个衬底置于被加热到从环境温度到大约700℃的一个或多个温度的反应器中;
引入至少一种由下式I表示的包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体:
Figure BDA0000939951740000101
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;且n=1或2;和
向反应器中提供还原剂以便至少部分地与该至少一种有机氨基乙硅烷前体反应和沉积含硅薄膜到该一个或多个衬底上。所述还原剂选自氢、氢等离子体或氯化氢。在CVD方法的某些实施方式中,在引入步骤期间,所述反应器保持在10mTorr-760Torr的压力下。上述步骤定义了本文描述的方法的一个循环,且可以重复该步骤循环直到获得期望的薄膜厚度。在某些实施方式中,R1和R2相同。在其他实施方式中,R1和R2不同。在上述或其他实施方式中,R1和R2可以连接在一起以形成环。在再进一步的实施方式中,R1和R2不连接在一起形成环。
另一个方面,提供了通过原子层沉积或循环化学气相沉积工艺沉积无定形或晶体硅薄膜的方法,所述方法包括步骤:
a.在反应器中提供衬底;
b.向反应器中引入至少一种由下式I表示的包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体,:
Figure BDA0000939951740000111
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;且n=1或2;其中重复步骤b直到获得期望的薄膜厚度。在某些实施方式中,所述薄膜厚度可以是
Figure BDA0000939951740000112
或更厚、或
Figure BDA0000939951740000113
Figure BDA0000939951740000114
Figure BDA0000939951740000115
另一个方面,本文描述了包含一种或多种具有式I的有机氨基乙硅烷前体的用于沉积含硅薄膜的容器。在一个具体的实施方式中,所述容器包含至少一种配备有适当的阀和配件的可加压容器(优选不锈钢的容器),以允许将一种或多种前体输送至用于CVD或ALD工艺的反应器。
附图简要说明
图1显示二异丙基氨基乙硅烷的TGA/DSC图,其中TGA表明所述化合物是挥发性的和DSC显示其沸点为约157℃。
图2显示工作实施例3所描述的采用PMADS沉积在硼硅酸盐玻璃上的无定形Si薄膜的拉曼谱图。
图3显示在300℃温度下采用DIPADS和臭氧形成氧化硅薄膜的沉积速率和DIPADS脉冲时间之间的关系。
图4显示在300℃温度下采用DIPADS和臭氧形成氧化硅薄膜的厚度和循环次数之间的关系。
发明详述
本文描述的有机氨基乙硅烷用作形成化学计量的和非化学计量的含硅薄膜(例如,但不限于,无定形硅、晶体硅、氧化硅、氧碳化硅、氮化硅、氧氮化硅和氧碳氮化硅薄膜)的前体。这些前体也可以用作例如含金属薄膜的掺杂剂。用于半导体工艺中的有机氨基乙硅烷前体通常是高纯度的挥发性液体前体化学物质,其作为气体蒸发和输送到沉积室或反应器以通过用于半导体器件的CVD或ALD工艺沉积含硅薄膜。用于沉积的前体材料的选择取决于希望得到的含硅材料或薄膜。例如,前体材料可以针对其化学元素的含量、其化学元素的化学计量比和/或在CVD下形成的最终含硅薄膜或涂层而进行选择。前体材料也可以针对各种其它特征如成本、相对低的毒性、操作性能、在室温下保持液相的能力、挥发性、分子量和/或其它因素而进行选择。在某些实施方式中,本文所述的前体可以通过多种方式输送到反应器系统,优选使用配备有适当的阀和配件的可加压不锈钢容器,以允许将液相前体输送至沉积室或反应器。
本文所述的有机氨基乙硅烷前体表现出反应性和稳定性的平衡,这使得它们理想地适合在微电子设备制造工艺中用作CVD或ALD前体。关于反应性,某些前体可能具有过高的沸点而不能被蒸发和输送到反应器以在衬底上沉积为薄膜。具有较高相对沸点的前体要求输送容器和管线在给定的真空下被加热至前体的沸点或以上以防止在容器、管线或两者中冷凝或形成颗粒。关于稳定性,其它前体可能随着其降解而形成硅烷(SiH4)或乙硅烷(Si2H6)。硅烷在室温下是易燃的或它可以自发燃烧,这产生安全性和操作的问题。另外,硅烷或乙硅烷和其它副产物的形成降低了前体的纯度水平,而对于可靠的半导体制造来说,小至1-2%的化学纯度的变化都可能被认为是不可接受的。在某些实施方式中,具有本文所述式I的有机氨基乙硅烷前体在储存6个月或更长或者一年或更长的时间后包含2重量%或更低或者1重量%或更低或者0.5重量%更低的副产物(如相应的双乙硅烷副产物),这是稳定储存的指示。除了前述的优势外,在例如使用ALD、ALD样、PEALD或CCVD沉积方法沉积氧化硅或氮化硅或硅薄膜的某些实施方式中,本文所述的有机氨基乙硅烷前体可能能够在相对低的沉积温度(例如,500℃或更低,或者400℃或更低,300℃或更低,200℃或更低,100℃或更低,或者50℃或更低)下沉积高密度材料。在一个具体的实施方式中,所述有机氨基乙硅烷前体,例如二异丙基氨基乙硅烷或二仲丁基氨基乙硅烷或2,6-二甲基哌啶子基乙硅烷可用于在低至50℃或更低或在环境温度或室温(例如25℃下)的温度下通过ALD或PEALD沉积含硅薄膜。
在一个实施方式中,本文描述的是用于形成含硅薄膜的组合物,其包含:具有本文所述的式I的有机氨基乙硅烷和溶剂。不被任何理论束缚,据信本文描述的组合物可以提供一种或多种与纯有机氨基乙硅烷相比的优点。这些优点包括:有机氨基乙硅烷在半导体工艺中更好的应用、长期储存中的较好稳定性、通过闪蒸的更清洁的蒸发和/或总体更稳定的直接液体喷射(DLI)化学气相沉积过程。所述组合物中有机氨基乙硅烷的重量百分比可以为1-99%,其余量为溶剂,其中所述溶剂不与有机氨基乙硅烷反应,且具有与有机氨基乙硅烷类似的沸点。对于后者,所述组合物中有机氨基乙硅烷和溶剂的沸点之间的差异为40℃或更小、更优选20℃或更小或者10℃或更小。示例性的组合物包括但不限于:二异丙基氨基乙硅烷(沸点为约157℃)和辛烷(沸点为125-126℃)的混合物、二异丙基氨基乙硅烷(沸点为约157℃)和乙基环己烷(沸点为130-132℃)的混合物、二异丙基氨基乙硅烷(沸点为约157℃)和甲苯(沸点为115℃)的混合物、二仲丁基氨基乙硅烷和癸烷(沸点为174℃)的混合物、二仲丁基氨基乙硅烷和癸烷的混合物及二仲丁基氨基乙硅烷和2,2’-氧基双(N,N-二甲基乙胺)(沸点为189℃)的混合物。
一个方面,提供了至少一种由下式I表示的包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体:
Figure BDA0000939951740000141
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;且n=1或2。在其中n=2的进一步的实施方式中,R1和R1、R1和R2、或者R2和R2中的任何一种或全部可以连接以形成环。在式I的某些实施方式中,R1和R2相同,条件是它们不能都是异丙基。在其他实施方式中,R1和R2不同。在一个实施方式中,R1和R2选自直链或支链C3-C6烷基且连接以形成环状环。在再进一步的实施方式中,R1和R2不连接在一起以形成环。
对于其中n=1的式I的有机氨基乙硅烷前体,所述有机氨基乙硅烷前体具有下式IA,其中R1和R2如本文所述并任选地连接以形成环结构。
Figure BDA0000939951740000142
对于其中n=2的式I的有机氨基乙硅烷前体,所述有机氨基乙硅烷前体具有下式IB,其中R’等同于以上所限定的R2,且R1和R2如本文所述并任选地连接以形成环结构:
Figure BDA0000939951740000151
在通式以及整个说明书中,术语“烷基”表示具有1-10或1-6个碳原子的直链或支链官能团。示例性的烷基包括,但不限于,甲基、乙基、丙基、异丙基、丁基、异丁基、仲丁基、叔丁基、戊基、异戊基、叔戊基、己基、异己基和新己基。在某些实施方式中,烷基可以具有一个或多个与其连接的官能团,例如,但不限于,烷氧基、二烷基氨基或其组合。在其它实施方式中,烷基不具有一个或多个与其连接的官能团。
在通式以及整个说明书中,术语“环烷基”表示具有3-10或4-10个碳原子或5-10个碳原子的环状官能团。示例性的环烷基包括,但不限于,环丁基、环戊基、环己基和环辛基。
在通式以及整个说明书中,术语“芳基”表示具有5-12个碳原子或6-10个碳原子的芳族环状官能团。示例性的芳基包括,但不限于,苯基、苄基、氯代苄基、甲苯基和邻二甲苯基。
在通式以及整个说明书中,术语“烯基”表示具有一个或多个碳-碳双键并具有3-10或3-6或3-4个碳原子的基团。
在通式以及整个说明书中,术语“炔基”表示具有一个或多个碳-碳三键并具有3-10或3-6或3-4个碳原子的基团。
在通式以及整个说明书中,术语“烷氧基”表示连接至氧原子且可以具有1-10或1-6或1-4个碳原子的烷基(例如,R-O)。示例性的烷氧基包括,但不限于,甲氧基(-OCH3)、乙氧基(-OCH2CH3)、正丙氧基(-OCH2CH2CH3)和异丙氧基(-OCHMe2)。
在通式以及整个说明书中,术语“二烷基氨基”表示具有两个连接至氮原子的烷基并具有1-10或2-6或2-4个碳原子的基团。
本文所述的“吸电子基团”描述了起到吸引电子离开Si-N键的作用的原子或其基团。适当的吸电子基团或取代基的实例包括,但不限于,腈(CN)。在某些实施方式中,吸电子取代基可以在式I的任何一个中邻接或接近N。吸电子基团的进一步的非限制性实例包括F、Cl、Br、I、CN、NO2、RSO和/或RSO2,其中R可以是C1-C10烷基,例如但不限于甲基或另一基团。
在某些实施方式中,式I中的烷基、烯基、炔基、烷氧基、二烷基氨基、芳基和/或吸电子基团中的一个或多个可以被取代或者以一个或多个原子或原子团替代例如氢原子。示例性的取代基包括,但不限于,氧、硫、卤素原子(例如,F、Cl、I或Br)、氮和磷。在其它实施方式中,式I中的烷基、烯基、炔基、烷氧基、二烷基氨基、芳基和/或吸电子基团中的一个或多个可以是未取代的。
在某些实施方式中,R1选自C1-C10烷基,优选支链C3-C6烷基,例如异丙基、仲丁基、叔丁基、叔戊基(戊基)而R2是氢且n=2。示例性的这些具体实施方式包括但不限于:
Figure BDA0000939951740000161
在某些实施方式中,R1和R2在式I中连接以形成环结构。在这些实施方式中,R2不是氢。例如,在R1和R2连接在一起以形成环的实施方式中,R2可以包括用于连接R1的键(而不是氢取代基)。因此,在这一具体的实施方式中,R2可以选自,例如C1-C10烷基部分、C3-C10烯基部分或直链或支链C3-C10炔基部分。在这些或其他实施方式中,该环结构可以是饱和的,例如环烷基环,或不饱和的,例如芳基环。此外,在这些或其他实施方式中,该环结构也可以是取代的或未取代的。在一个具体的实施方式中,所述有机氨基乙硅烷包含脂族的取代环,例如具有5-10个碳原子和至少一个氮原子的杂原子环状官能团。这些具体实施方式的示例包括但不限于,1,2-双(吡咯烷基)乙硅烷(其中R1=丙基和R2=Me)、1,2-双(哌啶子基)乙硅烷(其中R1=丙烷和R2=Et)、2,6-二甲基哌啶子基乙硅烷(其中R1=异丙基和R2=仲丁基)和2,5-二甲基吡咯烷基乙硅烷(其中R1=R2=异丙基)。
在式I的某些实施方式中,R1选自C3-C10烷基,优选支链C3-C6烷基,例如异丙基、仲丁基、叔丁基、叔戊基(戊基)而R2选自C1-C10烷基,优选C1-C6烷基,且n=2。示例性的这些具体实施方式包括,但不限于:
Figure BDA0000939951740000171
在式I的一个具体实施方式中,n=2且R1和R1连接以形成脂族环(其可进一步被取代或未取代)。式I的这些实施方式的示例性结构显示如下:
Figure BDA0000939951740000172
在式I的另一个具体的实施方式中,n=2且R1和R2连接以形成脂族环(其可进一步被取代或未取代)。式I的这些实施方式的示例性结构显示如下:
Figure BDA0000939951740000173
在其他实施方式中,R1和R2在式I中不连接。
在某些实施方式中,具有式I的至少一种有机氨基乙硅烷前体具有包含氧或氮原子的一个或多个取代基。在这一或其他实施方式中,取代基R1和R2通过式I中的氧或氮原子连接以形成环结构。
不被理论束缚,据信有机氨基乙硅烷前体例如包含Si-N键、Si-Si键和SiH3基团的具有本文描述的式I的那些有机氨基乙硅烷比仅包含Si-N键和Si-Si键或仅包含Si-Cl键和Si-Si键的已知有机氨基乙硅烷前体更有利。关于这一点,据信具有四或五个Si-H基团、一个-Si-N键和一个Si-Si键的本文所述有机氨基乙硅烷使其比其他有机氨基乙硅烷前体更具反应性,从而允许沉积温度低于其他已知的有机氨基乙硅烷例如六氯乙硅烷。据信本文描述的式I前体的独特结构允许400℃或更低,300℃或更低,200℃或更低,100℃或更低,或者25℃的沉积温度。
在某些实施方式中,具有式I的有机氨基乙硅烷可通过在有机溶剂或溶剂混合物中使单氯代乙硅烷(MCDS)或单溴乙硅烷(MBDS)或较低分子量的二烷基氨基乙硅烷例如二异丙基氨基乙硅烷或二仲丁基氨基乙硅烷与具有下式II的胺反应来制备。
Figure BDA0000939951740000181
在式II中,R1和R2与式I中所述的取代基相同。下面的反应式(1)提供了可以用于制备本文描述的具有式I的有机氨基乙硅烷的反应方案或合成路径的非限制性实例。反应式(1)中的反应可以在有(例如,在其存在)或没有(例如,在其不存在)有机溶剂的情况下进行。在其中使用有机溶剂的实施方式中,适合的有机溶剂的实施包括,但不限于烃例如己烷、辛烷、甲苯和醚如二乙醚和四氢呋喃(THF)。在这些或其他实施方式中,反应温度在从约-70℃至所采用溶剂(如果使用溶剂的话)的沸点的范围。所得的有机氨基乙硅烷可以进行纯化,例如,在去除所有的副产物以及任何溶剂(如果存在的话)后通过真空蒸馏进行纯化。
Figure BDA0000939951740000191
上面的反应式(1)是制备本文描述的具有式I的有机氨基乙硅烷的一个合成路径,其包括单卤代乙硅烷(XSiH2SiH3,其中X=Cl、Br、I)与式II中表示的仲胺之间的反应。也可以采用其他的合成路径来制备现有技术中公开的这些有机氨基乙硅烷,例如,用金属氢化物还原单氨基氯代乙硅烷或歧化单氨基氯代乙硅烷或使乙硅烷与仲胺在催化剂存在下反应。
用于形成含硅薄膜或涂层的方法是沉积工艺。用于本文公开的方法的合适沉积工艺的例子包括,但不限于,循环CVD(CCVD)、MOCVD(金属有机CVD)、热化学气相沉积、等离子体增强化学气相沉积(“PECVD”)、高密度PECVD、光子辅助CVD、等离子体-光子辅助的增强化学气相沉积(“PPECVD”)、低温化学气相沉积、化学物质辅助的气相沉积、热丝化学气相沉积、液体聚合物前体的CVD、超临界流体的沉积和低能量CVD(LECVD)。在某些实施方式中,含金属的薄膜通过原子层沉积(ALD)、等离子体增强ALD(PEALD)或等离子体增强循环CVD(PECCVD)工艺沉积。如本文所用,术语“化学气相沉积工艺”指其中衬底暴露于一种或多种与衬底表面反应或在衬底表面上分解以产生希望的沉积的挥发性前体的任何工艺。如本文所用,术语“原子层沉积工艺”是指将材料的薄膜沉积到具有不同组成的衬底上的自限式(self-limiting)(例如,在各反应循环中沉积的薄膜材料的量是恒定的)顺序表面化学作用。虽然本文中使用的前体、试剂和源有时可以描述为“气态的”,但应理解该前体可以是通过直接蒸发、鼓泡或升华在利用或不利用惰性气体的条件下转运到反应器中的液体或固体。在一些情况中,蒸发的前体可以经过等离子体发生器。在一个实施方式中,使用ALD工艺沉积含硅薄膜。在另一实施方式中,使用CCVD工艺沉积含硅薄膜。在进一步的实施方式中,使用热CVD工艺沉积含硅薄膜。本文使用的术语“反应器”包括,但不限于反应室或沉积室。
在某些实施方式中,本文公开的方法通过使用在引入反应器之前和/或过程中分隔前体的ALD或CCVD方法避免了前体的预反应。在这一方面,如ALD或CCVD工艺的沉积技术用于沉积含硅薄膜。在一个实施方式中,通过将衬底表面交替地暴露于一种或多种含硅前体、含氧源、含氮源或其它前体或试剂而经由ALD工艺沉积薄膜。薄膜生长通过表面反应的自限式控制、各前体或试剂的脉冲长度和沉积温度进行。但是,一旦衬底表面饱和,薄膜生长停止。
在某些实施方式中,本文描述的方法进一步包括除了具有上述式I的有机氨基乙硅烷前体之外的一种或多种另外的含硅前体。另外的含硅前体的例子包括,但不限于,单氨基硅烷类(例如,二-异丙基氨基硅烷、二-仲丁基氨基硅烷、苯基甲基氨基硅烷)、有机硅化合物如三甲硅烷基胺(TSA)、单氨基硅烷类(例如,二-异丙基氨基硅烷、二-仲丁基氨基硅烷、苯基甲基氨基硅烷)、硅氧烷类(例如,六甲基二硅氧烷(HMDSO)和二甲基硅氧烷(DMSO))、有机硅烷类(例如,甲基硅烷、二甲基硅烷、二乙基硅烷、乙烯基三甲基硅烷、三甲基硅烷、四甲基硅烷、乙基硅烷、二甲硅烷基甲烷、2,4-二硅杂戊烷、1,4-二硅杂丁烷、2,5-二硅杂己烷、2,2-二甲硅烷基丙烷、1,3,5-三硅杂环己烷和这些化合物的氟代衍生物)、含苯基的有机硅化合物(例如,二甲基苯基硅烷和二苯基甲基硅烷)、含氧有机硅化合物,例如二甲基二甲氧基硅烷、1,3,5,7-四甲基环四硅氧烷、1,1,3,3-四甲基二硅氧烷、1,3,5,7-四硅杂-4-氧代-庚烷、2,4,6,8-四硅杂-3,7-二氧代-壬烷、2,2-二甲基-2,4,6,8-四硅杂-3,7-二氧代-壬烷、八甲基环四硅氧烷、[1,3,5,7,9]-五甲基环五硅氧烷、1,3,5,7-四硅杂-2,6-二氧代-环辛烷、六甲基环三硅氧烷、1,3-二甲基二硅氧烷、1,3,5,7,9-五甲基环五硅氧烷、六甲氧基二硅氧烷和这些化合物的氟代衍生物。
取决于沉积方法,在某些实施方式中,一种或多种含硅前体可以以预定的摩尔量或大约0.1-大约1000微摩尔引入反应器中。在这一实施方式或其它实施方式中,含硅和/或有机氨基乙硅烷前体可以以预定的时间长度引入反应器中。在某些实施方式中,该时间长度为大约0.001-大约500秒。
在某些实施方式中,在氧存在下采用含氧源、含氧试剂或前体来形成使用本文描述的方法沉积的含硅薄膜。含氧源可以以至少一种含氧源的形式引入反应器中和/或可以附带地存在于用于沉积工艺中的其它前体中。合适的含氧源气体可以包括,例如,水(H2O)(例如,去离子水、纯化水和/或蒸馏水)、氧(O2)、氧等离子体、臭氧(O3)、NO、N2O、NO2、一氧化碳(CO)、二氧化碳(CO2)及其组合。在某些实施方式中,含氧源包含以大约1-大约2000标准立方厘米/分钟(square cubic centimeter)(sccm)或大约1-大约1000sccm的流速引入反应器中的含氧源气体。含氧源可以引入大约0.1-大约100秒的时间。在一个特别的实施方式中,含氧源包含具有10℃或更高的温度的水。在其中薄膜通过ALD或循环CVD工艺沉积的实施方式中,前体脉冲可以具有大于0.01秒的脉冲持续时间,且含氧源可以具有小于0.01秒的脉冲持续时间,而水的脉冲持续时间可以具有小于0.01秒的脉冲持续时间。在再另一实施方式中,脉冲之间的吹扫持续时间可以低至0秒或者连续地进行脉冲而没有脉冲之间的吹扫。所述含氧源或试剂以相对于硅前体低于1:1比率的摩尔量来提供,这样至少一些碳保持在如此沉积的含硅薄膜中。
在某些实施方式中,含硅薄膜包含硅和氮。在这些实施方式中,使用本文描述的方法沉积的含硅薄膜在含氮源的存在下形成。含氮源可以以至少一种含氮源的形式引入反应器中和/或可以附带地存在于用于沉积工艺中的其它前体中。合适的含氮源气体可以包括,例如,氨、肼、单烷基肼、二烷基肼、氮、氮/氢、氨等离子体、氮等离子体、氮/氢等离子体及其混合物。在某些实施方式中,含氮源包含以大约1至大约2000标准立方厘米/分钟(sccm)或大约1至大约1000sccm的流速引入反应器中的氨等离子体或氢/氮等离子体源气体。含氮源可以引入大约0.1至大约100秒的时间。在其中薄膜通过ALD或循环CVD工艺沉积的实施方式中,前体脉冲可以具有大于0.01秒的脉冲持续时间,且含氮源可以具有小于0.01秒的脉冲持续时间,而水的脉冲持续时间可以具有小于0.01秒的脉冲持续时间。在再另一实施方式中,脉冲之间的吹扫持续时间可以低至0秒或连续地进行脉冲而没有脉冲之间的吹扫。
本文公开的沉积方法可以包括一种或多种吹扫气体。用于吹扫掉未消耗的反应物和/或反应副产物的吹扫气体是不与前体发生反应的惰性气体。示例性的吹扫气体包括,但不限于,氩(Ar)、氮(N2)、氦(He)、氖、氢(H2)及其混合物。在某些实施方式中,吹扫气体如Ar以大约10至大约2000sccm的流速供应到反应器中大约0.1-1000秒,从而吹扫可能残留在反应器中的未反应物质和任何副产物。
供应前体、含氧源、含氮源和/或其它前体、源气体和/或试剂的相应步骤可以通过改变供应这些物质的时间以改变所获得的含硅薄膜的化学计量组成来进行。
能量供应给前体、含氮源、还原剂、其它前体或其组合中的至少一种以诱导反应和在衬底上形成含硅薄膜或涂层。这种能量可以通过(但不限于)热、等离子体、脉冲等离子体、螺旋波等离子体(helicon plasma)、高密度等离子体、电感耦合等离子体、X射线、电子束、光子、远程等离子体方法及其组合提供。在某些实施方式中,第二RF射频源可以用于改变衬底表面处的等离子体特性。在其中沉积涉及到等离子体的实施方式中,等离子体发生过程可以包括直接等离子体发生过程(其中等离子体在反应器中直接发生)或可选地远程等离子体发生过程(其中等离子体在反应器外发生并供应到反应器中)。
有机氨基乙硅烷前体和/或其它含硅前体可以以多种方式输送到反应室如CVD或ALD反应器。在一个实施方式中,可以利用液体输送系统。在替代的实施方式中,可以采用综合的液体输送和闪蒸处理单元,例如,举例来说,由Shoreview,MN的MSP Corporation制造的涡轮蒸发器(turbo vaporizer),以使得低挥发性的物质能够定量地输送,这导致可重现的输送和沉积而没有前体的热分解。在液体输送方式中,本文描述的前体可以以纯液体形式输送,或者可选择地,可以以包含前体的溶剂制剂或组合物形式使用。因此,在某些实施方式中,当可能在给定的终端应用中希望和有利时,前体制剂可以包括具有适当特性的溶剂成分以在衬底上形成薄膜。
对于其中具有式I的前体用于包含溶剂和具有本文描述的式I的有机氨基乙硅烷前体的组合物的那些实施方式,所选择的溶剂或其混合物不与有机氨基乙硅烷反应。组合物中溶剂以重量百分比计的量的范围是从0.5重量%到99.5重量%或者从10重量%到75重量%。在这一或其他实施方式中,所述的溶剂具有和式I的有机氨基乙硅烷的沸点(b.p.)相似的沸点或者溶剂的沸点与式I的有机氨基乙硅烷的沸点之间的差异是40℃或更小、30℃或更小、20℃或更小或者10℃。或者,沸点之间的差异范围具有下面任意一个或多个端点:0、10、20、30或40℃。沸点差异的合适范围的实例包括,但不限于0-40℃、20-30℃或10-30℃。组合物中合适的溶剂的实例包括,但不限于:醚(例如1,4-二氧杂环己烷、二丁基醚)、叔胺(例如吡啶、1-甲基哌啶、1-乙基哌啶、N,N’-二甲基哌嗪、N,N,N’,N’-四甲基乙二胺)、腈(例如苯基腈)、烷烃(如辛烷、壬烷、十二烷、乙基环己烷)、芳族烃(例如甲苯、均三甲苯)、叔氨基醚(例如双(2-二甲基氨基乙基)醚)或者它们的混合物。一些非限制性的示例组合物包括,但不限于:包含二异丙基氨基乙硅烷(沸点约157℃)和辛烷(沸点125-126℃)的组合物、包含二异丙基氨基乙硅烷(沸点约157℃)和乙基环己烷(沸点130-132℃)的组合物、包含二异丙基氨基乙硅烷(沸点约157℃)和甲苯(沸点115℃)的组合物、包含二仲丁基氨基乙硅烷和癸烷(沸点174℃)的组合物、包含二仲丁基氨基乙硅烷和2,2’-氧基双(N,N-二甲基乙胺)(沸点189℃)的组合物。
在另一实施方式中,本文描述了用于沉积含硅薄膜的容器,其包含一种或多种具有式I的有机氨基乙硅烷前体。在一个特别的实施方式中,容器包含至少一个配备有适当的阀和配件的可加压容器(优选由不锈钢制成),以允许一种或多种前体输送到用于CVD或ALD工艺的反应器。在这一实施方式或其它实施方式中,具有式I的有机氨基乙硅烷前体在由不锈钢构成的可加压容器中提供,且前体的纯度为98%重量或更高或者99.5%或更高,这适合于大多数的半导体应用。在某些实施方式中,这类容器也可以具有用于混合所述前体和一种或多种另外的前体(如果需要)的装置。在这些实施方式或其它实施方式中,容器的内容物可以与另外的前体预混合。可选择地,有机氨基乙硅烷前体和/或其它前体可以保持在独立的容器中或在具有用于在储存期间保持有机氨基乙硅烷前体和其它前体隔离的分隔装置的单一容器中。
在本文描述的方法的一个实施方式中,可以采用循环沉积工艺如CCVD、ALD或PEALD,其中采用选自具有本文所描述的式的有机氨基乙硅烷前体的至少一种含硅前体和任选地采用含氮源(例如,举例来说,氨、肼、单烷基肼、二烷基肼、氮、氮/氢、氨等离子体、氮等离子体、氮/氢等离子体)。
在某些实施方式中,连接前体罐到反应室的气体管线根据工艺要求加热到一个或多个温度,且具有本文描述的式I的有机氨基乙硅烷前体的容器保持在一个或多个温度下以进行鼓泡。在其它实施方式中,将包含至少一种具有本文描述的式的含硅前体的溶液注入到保持在一个或多个温度下的蒸发器中用于直接液体注射。
氩和/或其它气体的气流可以用作载气以在前体脉冲期间帮助输送该至少一种有机氨基乙硅烷前体的蒸气到反应室。在某些实施方式中,反应室工艺压力为约1托。
在典型的ALD或CCVD工艺中,衬底(例如但不限于氧化硅、碳掺杂的氧化硅、柔性衬底或金属氮化物衬底)在反应室中的加热台上加热,所述反应室初始暴露于含硅前体以使得有机氨基乙硅烷化学吸附到衬底表面上。吹扫气体(如氮气、氩气或其他惰性气体)从处理室吹扫掉未吸附的过量有机氨基乙硅烷。在充分吹扫后,含氧源可以被引入反应室中以与吸附的表面反应,随后进行另一气体吹扫以从该室除去反应副产物。处理循环可以重复以获得希望的薄膜厚度。在其他实施方式中,可以使用真空下的抽吸以从处理室除去未吸附的过量有机氨基乙硅烷,在抽吸下充分排空后,含氧源可以被引入反应室中以与吸附的表面反应,随后进行另一个抽吸吹扫(pumping down purge)以从该室除去反应副产物。在再另一实施方式中,有机氨基乙硅烷和含氧源可以共流入到反应室中以在衬底表面反应而沉积氧化硅、碳掺杂的氧化硅。在循环CVD的某一实施方式中,不使用吹扫步骤。
在这一实施方式或其它实施方式中,可以理解,本文描述的方法的步骤可以以多种顺序进行,可以顺序地或同时地(例如,在另一步骤的至少一部分时间内)进行,和以上述方式的任何组合方式进行。供应前体和含氮源气体的相应步骤可以通过改变供应这些物质的时间长度来进行,以改变所产生的含硅薄膜的化学计量组成。
在本文公开的方法的另一种实施方式中,使用ALD、PEALD、CCVD或PECCVD沉积方法形成包含硅和氮的薄膜,所述方法包括以下步骤:
a.在ALD反应器中提供衬底;
b.向ALD反应器中引入至少一种由下式I表示的包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体:
Figure BDA0000939951740000251
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;且n=1或2;
c.将所述至少一种有机氨基乙硅烷前体化学吸附到所述衬底上;
d.使用吹扫气体吹扫掉未反应的所述至少一种有机氨基乙硅烷前体;
e.将含氮源提供至所述加热的衬底上的有机氨基乙硅烷前体,以与所述所吸附的至少一种有机氨基乙硅烷前体反应;和
f.任选地吹扫或吹扫掉任何未反应的含氮源。
另一个方面,提供了通过PEALD或PECCVD沉积工艺形成选自氧化硅和碳掺杂的氧化硅的薄膜的方法,所述方法包括以下步骤:
a.在反应器中提供衬底;
b.向反应器中引入氧以及至少一种由下式I表示的包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体:
Figure BDA0000939951740000261
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;且n=1或2;
c.用吹扫气体与氧一起吹扫反应器;
d.施加RF等离子体;
e.用吹扫气体吹扫所述反应器或抽吸所述反应器,以移除未反应有机氨基乙硅烷和任何副产物;并且其中重复步骤b至e直到获得期望的薄膜厚度。
在本文公开的方法的另一种实施方式中,使用ALD沉积方法形成含硅薄膜,所述方法包括以下步骤:
a.在反应器中提供衬底;
b.向反应器中引入至少一种由下式I表示的包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体:
Figure BDA0000939951740000271
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;且n=1或2;
c.将所述至少一种有机氨基乙硅烷前体化学吸附到衬底上;
d.使用吹扫气体吹扫掉未反应的所述至少一种有机氨基乙硅烷前体;
e.将含氧源提供至所述加热的衬底上的有机氨基乙硅烷前体,以与所吸附的至少一种有机氨基乙硅烷前体反应;和
f.任选地吹扫或吹扫掉任何未反应的含氧源。
另一个方面,提供了通过PEALD或PECCVD工艺形成氮化硅和碳氮化硅薄膜的方法,所述方法包括以下步骤:
a.在反应器中提供衬底;
b.向反应器中引入含氮源和至少一种由下式I表示的包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体:
Figure BDA0000939951740000281
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;且n=1或2;
c.用吹扫气体和含氮源一起吹扫反应器;
d.施加RF等离子体;和
e.用吹扫气体吹扫所述反应器或抽吸所述反应器,以移除未反应的有机氨基乙硅烷和任何副产物;并且其中重复步骤b至e直到获得期望的薄膜厚度。
上述步骤限定了用于本文描述的方法的一个循环,且该循环可以重复直到获得期望厚度的含硅薄膜。在这一实施方式或其它实施方式中,可以理解,本文描述的方法的步骤可以以多种顺序进行,可以顺序地或同时地(例如,在另一步骤的至少一部分时间内)进行,和以其任何组合方式进行。供应前体和含氧源的相应步骤可以通过改变供应这些物质的时间长度来进行,以改变所产生的含硅薄膜的化学计量组成,虽然总是以低于相对于可用的硅的化学计量的量使用氧。
对于多成分含硅薄膜,其它前体如含硅前体、含氮前体、还原剂或其它试剂可以交替地引入反应室中。
在本文描述的方法的进一步实施方式中,使用热CVD法沉积含硅薄膜,在该实施方式中,所述方法包括:
a.将一个或多个衬底置于被加热至从环境温度至约700℃范围内的一个或多个温度的反应器中;
b.引入至少一种由下式I表示的包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体:
Figure BDA0000939951740000291
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;且n=1或2;和
c.将含氧源提供至所述反应器中以至少部分地与所述至少一种有机氨基乙硅烷前体反应并将含硅薄膜沉积至所述一个或多个衬底上。在CVD方法的某些实施方式中,在引入步骤过程中将反应器维持在10mTorr至760Torr范围的压力下。上述步骤限定了用于本文描述的方法的一个循环,且该循环可以重复直到获得期望厚度的含硅薄膜。在这一实施方式或其它实施方式中,可以理解,本文描述的方法的步骤可以以多种顺序进行,可以顺序地或同时地(例如,在另一步骤的至少一部分时间内)进行,和以其任何组合方式进行。供应前体和含氧源的相应步骤可以通过改变供应这些物质的时间长度来进行,以改变所产生的含硅薄膜的化学计量组成,虽然总是以低于相对于可用的硅的化学计量的量使用氧。
在本文描述的方法的进一步的实施方式中,使用本文描述的式I前体沉积无定形或晶体硅薄膜。在该实施方式中,所述方法包括:
a.将一个或多个衬底置于被加热至从环境温度至约700℃范围内的一个或多个温度的反应器中;
b.引入至少一种由下式I表示的包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体:
Figure BDA0000939951740000301
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;且n=1或2;和
c.将还原剂提供至所述反应器中,以至少部分地与所述至少一种有机氨基乙硅烷前体反应并将含硅薄膜沉积至所述一个或多个衬底上。所述还原剂选自氢、氢等离子体和氯化氢。在CVD方法的某些实施方式中,在引入步骤过程中将反应器维持在10mTorr至760Torr范围的压力下。上述步骤限定了用于本文描述的方法的一个循环,且该循环可以重复直到获得期望的薄膜厚度。
对于多成分含硅薄膜,其它前体如含硅前体、含氮前体、含氧源、还原剂和/或其它试剂可以交替地引入反应室中。
在本文描述的方法的进一步实施方式中,使用热CVD工艺沉积含硅薄膜,在该实施方式中,所述方法包括:
a.将一个或多个衬底置于被加热至从环境温度至约700℃范围内的一个或多个温度的反应器中;
b.引入至少一种由下式I表示的包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体:
Figure BDA0000939951740000311
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;且n=1或2;和
c.将含氮源提供至所述反应器中,以至少部分地与所述至少一种有机氨基乙硅烷前体反应并将含硅薄膜沉积至所述一个或多个衬底上。在CVD方法的某些实施方式中,在引入步骤过程中将反应器维持在10mTorr至760Torr范围的压力下。
在本文描述的方法的进一步实施方式中,有机氨基乙硅烷前体用于沉积含硅薄膜,所述含硅薄膜为无定形膜薄膜、晶体硅膜或其混合物。在这些实施方式中,使用选自ALD或循环CVD的沉积方法形成所述含硅薄膜,所述方法包括以下步骤:
将衬底置于其被加热到从环境温度到大约700℃的范围的温度并保持在1Torr或更低的压力下的反应器中;
引入至少一种由下式I表示的包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体:
Figure BDA0000939951740000312
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;且n=1或2;
将还原剂提供至所述反应器中,以至少部分地与所述至少一种有机氨基乙硅烷前体反应并将含硅薄膜沉积至所述一个或多个衬底上,其中还原剂为选自氢、氢等离子体和氯化氢中的至少一种。上述步骤限定了用于本文描述的方法的一个循环,且该循环可以重复直到获得期望厚度的含硅薄膜。所述薄膜的期望厚度为
Figure BDA0000939951740000322
Figure BDA0000939951740000323
的范围。
在另一个方面,提供通过原子层沉积或循环化学气相沉积工艺或化学气相沉积在低于常规硅前体的温度下沉积无定形或晶体硅薄膜的方法,所述方法包括以下步骤:
a.在反应器中提供衬底;
b.向反应器中引入至少一种由下式I表示的包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体:
Figure BDA0000939951740000321
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;且n=1或2;
c.用吹扫气体吹扫所述反应器,其中重复步骤b至c直到得到期望的硅薄膜厚度。
据信式I前体在加热时可以产生H2Si:二基或Si-H3基团,这可以促进含Si-Si键的低聚物的形成或锚定在衬底的表面上。这些低聚物或锚定的SiH2或SiH3基团可以进一步形成无定形硅薄膜。在这一或其他实施方式中,这些低聚物可以起着硅或氧化硅薄膜的后续沉积的晶种层的作用。
在某些实施方式中,具有本文描述的式I的有机氨基乙硅烷前体也可以用作含金属薄膜(例如,但不限于,金属氧化物薄膜或金属氮化物薄膜)的掺杂剂。在这些实施方式中,使用ALD或CVD工艺(如本文描述的那些工艺),利用金属醇盐、金属氨基化物或挥发性有机金属前体沉积含金属薄膜。可以用于本文描述的方法中的合适的金属醇盐前体的例子包括,但不限于,3-6族金属醇盐、具有烷氧基和烷基取代的环戊二烯基配体的3-6族金属络合物、具有烷氧基和烷基取代的吡咯基配体的3-6族金属络合物、具有烷氧基和二酮根(diketonate)配体的3-6族金属络合物、具有烷氧基和酮酯配体的3-6族金属络合物;可以用于本文描述的方法的合适金属氨基化物前体的例子包括,但不限于,四(二甲基氨基)锆(TDMAZ)、四(二乙基氨基)锆(TDEAZ)、四(乙基甲基氨基)锆(TEMAZ)、四(二甲基氨基)铪(TDMAH)、四(二乙基氨基)铪(TDEAH)和四(乙基甲基氨基)铪(TEMAH)、四(二甲基氨基)钛(TDMAT)、四(二乙基氨基)钛(TDEAT)、四(乙基甲基氨基)钛(TEMAT)、叔丁基亚氨基三(二乙基氨基)钽(TBTDET)、叔丁基亚氨基三(二甲基氨基)钽(TBTDMT)、叔丁基亚氨基三(乙基甲基氨基)钽(TBTEMT)、乙基亚氨基三(二乙基氨基)钽(EITDET)、乙基亚氨基三(二甲基氨基)钽(EITDMT)、乙基亚氨基三(乙基甲基氨基)钽(EITEMT)、叔戊基亚氨基三(二甲基氨基)钽(TAIMAT)、叔戊基亚氨基三(二乙基氨基)钽、五(二甲基氨基)钽、叔戊基亚氨基三(乙基甲基氨基)钽、二(叔丁基亚氨基)双(二甲基氨基)钨(BTBMW)、二(叔丁基亚氨基)双(二乙基氨基)钨、二(叔丁基亚氨基)双(乙基甲基氨基)钨及其组合。可以用于本文公开的方法的合适的有机金属前体的例子包括,但不限于,3族金属环戊二烯基化物或烷基环戊二烯基化物。本文的示例性3-6族金属包括,但不限于,Y、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Er、Yb、Lu、Ti、Hf、Zr、V、Nb、Ta、Cr、Mo和W。
在某些实施方式中,所产生的含硅薄膜或涂层可以进行沉积后处理,例如,但不限于,等离子体处理、化学处理、紫外光照射、电子束照射和/或影响薄膜的一种或多种性质的其它处理。
在某些实施方式中,本文描述的含硅薄膜具有6或更低的介电常数。在这些实施方式或其它实施方式中,薄膜可以具有大约5或更低的或者大约4或更低的或者大约3.5或更低的介电常数。但是,可以预想的是,可以根据薄膜的预期终端用途形成具有其它(例如,更高或更低)介电常数的薄膜。使用本文描述的有机氨基乙硅烷前体和方法形成的含硅薄膜的实例具有式SixOyCzNvHw,其中Si的范围为大约10%至大约40%,O的范围为大约0%至大约65%,C的范围为大约0%至大约75%或大约0%至大约50%,N的范围为大约0%至大约75%或大约0%至大约50%,和H的范围为大约0%至大约50%,以上百分比为原子重量百分比,其中x+y+z+v+w=100原子重量百分比,如例如通过XPS或其它方法测定的。
如前所述,本文描述的方法可以用于在衬底的至少一部分上沉积含硅薄膜。合适的衬底的例子包括,但不限于,硅、SiO2、Si3N4、OSG、FSG、碳化硅、氢化的碳化硅、氮化硅、氢化的氮化硅、碳氮化硅、氢化的碳氮化硅、硼氮化物(boronitride)、抗反射涂层、光致抗蚀剂、柔性衬底、有机聚合物、多孔有机和无机材料、金属(如铜和铝)及扩散屏障层(例如,但不限于,TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN)。薄膜与多种后续的处理步骤相容,例如,举例来说,化学机械抛光(CMP)和各向异性蚀刻处理。
沉积的薄膜具有包括,但不限于,计算机芯片、光学器件、磁信息存储、支撑材料或衬底上的涂层、微机电系统(MEMS)、纳米机电系统、薄膜晶体管(TFT)、发光二极管(LED)、有机发光二极管(OLED)、IGZO和液晶显示器(LCD)的应用。
简而言之,本发明至少提供了以下各项内容:
1.包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体,由下式I表示:
Figure BDA0000939951740000351
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;且n=1或2;其中式I中的R1和R2不能都是异丙基。
2.项1的有机氨基乙硅烷前体,其中R1和R2连接在一起以形成环;或者其中R1和R2相同,条件是R1和R2不是甲基或乙基。
3.项1或2的有机氨基乙硅烷前体,选自二仲丁基氨基乙硅烷、二叔丁基氨基乙硅烷、2,6-二甲基哌啶子基乙硅烷、2,2,6,6-四甲基哌啶子基乙硅烷、环己基-异丙基氨基乙硅烷、苯基甲基氨基乙硅烷、苯基乙基氨基乙硅烷、二环己基氨基乙硅烷、反式-十氢喹啉基乙硅烷、1,1-双(叔丁基氨基)乙硅烷、1,1-双(叔戊基氨基)乙硅烷、1,1-双(异丙基氨基)乙硅烷、1,1-双(异丙基甲氨基)乙硅烷、1,1-二哌啶子基乙硅烷、1,1-二吡咯烷基乙硅烷、1,1-二(2,6-二甲基哌啶子基)乙硅烷和1,1-双(二仲丁基氨基)乙硅烷;优选地所述有机氨基乙硅烷前体包含选自二仲丁基氨基乙硅烷、2,6-二甲基哌啶子基乙硅烷和苯基乙基氨基乙硅烷中的至少一种。
4.一种组合物,包含:
(a)至少一种包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体,由下式I表示:
Figure BDA0000939951740000361
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;且n=1或2;和
(b)溶剂,其中所述溶剂具有沸点且其中所述溶剂的沸点和该至少一种有机氨基乙硅烷的沸点之间的差异是40℃或更小。
5.项4的组合物,所述有机氨基乙硅烷前体包含选自二异丙基氨基乙硅烷、二仲丁基氨基乙硅烷、二叔丁基氨基乙硅烷、2,6-二甲基哌啶子基乙硅烷、2,2,6,6-四甲基哌啶子基乙硅烷、环己基-异丙基氨基乙硅烷、苯基甲基氨基乙硅烷、苯基乙基氨基乙硅烷、二环己基氨基乙硅烷、反式-十氢喹啉基乙硅烷、1,1-(N,N’-二叔丁基乙二胺基)乙硅烷、1,1-(N,N’-二异丙基乙二胺基)乙硅烷、1,1-双(叔丁基氨基)乙硅烷、1,1-双(叔戊基氨基)乙硅烷、1,1-双(异丙基氨基)乙硅烷、1,1-双(异丙基甲氨基)乙硅烷、1,1-二哌啶子基乙硅烷、1,1-二吡咯烷基乙硅烷、1,1-双(二乙基氨基)乙硅烷、1,1-双(二异丙基氨基)乙硅烷、1,1-二(2,6-二甲基哌啶子基)乙硅烷和1,1-双(二仲丁基氨基)乙硅烷中的至少一种。
6.项4或5的组合物,其中所述溶剂包含选自醚、叔胺、烷烃、芳烃、叔氨基醚中的至少一种。
7.一种通过选自化学气相沉积工艺和原子层沉积工艺的沉积工艺在衬底的至少一个表面上形成含硅薄膜的方法,所述方法包括:
在反应室中提供该衬底的至少一个表面;
引入至少一种由下式I表示的包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体:
Figure BDA0000939951740000371
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;且n=1或2;和
向反应器中引入含氮源,其中所述至少一种有机氨基乙硅烷前体和所述含氮源反应以在所述至少一个表面上形成薄膜。
8.项7的方法,其中所述至少一种有机氨基乙硅烷前体选自二异丙基氨基乙硅烷、二仲丁基氨基乙硅烷、二叔丁基氨基乙硅烷、2,6-二甲基哌啶子基乙硅烷、2,2,6,6-四甲基哌啶子基乙硅烷、环己基-异丙基氨基乙硅烷、苯基甲基氨基乙硅烷、苯基乙基氨基乙硅烷、二环己基氨基乙硅烷、反式-十氢喹啉基乙硅烷、1,1-(N,N’-二叔丁基乙二胺基)乙硅烷、1,1-(N,N’-二异丙基乙二胺基)乙硅烷、1,1-双(叔丁基氨基)乙硅烷、1,1-双(叔戊基氨基)乙硅烷、1,1-双(异丙基氨基)乙硅烷、1,1-双(异丙基甲氨基)乙硅烷、1,1-二哌啶子基乙硅烷、1,1-二吡咯烷基乙硅烷、1,1-双(二乙基氨基)乙硅烷、1,1-双(二异丙基氨基)乙硅烷、1,1-二(2,6-二甲基哌啶子基)乙硅烷和1,1-双(二仲丁基氨基)乙硅烷;优选地所述至少一种有机氨基乙硅烷前体包含选自苯基甲基氨基乙硅烷、二仲丁基氨基乙硅烷和2,6-二甲基哌啶子基乙硅烷中的至少一种。
9.项7或8的方法,其中所述含氮源选自氨、肼、单烷基肼、二烷基肼、氮、氮/氢、氨等离子体、氮等离子体、氮/氢等离子体及其混合物。
10.项7-9中任一项的方法,其中所述含硅薄膜选自氮化硅和碳氮化硅。
11.一种通过原子层沉积(ALD)形成含硅薄膜的方法,该方法包括以下步骤:
a.在ALD反应器中提供衬底;
b.向ALD反应器中提供至少一种由下式I表示的包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体:
Figure BDA0000939951740000381
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;且n=1或2;
c.用惰性气体吹扫ALD反应器;
d.向ALD反应器中提供含氮源;
e.用惰性气体吹扫ALD反应器;和其中重复步骤b至e直到获得期望的薄膜厚度。
12.项11的方法,其中所述至少一种有机氨基乙硅烷前体选自二异丙基氨基乙硅烷、二仲丁基氨基乙硅烷、二叔丁基氨基乙硅烷、2,6-二甲基哌啶子基乙硅烷、2,2,6,6-四甲基哌啶子基乙硅烷、环己基-异丙基氨基乙硅烷、苯基甲基氨基乙硅烷、苯基乙基氨基乙硅烷、二环己基氨基乙硅烷、反式-十氢喹啉基乙硅烷、1,1-(N,N’-二叔丁基乙二胺基)乙硅烷、1,1-(N,N’-二异丙基乙二胺基)乙硅烷、1,1-双(叔丁基氨基)乙硅烷、1,1-双(叔戊基氨基)乙硅烷、1,1-双(异丙基氨基)乙硅烷、1,1-双(异丙基甲氨基)乙硅烷、1,1-二哌啶子基乙硅烷、1,1-二吡咯烷基乙硅烷、1,1-双(二乙基氨基)乙硅烷、1,1-双(二异丙基氨基)乙硅烷、1,1-二(2,6-二甲基哌啶子基)乙硅烷和1,1-双(二仲丁基氨基)乙硅烷;优选地所述至少一种有机氨基乙硅烷前体包含选自苯基甲基氨基乙硅烷、二仲丁基氨基乙硅烷和2,6-二甲基哌啶子基乙硅烷中的至少一种。
13.项11或12的方法,其中所述含氮源选自氨、肼、单烷基肼、二烷基肼、氮、氮/氢、氨等离子体、氮等离子体、氮/氢等离子体及其混合物。
14.项11-13任一项的方法,其中所述含硅薄膜选自氮化硅和碳氮化硅。
15.一种使用选自等离子体增强原子层沉积(PEALD)工艺和PECCVD工艺的沉积工艺形成含硅薄膜到衬底的至少一个表面上的方法,所述方法包括:
a.在ALD反应器中提供衬底;
b.向ALD反应器中提供至少一种由下式I表示的包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体:
Figure BDA0000939951740000391
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;且n=1或2;
c.用惰性气体吹扫ALD反应器;
d.向ALD反应器中提供等离子体含氮源;
e.用惰性气体吹扫ALD反应器;和其中重复步骤b至e直到获得期望的含硅薄膜厚度。
16.项15的方法,其中所述至少一种有机氨基乙硅烷前体选自二异丙基氨基乙硅烷、二仲丁基氨基乙硅烷、二叔丁基氨基乙硅烷、2,6-二甲基哌啶子基乙硅烷、2,2,6,6-四甲基哌啶子基乙硅烷、环己基-异丙基氨基乙硅烷、苯基甲基氨基乙硅烷、苯基乙基氨基乙硅烷、二环己基氨基乙硅烷、反式-十氢喹啉基乙硅烷、1,1-(N,N’-二叔丁基乙二胺基)乙硅烷、1,1-(N,N’-二异丙基乙二胺基)乙硅烷、1,1-双(叔丁基氨基)乙硅烷、1,1-双(叔戊基氨基)乙硅烷、1,1-双(异丙基氨基)乙硅烷、1,1-双(异丙基甲氨基)乙硅烷、1,1-二哌啶子基乙硅烷、1,1-二吡咯烷基乙硅烷、1,1-双(二乙基氨基)乙硅烷、1,1-双(二异丙基氨基)乙硅烷、1,1-二(2,6-二甲基哌啶子基)乙硅烷和1,1-双(二仲丁基氨基)乙硅烷;优选地所述至少一种有机氨基乙硅烷前体包含选自苯基甲基氨基乙硅烷、二仲丁基氨基乙硅烷和2,6-二甲基哌啶子基乙硅烷中的至少一种。
17.项15或16的方法,其中所述含氮源选自氨、肼、单烷基肼、二烷基肼、氮、氮/氢、氨等离子体、氮等离子体、氮/氢等离子体及其混合物。
18.项15-17任一项的方法,其中所述含硅薄膜选自氮化硅和碳氮化硅。
19.一种在衬底上形成氧化硅或碳掺杂的氧化硅薄膜的方法,包括:
使含氧源与包含至少一种有机氨基乙硅烷前体的前体在气相沉积中反应,所述有机氨基乙硅烷前体包含Si-N键、Si-Si键和Si-H3基团的前体,由下式I表示:
Figure BDA0000939951740000411
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;且n=1或2;
以在所述衬底上形成薄膜。
20.项19的方法,其中所述气相沉积为至少一种选自化学气相沉积、低压气相沉积、等离子体增强化学气相沉积、循环化学气相沉积、等离子体增强循环化学气相沉积、原子层沉积和等离子体增强的原子层沉积中的至少一种。
21.项19或20的方法,其中所述至少一种有机氨基乙硅烷前体选自二异丙基氨基乙硅烷、二仲丁基氨基乙硅烷、二叔丁基氨基乙硅烷、2,6-二甲基哌啶子基乙硅烷、2,2,6,6-四甲基哌啶子基乙硅烷、环己基-异丙基氨基乙硅烷、苯基甲基氨基乙硅烷、苯基乙基氨基乙硅烷、二环己基氨基乙硅烷、反式-十氢喹啉基乙硅烷、1,1-(N,N’-二叔丁基乙二胺基)乙硅烷、1,1-(N,N’-二异丙基乙二胺基)乙硅烷、1,1-双(叔丁基氨基)乙硅烷、1,1-双(叔戊基氨基)乙硅烷、1,1-双(异丙基氨基)乙硅烷、1,1-双(异丙基甲基氨基)乙硅烷、1,1-二哌啶子基乙硅烷、1,1-二吡咯烷基乙硅烷、1,1-双(二乙基氨基)乙硅烷、1,1-双(二异丙基氨基)乙硅烷、1,1-二(2,6-二甲基哌啶子基)乙硅烷和1,1-双(二仲丁基氨基)乙硅烷。
22.项19-21任一项的方法,其中所述反应步骤在200℃或更低,优选100℃或更低,更优选50℃或更低的温度下进行。
23.一种在衬底上形成氧化硅或碳掺杂的氧化硅薄膜的方法,包括:
通过气相沉积由包含至少一种有机氨基乙硅烷前体和至少一种含氧源的组合物在所述衬底上形成薄膜,所述有机氨基乙硅烷前体包含Si-N键、Si-Si键和Si-H3基团,由下式I表示:
Figure BDA0000939951740000421
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;且n=1或2;
其中所述气相沉积为选自化学气相沉积、低压气相沉积、等离子体增强化学气相沉积、循环化学气相沉积、等离子体增强循环化学气相沉积、原子层沉积和等离子体增强原子层沉积中的至少一种。
24.项23的方法,其中所述至少一种有机氨基乙硅烷前体选自二异丙基氨基乙硅烷、二仲丁基氨基乙硅烷、二叔丁基氨基乙硅烷、2,6-二甲基哌啶子基乙硅烷、2,2,6,6-四甲基哌啶子基乙硅烷、环己基-异丙基氨基乙硅烷、苯基甲基氨基乙硅烷、苯基乙基氨基乙硅烷、二环己基氨基乙硅烷、反式-十氢喹啉基乙硅烷、1,1-(N,N’-二叔丁基乙二胺基)乙硅烷、1,1-(N,N’-二异丙基乙二胺基)乙硅烷、1,1-双(叔丁基氨基)乙硅烷、1,1-双(叔戊基氨基)乙硅烷、1,1-双(异丙基氨基)乙硅烷、1,1-双(异丙基甲氨基)乙硅烷、1,1-二哌啶子基乙硅烷、1,1-二吡咯烷基乙硅烷、1,1-双(二乙基氨基)乙硅烷、1,1-双(二异丙基氨基)乙硅烷、1,1-二(2,6-二甲基哌啶子基)乙硅烷和1,1-双(二仲丁基氨基)乙硅烷。
25.项23或24的方法,其中所述形成步骤在200℃或更低,优选100℃或更低,更优选50℃或更低的温度下进行。
26.一种在衬底上形成氧化硅或碳掺杂的氧化硅薄膜的方法,包括:
向反应器中引入至少一种由下式I表示的包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体:
Figure BDA0000939951740000431
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;且n=1或2;
向所述反应器中引入至少一种含氧源,其中所述至少一种含氧源与所述有机氨基乙硅烷反应以在所述衬底上提供薄膜。
27.一种在衬底上形成氧化硅或碳掺杂的氧化硅薄膜的方法,其中所述薄膜具有一定厚度,所述方法包括:
a.引入至少一种由下式I表示的包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体:
Figure BDA0000939951740000441
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;且n=1或2;
b.将所述至少一种有机氨基乙硅烷前体化学吸附到所述衬底上;
c.使用吹扫气体吹扫掉未反应的所述至少一种有机氨基乙硅烷前体;
d.将含氧源提供至所述加热的衬底上的有机氨基乙硅烷前体上以与所吸附的至少一种有机氨基乙硅烷前体反应;和
e.任选地吹扫掉任何未反应的含氧源。
28.项27的方法,其中重复步骤a到d和任选的步骤e直到形成所述的薄膜厚度。
29.项27或28的方法,其中所述至少一种有机氨基乙硅烷前体选自二异丙基氨基乙硅烷、二仲丁基氨基乙硅烷、二叔丁基氨基乙硅烷、2,6-二甲基哌啶子基乙硅烷、2,2,6,6-四甲基哌啶子基乙硅烷、环己基-异丙基氨基乙硅烷、苯基甲基氨基乙硅烷、苯基乙基氨基乙硅烷、二环己基氨基乙硅烷、反式-十氢喹啉基乙硅烷、1,1-(N,N’-二叔丁基乙二胺基)乙硅烷、1,1-(N,N’-二异丙基乙二胺基)乙硅烷、1,1-双(叔丁基氨基)乙硅烷、1,1-双(叔戊基氨基)乙硅烷、1,1-双(异丙基氨基)乙硅烷、1,1-双(异丙基甲氨基)乙硅烷、1,1-二哌啶子基乙硅烷、1,1-二吡咯烷基乙硅烷、1,1-双(二乙基氨基)乙硅烷、1,1-双(二异丙基氨基)乙硅烷、1,1-二(2,6-二甲基哌啶子基)乙硅烷和1,1-双(二仲丁基氨基)乙硅烷。
30.项27-29任一项的方法,其中所述化学吸附步骤在200℃或更低,优选100℃或更低,更优选50℃或更低的温度下进行。
31.项27-30任一项的方法,其是原子层沉积工艺或等离子体增强循环化学气相沉积工艺。
32.一种使用选自ALD或循环CVD的沉积方法形成含硅薄膜的方法,所述方法包括以下步骤:
a.将衬底置于被加热到从大约环境温度到大约700℃的范围的一个或多个温度下的反应器中;
b.引入至少一种由下式I表示的包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体:
Figure BDA0000939951740000451
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;且n=1或2;
b.任选地使用吹扫气体吹扫掉未反应的至少一种有机氨基乙硅烷前体;
d.向所述反应器中提供还原剂以至少部分地与所吸附的有机氨基乙硅烷反应;和
e.任选地吹扫掉任何未反应的还原剂,其中重复步骤b到e直到获得期望的厚度。
33.项32的方法,其中所述还原剂是选自氢、氢等离子体或氯化氢中的至少一种。
34.一种通过选自原子层沉积、循环的化学气相沉积工艺和化学气相沉积的沉积工艺沉积无定形或晶体硅薄膜的方法,所述方法包括步骤:
a.在反应器中提供衬底;
b.向反应器中引入至少一种由下式I表示的包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体:
Figure BDA0000939951740000461
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;且n=1或2;和
c.用吹扫气体吹扫所述反应器或抽吸所述反应器,其中重复步骤b到c直到获得期望的薄膜厚度。
35.项34的方法,其中所述至少一种有机氨基乙硅烷前体选自二异丙基氨基乙硅烷、二仲丁基氨基乙硅烷、二叔丁基氨基乙硅烷、2,6-二甲基哌啶子基乙硅烷、2,2,6,6-四甲基哌啶子基乙硅烷、环己基-异丙基氨基乙硅烷、苯基甲基氨基乙硅烷、苯基乙基氨基乙硅烷、二环己基氨基乙硅烷、反式-十氢喹啉基乙硅烷、1,1-(N,N’-二叔丁基乙二胺基)乙硅烷、1,1-(N,N’-二异丙基乙二胺基)乙硅烷、1,1-双(叔丁基氨基)乙硅烷、1,1-双(叔戊基氨基)乙硅烷、1,1-双(异丙基氨基)乙硅烷、1,1-双(异丙基甲氨基)乙硅烷、1,1-二哌啶子基乙硅烷、1,1-二吡咯烷基乙硅烷、1,1-双(二乙基氨基)乙硅烷、1,1-双(二异丙基氨基)乙硅烷、1,1-二(2,6-二甲基哌啶子基)乙硅烷和1,1-双(二仲丁基氨基)乙硅烷。
36.一种用于输送前体以沉积含硅薄膜的容器,所述容器包含:
至少一种包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体,由下式I表示:
Figure BDA0000939951740000471
其中R1选自直链或支链C3-C10烷基、直链或支链C3-C10烯基、直链或支链C3-C10炔基、C1-C6二烷基氨基、吸电子基团和C6-C10芳基;R2选自氢、直链或支链C1-C10烷基、直链或支链C3-C6烯基、直链或支链C3-C6炔基、C1-C6二烷基氨基、C6-C10芳基、直链或支链C1-C6氟化烷基、吸电子基团和C4-C10芳基;任选地其中R1和R2连接在一起以形成选自取代或未取代的芳族环或者取代或未取代的脂族环的环;且n=1或2;和
其中前体的纯度为约98%或更高。
37.项36的容器,其中所述容器由不锈钢构成。
38.项1的有机氨基乙硅烷前体,其中n=2且R1和R1连接在一起以形成环;或者其中n=2且R1和R2连接在一起以形成环。
以下实施例举例说明了本文描述的制备有机氨基乙硅烷前体的方法以及沉积本文描述的含硅薄膜的方法,但不意图以任何方式限制本发明。
实施例
在以下实施例中,除非另有说明,从沉积在中等电阻(8-12Ωcm)单晶硅晶片衬底上的样品薄膜获得其性能。
实施例1:从单氯代乙硅烷合成二异丙基氨基乙硅烷(DIPADS)
在配备有机械搅拌器、冷凝器和加液漏斗的三颈圆底烧瓶中,将己烷中的1当量单氯代乙硅烷的溶液用冷浴冷却到-10℃。搅拌下,通过加液漏斗滴加2当量二异丙基胺。添加完成后,使反应混合物升温至室温。在室温下将反应混合物搅拌2小时,然后过滤。蒸馏以从滤液中去除溶剂己烷。通过真空蒸馏获得产物二异丙基氨基乙硅烷。气相色谱(GC)显示它是纯度>99%的二异丙基氨基乙硅烷(DIPADS)(1H NMR(500MHz,C7D8):δ=4.89(m,SiH2),3.31(t,SiH3),2.93(m,CHMe2),1.00(d,CHMe2)。图1显示二异丙基氨基乙硅烷的TGA/DSC曲线图,其中TGA表明所述化合物是易挥发的且DSC显示其沸点为约157℃。
其他的式I的有机氨基乙硅烷前体通过二异丙基氨基乙硅烷和相应的胺之间的交换反应制备并通过质谱法(MS)表征。将各种有机氨基硅烷前体的分子量(MW)、结构和相应的MS碎片峰提供于表1中以证实它们的身份。
表1.具有式I的有机氨基乙硅烷
Figure BDA0000939951740000481
Figure BDA0000939951740000491
Figure BDA0000939951740000501
Figure BDA0000939951740000511
Figure BDA0000939951740000521
实施例2:二异丙基氨基乙硅烷的热稳定性
在干燥、惰性条件下将大约2.0ml二异丙基氨基乙硅烷(DIPADS)样品装入4个不锈钢管。将管加盖并置于实验室烘箱中和在80℃加热4天。4个经加热样品通过GC分析以确定相对于未加热对照样品的降解程度。加热后样品的平均纯度为99.15%,显示相对于初始纯度99.24%的平均降解为0.09%,以及表明由于其优异的热稳定性,DIPADS是适于沉积含硅薄膜的前体。预期二仲丁基氨基乙硅烷和2,6-二甲基哌啶子基乙硅烷具有相似的热稳定性,因为它们围绕两个硅原子具有与DIPAS相同的化学环境。
对比实施例2:二乙基氨基乙硅烷(DEADS)的热稳定性
在80℃下在一式两份密封的不锈钢管中将大约2ml二乙基氨基乙硅烷(DEADS)加热3天。加热前后样品的GC分析表明平均纯度降低4.4%。由于加热生成了3.0%的双(二乙基氨基)乙硅烷(双-DEADS)。通过GC-MS还检测到乙硅烷。所观察到的降解与Abedini等(Inorg.Chem.Vol 2,608(1963))所报告的二甲基氨基乙硅烷(DMADS)的结合一致,显示如下:
2DEADS=双-DEADS+乙硅烷
这似乎表明DEADS和DMADS由于其不稳定性可能都不适于作为沉积含硅薄膜的前体。
实施例3:采用有机氨基乙硅烷的无定形硅薄膜循环化学气相沉积
采用有机氨基乙硅烷例如二异丙基氨基乙硅烷(DIPADS)和苯基甲基氨基乙硅烷(PMADS)将无定形硅薄膜沉积在硼硅酸盐玻璃上,且以循环CVD模式沉积100nm氧化硅在Si衬底上,在300-400℃的温度下。沉积过程由显示于表2的以下步骤a到c组成并重复1000次:
表2.使用DIPADS或PMADS的沉积参数
Figure BDA0000939951740000531
尽管该实施例使用循环CVD沉积,本领域技术人员可以将该工艺转变为前体连续流动的常规热CVD工艺。沉积速率报告为随硅前体流量(以秒计)变化的薄膜厚度
Figure BDA0000939951740000532
工艺参数和所得薄膜的性质列于表3中。
表3:采用DIPADS或PMADS的无定形薄膜的薄膜性质
Figure BDA0000939951740000541
用拉曼光谱分析采用DIPADS在400℃下沉积的薄膜。该薄膜具有477cm-1的拉曼散射(其提供于图2中)并证实了无定形硅结构。
实施例4:采用有机氨基乙硅烷的氧化硅薄膜原子层沉积
氧化硅薄膜的原子层沉积使用二异丙基氨基乙硅烷(DIPADS)进行。该沉积在实验室规模的ALD处理设备上进行。将有机氨基乙硅烷通过在55℃源温下蒸气抽吸输送至腔室。相应地加热所有气体(吹扫、含氧源和反应气体)和前体管线以确保前体无任何冷凝地流动。用具有高速致动的ALD隔膜阀控制气体和前体的流速。沉积中使用的衬底是12英寸长的硅条。连接在样品固定器上的热电偶确认衬底温度。以臭氧作为含氧源气体进行沉积。沉积参数在表4中提供。
表4:采用DIPADS以臭氧原子层沉积氧化硅的沉积参数
步骤a 6秒 抽空反应器 <100mT
步骤b 可变 加有机氨基乙硅烷前体 反应器压力通常<2Torr
步骤c 6秒 用氮吹扫反应器 1.5slpm N<sub>2</sub>流
步骤d 6秒 抽空反应器 <100mT
步骤e 4秒 加臭氧,16-20%wt
步骤f 6秒 用氮吹扫反应器 1.5slpm N<sub>2</sub>流
重复表4中的步骤a到f直到达到期望的厚度。通过将来自薄膜的反射数据与预设的物理模型(例如Lorentz Oscillator模型)拟合,使用FilmTek 2000SE椭率计测量薄膜的厚度和折射率。%厚度非均匀性由6点测量使用以下方程式计算:%非均匀性=((max-min)/(2*平均值))。薄膜密度用X射线反射计(XRR)表征。X射线光电子光谱法(XPS)用于测定薄膜组成。薄膜密度用X射线反射计(XRR)表征。表5总结了所得的氧化硅薄膜的性质和薄膜组成,且密度提供于表6中。
表5:由DIPADS和臭氧工艺沉积的氧化硅的薄膜性质
Figure BDA0000939951740000551
表6:采用DIPADS沉积的氧化硅薄膜的组成和密度
沉积温度(℃) 密度(g/cc) %Si %O %C %N
100 2.1 35.6 64.4 ND ND
300 2.1 36.3 63.7 ND ND
所有的沉积薄膜的折射率落入1.44-1.46范围,其是氧化硅的典型折射率。表6显示所有薄膜的碳和氮含量低于XPS检测极限(<0.1%)。此外,DIPADS在低温(100℃)下具有比卤化乙硅烷前体例如Si2Cl6高得多的显著沉积速率,因为卤化前体通常对臭氧或氧不是具有很高反应性,且当臭氧用作含氧源时,需要高温(>400℃)来沉积氧化硅薄膜。典型的卤化前体需要水和催化剂例如吡啶,因此,尤其在低温下它们容易形成颗粒。
图3显示在300℃温度下采用DIPADS和臭氧形成氧化硅薄膜的沉积速率与DIPADS的脉冲时间之间的关系,其表明DIPADS的自限特性并证实DIPADS是氧化硅ALD的合适前体。
图4显示在300℃温度下采用DIPADS和臭氧形成氧化硅薄膜的厚度与循环次数之间的关系,其显示良好的线性并进一步证实DIPADS是氧化硅ALD的合适前体。
实施例5:采用有机氨基乙硅烷的氧化硅薄膜等离子体增强原子层沉积
由DIPADS和氧等离子体工艺采用ASM Stellar 3000生产设备在300mm Si晶片上进行氧化硅的ALD沉积。在室温下采用氩载气输送前体。工艺期间氧持续流动以减少沉积时间。沉积温度设置在30℃和100℃。加热前体管线以防止前体冷凝。沉积期间将腔室压力固定为3Torr。沉积步骤和参数提供于表7中。
表7:采用DIPADS用氧等离子体进行氧化硅薄膜等离子体增强原子层沉积的沉积参数
Figure BDA0000939951740000561
将步骤c到e重复500个循环以获得期望的薄膜厚度。通过将来自薄膜的反射数据与预设的物理模型(例如Lorentz Oscillator模型)拟合,使用FilmTek 2000SE椭率计测量沉积薄膜的厚度和折射率。%厚度非均匀性由9点测量使用以下方程式计算:%非均匀性=((max-min)/(2*平均值))。表8提供了所得氧化硅薄膜的性质。
表8:由DIPADS和氧采用等离子体增强原子层沉积沉积的氧化硅的薄膜性质
Figure BDA0000939951740000562
如1.45-1.46范围的折射率所示,DIPADS沉积高质量氧化硅薄膜。表8显示通过XPS没有检测到碳或氮。通过XRR测定的薄膜密度为2.1g/cc。表8的结果表明DIPADS甚至在较低温度例如30℃下具有良好的沉积速率,其优于卤化乙硅烷前体例如Si2Cl6。据信良好的沉积速率是由于本发明描述的有机氨基乙硅烷的高化学反应性。
实施例6:采用DIPADS有机氨基乙硅烷的氮化硅或碳氮化硅薄膜等离子体增强原子层沉积
由DIPADS和氮氢等离子体工艺采用ASM Stellar 3000生产设备在300mm Si晶片上进行含硅薄膜的ALD沉淀。在室温下采用Ar载气输送前体。沉积温度设置在300℃。相应地加热前体管线以防止前体冷凝。沉积期间将腔室压力固定为3Torr。沉积步骤和参数提供于表9中。
表9:采用N2/H2等离子体的DIPADS的沉积参数
Figure BDA0000939951740000571
将步骤c到e重复500个循环以获得期望的薄膜厚度。%厚度非均匀性由9点测量使用以下方程式计算:%非均匀性=((max-min)/(2*平均值))。X射线光电子光谱法(XPS)用于测定薄膜组成而X射线反射计(XRR)用于密度测定。表10提供了所得氧化硅薄膜的性质。
表10:在300℃下由DIPADS和N2/H2等离子体工艺沉积的SiCxNy的薄膜性质
Figure BDA0000939951740000572
实施例7:采用有机氨基乙硅烷的氧化硅薄膜循环化学气相沉积
以循环化学气相沉积模式采用PMADS和臭氧(O3)沉积含硅薄膜。沉积步骤如下表11所示且沉积温度是300℃。
表11:从PMADS和臭氧采用CCVD工艺沉积的氧化硅的沉积参数
Figure BDA0000939951740000581
将步骤b到c重复500个循环以获得期望的薄膜厚度。沉积薄膜的RI为1.48且均匀性为2.3%;沉积速率为
Figure BDA0000939951740000582
/循环。在有机氨基乙硅烷输送步骤后添加氧化剂而不吹扫增加了沉积速率但仍提供良好的均匀性,进一步证实本发明描述的有机氨基乙硅烷的高表面化学反应性。

Claims (34)

1.包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体,其为二仲丁基氨基乙硅烷。
2.一种组合物,包含:
(a)二仲丁基氨基乙硅烷;和
(b)溶剂,其中所述溶剂具有沸点且其中所述溶剂的沸点和二仲丁基氨基乙硅烷的沸点之间的差异是40℃或更小。
3.权利要求2的组合物,其中所述溶剂包含选自醚、叔胺、烷烃、芳烃、叔氨基醚中的至少一种。
4.一种在衬底上形成氧化硅或碳掺杂的氧化硅薄膜的方法,包括:
使含氧源与包含至少一种有机氨基乙硅烷前体的前体在气相沉积中反应,所述有机氨基乙硅烷前体包含Si-N键、Si-Si键和Si-H3基团,选自二异丙基氨基乙硅烷和二仲丁基氨基乙硅烷;
以在所述衬底上形成薄膜;
其中所述反应步骤在200℃或更低的温度下进行。
5.权利要求4的方法,其中所述气相沉积为选自低压化学气相沉积、等离子体增强化学气相沉积、循环化学气相沉积和等离子体增强循环化学气相沉积中的至少一种。
6.权利要求4的方法,其中所述气相沉积是等离子体增强的原子层沉积。
7.权利要求4至6中任一项的方法,其中所述至少一种有机氨基乙硅烷前体包括二异丙基氨基乙硅烷。
8.权利要求4至6中任一项的方法,其中所述反应步骤在100℃或更低的温度下进行。
9.权利要求4至6中任一项的方法,其中所述反应步骤在50℃或更低的温度下进行。
10.一种在衬底上形成氧化硅或碳掺杂的氧化硅薄膜的方法,包括:
通过气相沉积由包含至少一种有机氨基乙硅烷前体和至少一种含氧源的组合物在所述衬底上形成薄膜,所述有机氨基乙硅烷前体选自二异丙基氨基乙硅烷和二仲丁基氨基乙硅烷;
其中所述气相沉积为选自化学气相沉积和原子层沉积中的至少一种;
其中所述形成步骤在200℃或更低的温度下进行。
11.权利要求10的方法,其中所述至少一种有机氨基乙硅烷前体包括二异丙基氨基乙硅烷。
12.权利要求10的方法,其中所述化学气相沉积为选自低压化学气相沉积、等离子体增强化学气相沉积、循环化学气相沉积和等离子体增强循环化学气相沉积中的至少一种。
13.权利要求10的方法,其中所述原子层沉积是等离子体增强的原子层沉积。
14.权利要求10至13中任一项的方法,其中所述形成步骤在100℃或更低的温度下进行。
15.权利要求10至13中任一项的方法,其中所述形成步骤在50℃或更低的温度下进行。
16.一种在衬底上形成氧化硅或碳掺杂的氧化硅薄膜的方法,包括:
向反应器中引入至少一种包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体,其选自二异丙基氨基乙硅烷和二仲丁基氨基乙硅烷;
向所述反应器中引入至少一种含氧源,其中所述至少一种含氧源与所述有机氨基乙硅烷反应以在所述衬底上提供薄膜;
其中所述反应步骤在200℃或更低的温度下进行。
17.一种在衬底上形成氧化硅或碳掺杂的氧化硅薄膜的方法,其中所述薄膜具有一定厚度,所述方法包括:
a.引入至少一种包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体,其选自二异丙基氨基乙硅烷和二仲丁基氨基乙硅烷;
b.将所述至少一种有机氨基乙硅烷前体化学吸附到所述衬底上;
c.使用吹扫气体吹扫掉未反应的所述至少一种有机氨基乙硅烷前体;
d.将含氧源提供至所述加热的衬底上的有机氨基乙硅烷前体上以与所吸附的至少一种有机氨基乙硅烷前体反应;和
e.任选地吹扫掉任何未反应的含氧源;
其中所述化学吸附步骤在200℃或更低的温度下进行。
18.权利要求17的方法,其中重复步骤a到d和任选的步骤e直到形成所述的薄膜厚度。
19.权利要求17或18的方法,其中所述至少一种有机氨基乙硅烷前体包括二异丙基氨基乙硅烷。
20.权利要求17或18的方法,其中所述化学吸附步骤在100℃或更低的温度下进行。
21.权利要求17或18的方法,其中所述化学吸附步骤在50℃或更低的温度下进行。
22.权利要求17或18的方法,其是原子层沉积工艺或等离子体增强循环化学气相沉积工艺。
23.一种使用选自ALD或循环CVD的沉积方法形成无定形或晶体硅薄膜的方法,所述方法包括以下步骤:
a.将衬底置于被加热到从环境温度到700℃的范围的一个或多个温度下的反应器中;
b.引入至少一种包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体,其选自二异丙基氨基乙硅烷和二仲丁基氨基乙硅烷;
c.任选地使用吹扫气体吹扫掉未反应的至少一种有机氨基乙硅烷前体;
d.向所述反应器中提供还原剂以至少部分地与所吸附的有机氨基乙硅烷反应;和
e.任选地吹扫掉任何未反应的还原剂,其中重复步骤b到e直到获得期望的厚度。
24.权利要求23的方法,其中所述还原剂是选自氢、氢等离子体或氯化氢中的至少一种。
25.一种通过选自原子层沉积、循环的化学气相沉积工艺和化学气相沉积的沉积工艺沉积无定形或晶体硅薄膜的方法,所述方法包括步骤:
a.在反应器中提供衬底;
b.向反应器中引入至少一种包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体,其选自二异丙基氨基乙硅烷和二仲丁基氨基乙硅烷;和
c.用吹扫气体吹扫所述反应器或抽吸所述反应器,其中重复步骤b到c直到获得期望的薄膜厚度。
26.权利要求25的方法,其中所述至少一种有机氨基乙硅烷前体包括二异丙基氨基乙硅烷。
27.一种用于输送前体以沉积含硅薄膜的容器,所述容器包含:
至少一种包含Si-N键、Si-Si键和Si-H3基团的有机氨基乙硅烷前体,其选自二异丙基氨基乙硅烷和二仲丁基氨基乙硅烷;和
其中前体的纯度为98%或更高。
28.权利要求27的容器,其中所述容器由不锈钢构成。
29.一种组合物,包含二仲丁基氨基乙硅烷;其中所述组合物不含卤化物。
30.一种组合物,包含:
(a)二仲丁基氨基乙硅烷;和
(b)溶剂,其中所述溶剂具有沸点且其中所述溶剂的沸点和二仲丁基氨基乙硅烷的沸点之间的差异是40℃或更小,并且其中所述组合物不含卤化物。
31.权利要求30的组合物,其中所述溶剂包含选自醚、叔胺、烷烃、芳烃和叔氨基醚中的至少一种。
32.一种通过选自等离子体增强的原子层沉积和等离子体增强的循环化学气相沉积的沉积工艺形成含硅薄膜的方法,其中所述薄膜选自无定形和晶体硅薄膜,所述方法包括:
将一个或多个衬底置于被加热到从环境温度到700℃的范围的一个或多个温度下的反应器中;
引入至少一种有机氨基乙硅烷前体,其包含选自二异丙基氨基乙硅烷和二仲丁基氨基乙硅烷的化合物,其中所述有机氨基乙硅烷不含卤化物;
用吹扫气体吹扫所述反应器;
向所述反应器中提供等离子体源以至少部分地与所述至少一种有机氨基乙硅烷前体反应并将含硅薄膜沉积至所述一个或多个衬底上;和
用吹扫气体吹扫所述反应器。
33.权利要求32的方法,其中所述等离子体源选自包含氢和氩的等离子体、包含氢和氦等离子体的等离子体、氩等离子体、氦等离子体及其混合物。
34.权利要求32的方法,其中所述至少一种有机氨基乙硅烷包括二异丙基氨基乙硅烷。
CN201610141031.8A 2012-06-01 2013-06-03 有机氨基乙硅烷前体和包含该前体的薄膜沉积的方法 Active CN105801612B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261654508P 2012-06-01 2012-06-01
US61/654,508 2012-06-01
US13/902,300 US9337018B2 (en) 2012-06-01 2013-05-24 Methods for depositing films with organoaminodisilane precursors
US13/902,300 2013-05-24
CN201310220939.4A CN103450242B (zh) 2012-06-01 2013-06-03 有机氨基乙硅烷前体和包含该前体的薄膜沉积的方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201310220939.4A Division CN103450242B (zh) 2012-06-01 2013-06-03 有机氨基乙硅烷前体和包含该前体的薄膜沉积的方法

Publications (2)

Publication Number Publication Date
CN105801612A CN105801612A (zh) 2016-07-27
CN105801612B true CN105801612B (zh) 2020-11-27

Family

ID=48539020

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201810308231.7A Pending CN108558926A (zh) 2012-06-01 2013-06-03 有机氨基乙硅烷前体和包含该前体的薄膜沉积的方法
CN201610141031.8A Active CN105801612B (zh) 2012-06-01 2013-06-03 有机氨基乙硅烷前体和包含该前体的薄膜沉积的方法
CN201310220939.4A Active CN103450242B (zh) 2012-06-01 2013-06-03 有机氨基乙硅烷前体和包含该前体的薄膜沉积的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201810308231.7A Pending CN108558926A (zh) 2012-06-01 2013-06-03 有机氨基乙硅烷前体和包含该前体的薄膜沉积的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201310220939.4A Active CN103450242B (zh) 2012-06-01 2013-06-03 有机氨基乙硅烷前体和包含该前体的薄膜沉积的方法

Country Status (5)

Country Link
US (6) US9337018B2 (zh)
EP (3) EP3686157A1 (zh)
JP (4) JP2014013889A (zh)
KR (9) KR20130135793A (zh)
CN (3) CN108558926A (zh)

Families Citing this family (408)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP5959307B2 (ja) * 2011-06-22 2016-08-02 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130217243A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8728955B2 (en) * 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP5925673B2 (ja) * 2012-12-27 2016-05-25 東京エレクトロン株式会社 シリコン膜の成膜方法および成膜装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
TW201441408A (zh) * 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US9796739B2 (en) * 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
JP6082712B2 (ja) * 2013-07-31 2017-02-15 東京エレクトロン株式会社 シリコン膜の成膜方法および薄膜の成膜方法
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9284642B2 (en) * 2013-09-19 2016-03-15 Asm Ip Holding B.V. Method for forming oxide film by plasma-assisted processing
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN105849221B (zh) * 2013-09-27 2019-06-18 乔治洛德方法研究和开发液化空气有限公司 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150303060A1 (en) * 2014-04-16 2015-10-22 Samsung Electronics Co., Ltd. Silicon precursor, method of forming a layer using the same, and method of fabricating semiconductor device using the same
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6584496B2 (ja) 2014-05-30 2019-10-02 ダウ シリコーンズ コーポレーション ジアミノシラン化合物
KR102199525B1 (ko) 2014-05-30 2021-01-08 디디피 스페셜티 일렉트로닉 머티리얼즈 유에스 9 엘엘씨 다이아이소프로필아미노-다이실란의 합성 공정
US10030038B2 (en) 2014-05-30 2018-07-24 Dow Silicones Corporation Monoaminosilane compounds
KR101875183B1 (ko) * 2014-06-11 2018-07-06 (주)디엔에프 신규한 아미노실릴아민 화합물 및 원자층 증착법을 이용한 Si-N 결합을 포함하는 절연막의 제조방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10006122B2 (en) 2014-10-02 2018-06-26 American Air Liquide, Inc. Organodisilane precursors for ALD/CVD silicon-containing film applications
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102332415B1 (ko) * 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
WO2016126911A2 (en) * 2015-02-06 2016-08-11 Air Products And Chemicals, Inc. Compositions and methods using same for carbon doped silicon containing films
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
JP2016157893A (ja) * 2015-02-26 2016-09-01 東京エレクトロン株式会社 カーボン膜の成膜方法および成膜装置
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
TWI716333B (zh) 2015-03-30 2021-01-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10763103B2 (en) * 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
WO2016191199A1 (en) * 2015-05-22 2016-12-01 Dow Corning Corporation Diisopropylaminopentachlorodisilane
JP6086942B2 (ja) 2015-06-10 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
WO2016205196A2 (en) * 2015-06-16 2016-12-22 Air Products And Chemicals, Inc. Halidosilane compounds and compositions and processes for depositing silicon-containing films using same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
CN117265512A (zh) * 2015-09-11 2023-12-22 弗萨姆材料美国有限责任公司 用于沉积保形的金属或准金属氮化硅膜的方法和所得的膜
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
KR102153564B1 (ko) * 2015-10-06 2020-09-08 버슘머트리얼즈 유에스, 엘엘씨 컨포멀한 금속 또는 메탈로이드 실리콘 니트라이드 막을 증착시키는 방법
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
JP7010825B2 (ja) * 2015-12-18 2022-01-26 ナタ セミコンダクター マテリアルズ カンパニー リミテッド オルガノアミノシランの製造方法、そのオルガノアミノシランからのシリルアミンの製造方法
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
CN108603287B (zh) * 2015-12-21 2021-11-02 弗萨姆材料美国有限责任公司 用于沉积含硅膜的组合物及使用其的方法
US10458016B2 (en) * 2015-12-25 2019-10-29 Tokyo Electron Limited Method for forming a protective film
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9777373B2 (en) 2015-12-30 2017-10-03 American Air Liquide, Inc. Amino(iodo)silane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US9701695B1 (en) 2015-12-30 2017-07-11 American Air Liquide, Inc. Synthesis methods for amino(halo)silanes
US10053775B2 (en) 2015-12-30 2018-08-21 L'air Liquide, Societé Anonyme Pour L'etude Et L'exploitation Des Procédés Georges Claude Methods of using amino(bromo)silane precursors for ALD/CVD silicon-containing film applications
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
TWI753794B (zh) 2016-03-23 2022-01-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 形成含矽膜之組成物及其製法與用途
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
CN107794515B (zh) * 2016-09-01 2021-06-22 Asm Ip控股有限公司 通过形成基于烃的超薄膜对层进行保护的方法
KR20180034798A (ko) 2016-09-28 2018-04-05 삼성전자주식회사 유전막 형성 방법 및 반도체 장치의 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018125141A1 (en) * 2016-12-29 2018-07-05 Intel Corporation Methods for incorporating stabilized carbon into silicon nitride films
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10049882B1 (en) 2017-01-25 2018-08-14 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device including forming a dielectric layer on a structure having a height difference using ALD
JP6857503B2 (ja) * 2017-02-01 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10822458B2 (en) 2017-02-08 2020-11-03 Versum Materials Us, Llc Organoamino-functionalized linear and cyclic oligosiloxanes for deposition of silicon-containing films
US11591692B2 (en) 2017-02-08 2023-02-28 Versum Materials Us, Llc Organoamino-polysiloxanes for deposition of silicon-containing films
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
TWI784022B (zh) * 2017-07-31 2022-11-21 中國大陸商南大光電半導體材料有限公司 1,1,1-參(二甲胺基)二矽烷及其製備方法
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
SG10201903201XA (en) 2018-04-11 2019-11-28 Versum Materials Us Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
CN110581050B (zh) * 2018-06-07 2024-06-11 东京毅力科创株式会社 处理方法和等离子体处理装置
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
WO2020163359A1 (en) 2019-02-05 2020-08-13 Versum Materials Us, Llc Deposition of carbon doped silicon oxide
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20210017198A1 (en) 2019-04-05 2021-01-21 Versum Materials Us, Llc Organoamino-Functionalized Cyclic Oligosiloxanes For Deposition Of Silicon-Containing Films
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11649560B2 (en) 2019-06-20 2023-05-16 Applied Materials, Inc. Method for forming silicon-phosphorous materials
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
CN110670045A (zh) * 2019-11-12 2020-01-10 复旦大学 一种原子层沉积制备有机无机杂化卤素钙钛矿材料的方法
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
WO2021158633A2 (en) * 2020-02-07 2021-08-12 Lam Research Corporation Precursors for high-temperature deposition of silicon-containing films
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20220163999A (ko) 2020-04-02 2022-12-12 버슘머트리얼즈 유에스, 엘엘씨 실리콘 함유 필름의 증착을 위한 유기아미노-작용기화된 사이클릭 올리고실록산
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN112210769B (zh) * 2020-09-29 2023-04-25 合肥安德科铭半导体科技有限公司 一种低温高生长速率氧化硅薄膜的原子层沉积方法
CN112110948B (zh) * 2020-09-29 2023-07-14 合肥安德科铭半导体科技有限公司 一种液态双氨基取代的乙硅烷制备方法及其产物的应用
FR3114588B1 (fr) * 2020-09-29 2023-08-11 Safran Ceram Procédé de fabrication d’une barrière environnementale
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20230087074A (ko) * 2021-12-09 2023-06-16 에스케이트리켐 주식회사 저 유전율 실리콘 함유 박막 형성용 전구체, 이를 이용한 저 유전율 실리콘 함유 박막 형성 방법 및 상기 저 유전율 실리콘 함유 박막을 포함하는 반도체 소자.
WO2024086199A1 (en) * 2022-10-19 2024-04-25 Entegris, Inc. Silane precursors and related methods

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1596324A (zh) * 2001-11-30 2005-03-16 液体空气乔治洛德方法利用和研究的具有监督和管理委员会的有限公司 用化学蒸气沉积技术沉积氮化硅膜和氧氮化硅膜的方法
CN102295657A (zh) * 2010-06-02 2011-12-28 气体产品与化学公司 有机氨基硅烷前体及沉积包含该前体的薄膜的方法

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0702017B1 (de) * 1994-09-14 2001-11-14 Degussa AG Verfahren zur Herstellung von chloridarmen bzw. chloridfreien aminofunktionellen Organosilanen
JP2907061B2 (ja) 1995-04-05 1999-06-21 信越化学工業株式会社 有機けい素化合物の製造方法
DE19516386A1 (de) * 1995-05-04 1996-11-07 Huels Chemische Werke Ag Verfahren zur Herstellung von an chlorfunktionellen Organosilanen armen bzw. freien aminofunktionellen Organosilanen
US5660895A (en) 1996-04-24 1997-08-26 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Low-temperature plasma-enhanced chemical vapor deposition of silicon oxide films and fluorinated silicon oxide films using disilane as a silicon precursor
JP4061440B2 (ja) 1997-11-14 2008-03-19 信越化学工業株式会社 オリゴシラニルエノールエーテル誘導体の製造方法
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
JP2000195801A (ja) 1998-12-24 2000-07-14 Fujitsu Ltd 半導体装置の製造方法
US6837251B1 (en) 2000-06-21 2005-01-04 Air Products And Chemicals, Inc. Multiple contents container assembly for ultrapure solvent purging
US6526824B2 (en) 2001-06-07 2003-03-04 Air Products And Chemicals, Inc. High purity chemical container with external level sensor and liquid sump
JP4116283B2 (ja) 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
KR100468729B1 (ko) * 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US7446217B2 (en) 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
US7365029B2 (en) 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7345000B2 (en) 2003-10-10 2008-03-18 Tokyo Electron Limited Method and system for treating a dielectric film
US7579496B2 (en) 2003-10-10 2009-08-25 Advanced Technology Materials, Inc. Monosilane or disilane derivatives and method for low temperature deposition of silicon-containing films using the same
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
JP3945519B2 (ja) * 2004-06-21 2007-07-18 東京エレクトロン株式会社 被処理体の熱処理装置、熱処理方法及び記憶媒体
US20060051975A1 (en) * 2004-09-07 2006-03-09 Ashutosh Misra Novel deposition of SiON dielectric films
US7332618B2 (en) 2004-09-28 2008-02-19 Praxair Technology, Inc. Organometallic precursor compounds
JP2006096675A (ja) 2004-09-28 2006-04-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 新規なアミノジシランおよび炭窒化珪素膜の形成方法
US7514119B2 (en) 2005-04-29 2009-04-07 Linde, Inc. Method and apparatus for using solution based precursors for atomic layer deposition
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007235093A (ja) 2006-01-31 2007-09-13 Toshiba Corp 半導体装置の製造方法
CN101443338A (zh) 2006-04-03 2009-05-27 乔治洛德方法研究和开发液化空气有限公司 含五(二甲基氨基)二硅烷前体的化合物及其制备方法
CN101466865A (zh) 2006-04-03 2009-06-24 乔治洛德方法研究和开发液化空气有限公司 通过化学汽相淀积使氮化硅膜和/或氧氮化硅膜淀积的方法
US20070287849A1 (en) * 2006-06-13 2007-12-13 Air Products And Chemicals, Inc. Low-Impurity Organosilicon Product As Precursor For CVD
US7442822B2 (en) 2006-09-01 2008-10-28 Air Products And Chemicals, Inc. Stabilization of nitrogen-containing and oxygen-containing organosilanes using weakly basic ion-exchange resins
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US20080188679A1 (en) * 2007-02-05 2008-08-07 Air Products And Chemicals, Inc. Method Of Purifying Organosilicon Compositions Used As Precursors In Chemical Vapor Desposition
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
KR101593352B1 (ko) 2007-06-28 2016-02-15 인티그리스, 인코포레이티드 이산화규소 간극 충전용 전구체
KR20090068179A (ko) 2007-12-21 2009-06-25 에이에스엠 인터내셔널 엔.브이. 실리콘 이산화물을 포함하는 박막의 제조 방법
US7999355B2 (en) * 2008-07-11 2011-08-16 Air Products And Chemicals, Inc. Aminosilanes for shallow trench isolation films
DE102009026755A1 (de) * 2009-06-04 2010-12-09 Wacker Chemie Ag Verfahren zur Herstellung von Aminoorganosilanen
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
JP5741382B2 (ja) 2011-09-30 2015-07-01 東京エレクトロン株式会社 薄膜の形成方法及び成膜装置
JP5793398B2 (ja) * 2011-10-28 2015-10-14 東京エレクトロン株式会社 シード層の形成方法及びシリコン含有薄膜の成膜方法
JP5829196B2 (ja) 2011-10-28 2015-12-09 東京エレクトロン株式会社 シリコン酸化物膜の成膜方法
JP5947710B2 (ja) 2012-12-27 2016-07-06 東京エレクトロン株式会社 シード層の形成方法、シリコン膜の成膜方法および成膜装置
JP6082712B2 (ja) 2013-07-31 2017-02-15 東京エレクトロン株式会社 シリコン膜の成膜方法および薄膜の成膜方法
CN105849221B (zh) 2013-09-27 2019-06-18 乔治洛德方法研究和开发液化空气有限公司 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1596324A (zh) * 2001-11-30 2005-03-16 液体空气乔治洛德方法利用和研究的具有监督和管理委员会的有限公司 用化学蒸气沉积技术沉积氮化硅膜和氧氮化硅膜的方法
CN102295657A (zh) * 2010-06-02 2011-12-28 气体产品与化学公司 有机氨基硅烷前体及沉积包含该前体的薄膜的方法

Also Published As

Publication number Publication date
KR102067473B1 (ko) 2020-01-17
KR20150037792A (ko) 2015-04-08
EP2669248A1 (en) 2013-12-04
KR20180122583A (ko) 2018-11-13
CN108558926A (zh) 2018-09-21
JP6904924B2 (ja) 2021-07-21
EP2944608B1 (en) 2020-04-22
JP6662579B2 (ja) 2020-03-11
US9997350B2 (en) 2018-06-12
US20130323435A1 (en) 2013-12-05
US20170183502A1 (en) 2017-06-29
US10077364B2 (en) 2018-09-18
KR20190039912A (ko) 2019-04-16
JP7177209B2 (ja) 2022-11-22
KR101966907B1 (ko) 2019-04-08
US9337018B2 (en) 2016-05-10
US20160203975A1 (en) 2016-07-14
KR20130135793A (ko) 2013-12-11
KR101910020B1 (ko) 2018-10-19
US20150024608A1 (en) 2015-01-22
CN103450242B (zh) 2018-05-01
JP2021122047A (ja) 2021-08-26
KR20170034811A (ko) 2017-03-29
KR101924630B1 (ko) 2018-12-03
KR20160133397A (ko) 2016-11-22
KR20170034810A (ko) 2017-03-29
JP2018195833A (ja) 2018-12-06
US10283350B2 (en) 2019-05-07
JP2015181191A (ja) 2015-10-15
US20180294152A1 (en) 2018-10-11
CN105801612A (zh) 2016-07-27
CN103450242A (zh) 2013-12-18
KR20150139815A (ko) 2015-12-14
KR20180129728A (ko) 2018-12-05
JP2014013889A (ja) 2014-01-23
US20170186605A1 (en) 2017-06-29
EP2944608A1 (en) 2015-11-18
US9627193B2 (en) 2017-04-18
EP2669248B1 (en) 2017-04-12
US9613799B2 (en) 2017-04-04
EP3686157A1 (en) 2020-07-29

Similar Documents

Publication Publication Date Title
JP7177209B2 (ja) 有機アミノジシラン前駆体、及びそれを含む膜の堆積方法
EP2818474B1 (en) Aza-polysilane precursors and methods for depositing films comprising same
KR102044666B1 (ko) 유기아미노디실란 전구체 및 이를 포함하는 막을 증착시키는 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right
TA01 Transfer of patent application right

Effective date of registration: 20170612

Address after: Arizona, USA

Applicant after: Versum Materials US, LLC

Address before: Pennsylvania

Applicant before: Air Products and Chemicals, Inc.

GR01 Patent grant
GR01 Patent grant