CN115053016A - 用于含硅膜高温沉积的前体 - Google Patents

用于含硅膜高温沉积的前体 Download PDF

Info

Publication number
CN115053016A
CN115053016A CN202180013256.4A CN202180013256A CN115053016A CN 115053016 A CN115053016 A CN 115053016A CN 202180013256 A CN202180013256 A CN 202180013256A CN 115053016 A CN115053016 A CN 115053016A
Authority
CN
China
Prior art keywords
silicon
semiconductor substrate
containing precursor
reactant
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180013256.4A
Other languages
English (en)
Inventor
道格拉斯·沃尔特·阿格纽
阿德里安·拉沃伊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN115053016A publication Critical patent/CN115053016A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Metallurgy (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

在高温ALD处理中使用含硅前体的反应在半导体衬底上沉积具有高质量的含硅膜,例如氧化硅膜。在一些实施方案中,所提供的前体适合于在至少约500℃,例如大于约550℃的温度下沉积含硅膜。例如,可以通过含硅前体与衬底表面上的含氧反应物(例如O3、O2、H2O)的反应,在高温下沉积氧化硅。在一些实施方案中,合适的前体包括至少一个硅‑硅键、至少一个离去基团(例如卤素)和任选地至少一个给电子基团(例如烷基)。在一些实施方案中,前体适用于热ALD和PEALD。在一些实施方案中,在热ALD和PEALD中在单个氧化硅膜的沉积期间使用单一前体。

Description

用于含硅膜高温沉积的前体
通过引用并入
PCT申请表作为本申请的一部分与本说明书同时提交。如在同时提交的PCT申请表中所标识的本申请要求享有其权益或优先权的每个申请均通过引用全文并入本文且用于所有目的。
技术领域
本发明涉及半导体设备制造的方法。具体而言,本发明的实施方案涉及用于在半导体处理中沉积含硅膜的前体。
背景技术
在集成电路(IC)制造中,沉积和蚀刻技术用于形成材料图案,例如用于形成嵌入介电层中的金属线。一些图案化方案需要材料的保形沉积,其中沉积层应遵循衬底表面上的突起和/或凹陷特征的轮廓。原子层沉积(ALD)通常是在衬底上形成保形膜的优选方法,因为ALD通常依赖于一种或多种反应物(前体)吸附到衬底表面,以及吸附层随后化学转化为所需的材料。由于ALD使用发生在衬底表面上的顺序反应,这些反应在时间上是分开的,并且通常受吸附反应物的量的限制,因此该方法可以提供具有出色台阶覆盖率的薄保形层。
化学气相沉积(CVD)是另一种广泛用于半导体处理的沉积方法。在CVD中,反应发生在处理室的体积中,并且不受吸附到衬底上的反应物数量的限制。因此,CVD沉积膜通常不如ALD沉积膜保形。CVD通常用于台阶覆盖率不太重要的应用中。
ALD和CVD可以使用等离子体来促进沉积前体的反应,从而形成所需的膜。利用等离子体的方法被称为等离子体增强ALD(PEALD)和等离子体增强CVD(PECVD)。不使用等离子体的方法称为热ALD和热CVD。
这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
发明内容
提供了用于沉积含硅薄膜(例如氧化硅)的方法。在一些实施方案中,该方法包括在至少约500℃,例如至少约550℃(例如约550℃和大于约550℃),例如至少约600℃的高温下沉积。提供了适合在至少约500℃的温度下,例如在约550-700℃的温度下沉积的稳定的含硅前体。提供了在这些温度下提供仅表面所需的反应性并且可用于热ALD和PEALD的前体。高温沉积可用于沉积具有优良品质的含硅膜。例如,通过高温ALD沉积的氧化硅可以具有集成电路制造所需的特性,例如低湿蚀刻速率、低泄漏电流、高击穿场和低浓度的杂质,这些特性在较低温度下通常是无法实现的。在一些实施方案中,通过如本文所述的高温沉积获得的氧化硅膜具有以下特性中的一种或多种:杂质浓度小于约1原子%(其中氢浓度从计算中排除),密度至少约2.23g/cm3(例如,约2.23-2.29g/cm3,例如约2.26g/cm3),在浓度为289mM的水性氢氟酸(HF)中的湿蚀刻速率小于约4nm/min,漏电流在2MV时小于约1×10- 10A/cm2,击穿场大于约10MV/cm。在一些实施方案中,本文提供的沉积氧化硅膜具有以上列出的所有特性。
传统上用于低温ALD的许多含硅前体在高温下表现出热分解,不适合高温ALD。此外,许多传统的含硅前体不适用于热沉积和等离子体增强沉积。
在一实施方案中,提供了一种在半导体衬底上形成含硅层的方法,其中该方法包括:(a)将半导体衬底暴露于处理室中的含硅前体;(b)将所述半导体衬底暴露于处理室中的反应物;以及(c)使含硅前体与半导体衬底表面上的所述反应物反应以形成含硅层的至少一部分,其中操作(a)-(c)在至少约500℃的温度下进行,其中含硅前体为化合物,该化合物具有:至少一个Si-Si键;至少一个Si-X键,其中X选自卤素、三氟甲磺酸酯、甲苯磺酸酯、CN、N3和NR1R2,其中R1和R2独立地选自H和烷基,其中R1和R2或者连接以形成环状环结构,或者不连接;以及至少一个Si-R键,其中R选自H和烷基。
在另一实施方案中,提供了一种在半导体衬底上形成含硅层的方法,其中该方法包括:(a)将半导体衬底暴露于处理室中的含硅前体;(b)将所述半导体衬底暴露于处理室中的反应物;以及(c)使含硅前体与半导体衬底表面上的所述反应物反应以形成含硅层的至少一部分,其中操作(a)-(c)在至少约500℃的温度下进行,并且其中所述含硅前体是具有与至少两个氮原子形成键的至少一个硅原子的化合物,其中所述至少两个氮原子连接以形成环状环。
在另一实施方案中,提供了一种在半导体衬底上形成含硅层的方法,其中该方法包括:(a)将半导体衬底暴露于处理室中的含硅前体;(b)将所述半导体衬底暴露于处理室中的反应物;以及(c)使含硅前体与半导体衬底表面上的所述反应物反应以形成含硅层的至少一部分,其中操作(a)-(c)在至少约500℃的温度下进行,并且其中所述含硅前体是具有与至少两个硅原子形成键的至少一个氮原子的化合物。
在另一实施方案中,提供了一种在半导体衬底上形成含硅层的方法,其中该方法包括:(a)将半导体衬底暴露于处理室中的含硅前体;(b)将所述半导体衬底暴露于处理室中的反应物;以及(c)使含硅前体与半导体衬底表面上的所述反应物反应以形成含硅层的至少一部分,其中操作(a)-(c)在至少约500℃的温度下进行,并且其中含硅前体是具有与选自-N3、-CN、-OTf(三氟甲磺酸酯)和-OTs(甲苯磺酸酯)的部分形成键的至少一个硅原子的化合物。
在另一实施方案中,提供了一种在半导体衬底上形成含硅层的方法,其中该方法包括:(a)将半导体衬底暴露于处理室中的含硅前体;(b)将所述半导体衬底暴露于处理室中的反应物;以及(c)使含硅前体与半导体衬底表面上的所述反应物反应以形成含硅层的至少一部分,其中操作(a)-(c)在至少约500℃的温度下进行,并且其中所述含硅前体是具有与至少两个氧原子形成键的至少一个硅原子的化合物,其中所述至少两个氧原子连接以形成环状环。
在另一实施方案中,提供了一种在半导体衬底上形成含硅层的方法,其中该方法包括:(a)将半导体衬底暴露于处理室中的含硅前体;(b)将所述半导体衬底暴露于处理室中的反应物;以及(c)使含硅前体与半导体衬底表面上的所述反应物反应以形成含硅层的至少一部分,其中操作(a)-(c)在至少约500℃的温度下进行,并且其中所述含硅前体是具有作为环状环的一部分的至少一个硅原子的化合物。
在一些实施方案中,本文提供的方法与光刻图案化方法相结合,并且还包括:将光致抗蚀剂施加到工件上,将光致抗蚀剂曝光,将光致抗蚀剂图案化并将图案转移到工件上,以及从工件上选择性地去除光致抗蚀剂。
在另一方面,提供了一种装置,其中该装置包括处理室,该处理室具有用于允许含硅前体进入该处理室的入口和衬底支撑件,其中该装置还包括具有用于根据本文提供的任何方法引起含硅膜的沉积的程序指令的控制器。
在另一方面,提供了一种系统,其中该系统包括本文所述的沉积装置和步进曝光机(stepper)。
在另一方面,提供了一种包括用于控制沉积工具的程序指令的非暂时性计算机机器可读介质,其中所述程序指令包括用于执行本文提供的任何方法的步骤的代码。
本说明书中描述的主题的实现方案的这些和其他方面在附图和下面的描述中阐述。
附图说明
图1提供了根据本文提供的实施方案的含硅前体的示例。
图2提供了根据本文提供的另一个实施方案的含硅前体的示例。
图3A和3B提供了根据本文提供的实施方案的含硅前体的示例。
图4是根据本文提供的实施方案的形成氧化硅膜的方法的处理流程图。
图5是根据本文提供的实施方案的形成氧化硅膜的方法的处理流程图。
图6是使用单一前体通过热ALD和PEALD形成氧化硅膜的方法的处理流程图。
图7是根据本文提供的实施方案的适用于沉积含硅膜的装置的示意图。
图8示出了根据本文提供的实施方案的多站处理系统的示意图。
图9示出了根据本文提供的实施方案的多站处理系统的示意图。
具体实施方案
提供了用于在高温下在半导体衬底上沉积高质量含硅膜的方法和前体。所提供的方法和前体可用于例如在平坦衬底上沉积覆盖的含硅层(例如氧化硅层),或用于在具有一个或多个凹陷或突出特征的衬底上沉积保形含硅层。在膜沉积之前的半导体衬底可以在其表面上包括暴露的电介质和/或金属层。该方法特别适用于以受控方式沉积薄的含硅膜。例如,可以沉积厚度在0.5–250nm之间的高质量保形膜。
该方法可用于沉积多种含硅材料,包括但不限于氧化硅(SiOx)、氮化硅(SiNx)、碳化硅(SiCx)、氮氧化硅(SiOxNy)和硅碳氧化物(SiOxCy),其中x和y表示这些化合物的化学计量可能不同。所提供的前体和方法特别适合于沉积氧化硅,例如具有低水平的其他元素的掺入的高质量氧化硅。为了生产具有高纯度的高质量氧化硅膜,经常需要在高温下沉积,例如在至少约500℃,例如至少约550℃的温度下沉积。本文提供了在高温下表现出清洁反应性且分解程度低的前体。应当理解,所述的含硅前体也可以用于在较低温度下沉积和用于沉积除高质量氧化硅之外的膜。此外,所提供的前体适用于热和等离子体增强沉积,其中热和等离子体增强沉积都可以在至少约500℃的高温下进行,例如约500-800℃,例如约550-700℃的高温下进行。本文所述的温度是指接近衬底测量的温度。应理解,本文所述的前体可用于在低于500℃的温度下进行沉积。
在一些实施方案中,沉积期间的至少一个步骤在高温下进行。在其他实施方案中,可以包括多个反应物投配(dosing)和清扫步骤的整个沉积在高温下进行。
如本文所使用的“氧化硅”是指基本上由硅(Si)和氧(O)组成的材料。其他元素(例如,C和N)可以少量存在于氧化硅中(例如,总含量小于约15原子%,或小于约10%,其中氢不包括在计算中)。氧化硅通常是SiO2,尽管与1:2(硅:氧)化学计量的偏差会是可能的。如本文所使用的“高纯度氧化硅”是指包含小于约1原子%的碳、小于约0.1%的氮和小于约0.1%的其他元素的氧化硅,其中氢不包括在计算中。在一些实施方案中,高纯度氧化硅包括小于约1原子%的除硅和氧之外的元素(其中氢不包括在计算中)。
氮化硅(SiNx)、碳化硅(SiCx)、氮氧化硅(SiOxNy)和碳氧化硅(SiOxCy)是指分别主要由硅和氮(SiNx)、硅和碳(SiCx)、硅、氧和氮(SiOxNy)、以及硅氧和碳(SiOxCy)组成的材料。其他元素可能以少量存在于这些化合物中,例如以小于约10%原子的量存在,其中氢被排除在计算之外。
如本文所使用的术语“半导体衬底”是指处于半导体器件制造的任何阶段的衬底,在其结构中的任何位置包含半导体材料。可以理解的是,半导体衬底中的半导体材料不需要暴露。具有覆盖半导体材料的多个其他材料(例如,电介质)层的半导体晶片是半导体衬底的示例。以下详细描述假设所公开的实施方案在半导体晶片上实施,例如在200mm、300mm或450mm半导体晶片上实施。然而,所公开的实施方案不限于此。工件可以具有各种形状、尺寸和材料。除了半导体晶片之外,可以利用所公开的实施方案的其他工件包括各种制品,例如印刷电路板等。
除非另有说明,否则术语“约”在提及数值时包括与所列举数值相差±10%的范围。
如本文所使用的术语“烷基”是指仅包含碳和氢原子的饱和取代基。烷基包括直链、支链和环状基团。直链烷基的示例包括但不限于甲基、乙基、正丙基、正丁基等。支链烷基的示例包括但不限于异丙基、异丁基、仲丁基和叔丁基。环烷基的示例包括但不限于环丙基、环戊基、环己基等。
如本文所使用的术语“烷基氨基”是指NR2基团,其中至少一个R是烷基,并且每个R独立地选自H和烷基。烷基氨基取代基的示例包括二甲氨基和二乙氨基取代基。
术语“独立选择”,当提到在含有多个R基团的分子中的R取代基选择时,是指在分子的不同原子上选择R取代基是独立的,并且在具有多个R取代基的一个原子上选择R取代基也是独立的。在含有多个R基团的分子中,R基团可以相同或不同。
具有受控厚度的含硅膜可以通过将衬底依次暴露于含硅前体和反应物而沉积在半导体衬底上,其中反应物与含硅前体反应并形成具有所需组成的膜。反应通常受限于存在于衬底表面上的含硅前体和/或反应物的量,并且通常重复与沉积具有所需厚度的膜所需要的次数一样多的次数。例如,氧化硅膜可以通过将衬底依次暴露于含硅前体和含氧反应物(例如O2、O3、H2O、H2O2和N2O)来沉积,其中反应物可以任选地在等离子体中激活。然而,当沉积发生在相对较低的温度(例如,低于约500℃)时,沉积的氧化硅通常具有低质量。这主要源于系统的低热能,这进而导致例如膜密度低、表面上含硅前体的反应性降低以及由于更具挑战性的副产物去除而导致原子杂质增加等问题。为了沉积高质量的氧化硅,通常需要在至少约500℃,例如至少约550℃的温度下进行沉积。然而,许多含硅前体在高温下易于分解。例如,双(叔丁基氨基)硅烷(BTBAS)在高于约550℃的温度下会分解。
提供了几类可用于在高温下沉积含硅膜的含硅前体。例如,提供适用于在至少约500℃,例如至少约550℃,例如约500-800℃,例如550-700℃的温度下沉积的前体。在一些实施方案中,单一前体用于PEALD和热ALD,其中等离子体辅助和热沉积都在一个反应器中进行。
含有Si-Si键的前体
在一个方面,适用于高温沉积的含硅前体是化合物,该化合物具有至少一个Si-Si键,至少一个Si-X键,其中X选自卤素(例如,Cl、Br和/或I)、三氟甲磺酸酯(CF3SO3)、甲苯磺酸酯(CH3C6H4SO2)、CN、N3和NR1R2,其中R1和R2独立地选自H和烷基,其中R1和R2或者连接形成环状环结构,或者不连接;和至少一个Si-R键,其中R选自H和烷基。在一些实施方案中,烷基是C1-C4烷基,例如甲基、乙基、正丙基、异丙基、正丁基、仲丁基或叔丁基。
在一些实施方案中,含硅前体是SinR(2n+1)X,其中n是选自2至10的整数,并且其中每个R相同或不同并且独立地选自:H、烷基、烯基和炔基。
这种类型的更具体的合适前体的示例包括化合物1、2和3,如图1所示,其中每个R相同或不同,并且独立地选自H和C1-C3烷基(例如甲基、乙基、正丙基、异丙基)。具体示例包括1-氯乙硅烷、1-二甲氨基乙硅烷、1-二乙氨基三硅烷和1-溴四硅烷。
在一些实施方案中,含硅前体包括作为环的一部分的至少一个硅原子。
在一些实施方案中,合适的前体包含两个或更多个X基团。例如,1,2-双(二异丙基氨基)乙硅烷(BDIPADS)包含两个二烷基氨基取代基。
卤代烷基乙硅烷可从Millipore Sigma或Gelest等化学品供应商处购买。胺取代的硅烷或包括假卤素基团的那些可以在惰性气氛下通过氯烷基过硅烷的亲核取代来制备。替代地,经取代的甲硅烷可以用碱金属(例如锂)还原,并与第二种经取代的硅烷偶联以形成乙硅烷前体。三硅烷和四硅烷前体可以在类似条件下用过量的碱金属还原剂形成。
含有N-Si-N基序的前体
在另一方面,适用于高温沉积的含硅前体是含有与至少两个氮原子形成键的至少一个硅原子的化合物,其中所述至少两个氮原子连接以形成环状环。环状环包括硅原子和两个氮原子,并且可以是例如4元、5元或6元的。在一些实施方案中,前体包括多于一个这样的环。例如,图2中所示的基于1,4,7-三氮杂环壬烷(TACN)的化合物4具有四个这样的环。
具有N-Si-N基序的合适化合物的示例如图2所示,其中在化合物4、5、6、7、8和9中,每个R相同或不同,并且独立地选自H和烷基,并且每个R1相同或不同,且为烷基。在一些实施方案中,烷基是C1-C4烷基(例如甲基、乙基、丙基或丁基)。所示化合物基于TACN(化合物4)、乙二胺(化合物5)、1,4-二氮杂丁二烯-1,3-二烯(DAD)(化合物6和7)和脒(化合物8)。这些化合物可以通过卤化硅与相应的胺或二氮杂丁二烯反应来制备。在一些实施方案中,提供了硅和1,4,7-三甲基-1,4,7-三氮杂环壬烷(Me3TACN)的化合物,其中Me3TACN充当三齿配体。在一实施方案中,该化合物包含Si(Me3TACN)X3阳离子,其中X是卤素(例如,F)。这种化合物可以通过SiF4与Me3TACN在无水条件下反应来制备。
在一些实施方案中,具有N-Si-N基序的合适化合物是含有2,2-联吡啶(bipy)、1,10-菲咯啉(phen)或N,N,N'N'-四甲基乙二胺(TMEDA)的加合物。此类化合物的示例包括SiX4bipy和SiX4TMEDA,其中每个X独立地选自卤素(例如Cl或Br)、H和烷基(例如甲基或乙基)。具体示例包括SiH2Cl2(bipy)、SiHCl3(bipy)、SiCl3Me(bipy)、SiCl2Me(bipy)、SiCl3H(TMEDA)和SiCl2H2(TMEDA)。这些化合物可以通过使含硅起始材料(例如H2SiCl2、HSiCl3、MeSiCl3)与所需配体(例如bipy、phen或TMEDA)反应来合成。
在一些实施方案中,含硅前体是甲硅烷基(二价、双配位硅物质)。亚硅烷基的示例是图2中所示的化合物7和8。亚硅烷基的稳定性通常会因庞大基团的存在而增强。在一些实施方案中,化合物7中的R1是空间庞大的基团,例如叔丁基。这种甲硅烷基的具体示例是N,N'-二叔丁基-1,3-二氮杂-2-硅环戊-4-烯-2-亚基。这些化合物可以通过硅(IV)卤化物(例如,SiCl4)与锂化N,N'-二叔丁基-1,4-二氮杂丁二烯反应,然后用碱金属或钾石墨还原以生成硅(II)化合物7。
含有O-Si-O基序的前体
在另一方面,适用于高温沉积的含硅前体是含有与至少两个氧原子形成键的至少一个硅原子的化合物,其中至少两个氧原子连接以形成环状环。环状环包括硅原子和两个氮原子,并且可以是例如4元、5元或6元的。在一些实施方案中,前体包括多于一个这样的环。例如,可以使用具有β-二酮配体(例如,具有乙酰丙酮酸酯)的硅化合物。在一实施方案中,该化合物具有式Si(A)3X,其中A是经取代或未经取代的乙酰丙酮酸酯,并且X是卤素(例如,氯化物)。在另一实施方案中,化合物具有式Si(A)2RX,其中A是β-二酮酸酯(例如,取代或未取代的乙酰丙酮酸酯),X是卤素(例如,氯化物),并且R是烷基(例如,甲基)。例如,可以通过卤化硅(例如SiCl4)与乙酰丙酮或乙酰丙酮酸酯的反应来制备乙酰丙酮硅化合物。在另一实施方案中,化合物是Si(A)R3,其中A是β-二酮酸酯(例如乙酰丙酮酸酯或二苯甲酰甲烷),并且每个R独立地选自烷基和卤代烷基(例如CF3)
含有Si-N-Si基序的前体
在另一方面,适用于高温沉积的含硅前体是含有与至少两个硅原子形成键的至少一个氮原子的化合物。在一些实施方案中,前体是图3A中所示的化合物10,其中每个R相同或不同并且独立地选自H和烷基,并且其中每个R1独立地选自H、烷基和Si(R)3,其中每个R2独立地选自H和烷基。在一些实施方案中,烷基是C1-C4烷基,例如C1-C3烷基。化合物10的具体示例包括三(三甲基甲硅烷基)胺、三甲硅烷基胺、异丙基(二甲硅烷基)胺、乙基-双(三甲基甲硅烷基)胺、二甲硅烷基肼。在一些实施方案中,式10的前体具有如图3A所示的结构11。这类前体可以例如由卤代烷基硅烷和相应的碱在酸性条件下制备。例如,异丙胺可以在过量HCl存在下与氯代三甲基硅烷一起搅拌以形成异丙基氨基-三甲基-硅烷。
环状前体
在另一方面,适用于高温沉积的含硅前体是包含作为环状环的一部分的至少一个硅原子的化合物。环的示例包括4元、5元、6元和7元环。在一些实施方案中,至少一个硅原子与两个碳原子形成键,其中两个碳原子连接以形成环状环。在一些实施方案中,至少一个硅原子进一步与一个或两个氮原子形成键。这种前体的示例是图3B中所示的前体12,其中R1和R2相同或不同,并且独立地选自烷基(例如,C1-C4烷基)和H。
在一些实施方案中,至少一个硅原子与碳原子以及氮原子形成键,其中碳原子和氮原子连接以形成环状环。这种前体的一个示例是图3B中所示的前体13,其中R1和R2相同或不同,并且独立地选自烷基(例如,C1-C4烷基)和H。
在一些实施方案中,至少一个硅原子与碳原子以及硅原子形成键,其中碳原子和硅原子连接以形成环状环。这种前体的示例是图3B中所示的前体14和15,其中R1-R5相同或不同,并且独立地选自烷基(例如C1-C4烷基)和H。
环状化合物的具体示例包括1,1,2,2-四甲基-1,2-二硅环己烷和1,1-双(乙基氨基)-1-硅环丁烷。这种类型的前体可以由直链卤代烷基乙硅烷的缩合制备。例如,1,3-双(氯二甲基)丁烷在Na/K合金上缩合以得到1,1,2,2-四甲基-1,2-二硅环己烷。
含有假卤素离去基团的前体
在另一方面,提供了含硅前体,其中该前体包含与硅键合的一个或多个假卤素离去基团。在具体实施方案中,前体包含与选自-N3、-CN、-OTf(三氟甲磺酸酯)和-OTs(甲苯磺酸酯)的部分形成键的至少一个硅原子。在一种实施方案中,含硅前体是SiXnRm,其中每个X独立地选自-N3、-CN、-OTf(三氟甲磺酸酯)和-OTs(甲苯磺酸酯),每个R独立地选自:H、烷基和NR1R2的组合,其中每个R1和R2独立地选自H和烷基,其中R1和R2或者连接以形成环状环结构或者不连接,其中n为1-3,m为1-3,n+m为4。这类化合物通常通过盐复分解制备,例如,将三氟甲磺酸钠与二烷基(异丙基氨基)氯硅烷搅拌以提供二烷基(异丙基氨基)甲硅烷基-三氟甲磺酸酯和NaCl。
增强稳定性和所需表面反应性的部分
化合物在高温下的稳定性和所需的反应性被认为通过存在与硅键合的至少一个给电子基团(例如烷基)和至少一个与硅键合的离去基团而增强。合适的离去基团的示例包括卤素(例如Cl、Br和I)、-N3、-CN、-OTf(三氟甲磺酸酯)和-OTs(甲苯磺酸酯)。合适的离去基团的另一个示例是NR1R2氨基,其中每个R1和R2独立地选自H和烷基,其中R1和R2或者连接以形成环状环结构,或者不连接。在一个实施方案中,含硅前体是本文所述的任何类别的化合物,其具有至少一个烷基取代基和至少一个如上所述的离去基团。
不希望受限于关于化合物稳定性的特定理论,据信可以通过选择没有硅-氢键的前体来增强前体的稳定性。在一个实施方案中,含硅前体是本文所述的任何类别的化合物,其不具有硅-氢键。然而,合适前体的选择不受所描述的关于稳定性的理论的限制。烷基化卤代硅烷可从许多供应商(例如Millipore Sigma)商购。假卤素取代是通过用适当的烷基卤代硅烷进行盐复分解来完成的。
方法
本文提供的含硅前体可用于在热和等离子体增强ALD中高温沉积含硅膜。在一些实施方案中,该方法包括将半导体衬底暴露于本文提供的含硅前体,将半导体衬底暴露于反应物(任选在等离子体中激活),以及使半导体衬底表面上的含硅前体与反应物反应以形成所需的含硅层的至少一部分。整个沉积过程(衬底暴露于反应物和含硅前体,以及反应)可以在至少约500℃的高温下进行,例如在至少约550℃,例如,约550–700℃的高温下进行。在一些实施方案中,至少一个沉积步骤在高温下进行。沉积可以在约50毫托-约760托之间的压强下进行。优选地,沉积在低于大气压的压强下进行,例如在约50毫托-200托之间的压强下进行。
当反应物在等离子体中被激活时,等离子体可以远程形成(在容纳衬底的处理室之外),并且等离子体激活的反应物可以被引入处理室。在其他实施方案中,等离子体是在容纳衬底的处理室中包含反应物的处理气体中形成的直接等离子体。
在一些实施方案中,可以使用单频高频射频(HFRF)等离子体生成来形成等离子体。在利用双频等离子体产生的其他实施方案中,利用HF RF和低频射频(LF RF)产生等离子体。示例性低频RF频率可以包括但不限于介于50kHz和700kHz之间的频率。示例性高频RF频率可以包括但不限于介于1.8MHz和2.45GHz之间的频率。在一些实施方案中,LF频率为430KHz,高频为13.56MHz。
可以以任何顺序引入含硅前体和反应物。在一些实施方案中,首先将含硅前体引入处理室中并允许在衬底表面上形成层(例如,吸附受限层)。接下来,将非表面结合的前体(例如,通过清扫和/或抽排)从处理室中移除,并且将表面上具有含硅前体层的衬底暴露于反应物(其中反应物可以在等离子体中激活)。该反应可以在引入反应物后自发进行,或者可以在另外的激活后发生。然后可以清扫和/或抽排处理室以去除反应副产物和/或过量的反应物,并且可以根据需要重复整个过程多次以形成所需厚度的层。
用于沉积氧化硅的示例性方法的处理流程图如图4所示。该处理在操作401中通过将半导体衬底暴露于本文提供的含硅前体而开始。半导体衬底可暴露于本文提供的任何含硅前体(包括本文提供的含硅前体的混合物)。在一些实施方案中,将含硅前体以与载气(例如氦气、氩气、N2等)的混合物的形式引入容纳半导体衬底的处理室中,其中处理室保持在至少约500℃,例如至少约550℃,例如约500-800℃,例如约550-700℃的温度下。允许含硅前体在衬底表面上形成层(例如,吸附受限层)。接下来,在操作403中,将半导体衬底暴露于含氧反应物。例如,可以将含氧反应物(包括单一反应物和不同反应物的混合物)引入容纳半导体衬底的处理室中,任选地使用载气。含氧反应物的示例包括但不限于O2、O3、H2O、H2O2和N2O。载气的示例包括但不限于氦气、氩气和N2。接下来,在操作405中,含硅前体与半导体衬底表面上的反应物反应以形成氧化硅层的至少一部分,其中沉积(所有步骤401-405)在至少约500℃的温度下进行。该反应可以是热的或等离子体辅助的。接下来,在操作407中,步骤401-405任选地根据需要重复多次,直到形成所需厚度的氧化硅层。
在一些实施方案中,通过清扫和/或抽排将非表面结合的含硅前体和/或反应物从处理室中去除,将反应限制在衬底表面。一实施方案由图5中所示的处理流程图说明。该处理在501中通过将半导体衬底暴露于本文提供的含硅前体而开始。接下来,在503中,对容纳半导体衬底的处理室进行清扫和/或抽排。进行清扫和/或抽排以去除非表面结合的含硅前体。在一些实施方案中,处理室用惰性气体例如氩气、氦气、N2等清扫。接下来,在操作505中,将半导体衬底暴露于含氧反应物并且允许含硅前体与衬底表面上的含氧反应物反应以形成氧化硅中的至少一部分。该反应可以是热的或等离子体辅助的。接下来,在操作507中,处理室被清扫和/或抽排。本实施方案中的所有步骤501-507均在至少约500℃的温度下进行。包括步骤501-507的沉积循环可以根据需要重复多次以提供所需厚度的氧化硅。
在一些实施方案中,单一的含硅前体用于热沉积和等离子体增强沉积两者。前体可用于沉积具有相同或不同组成的层。在一些实施方案中,热沉积和等离子体增强沉积在单个衬底上顺序执行。例如,如果衬底最初包含容易被等离子体损坏的暴露表面,则含硅层的沉积可以在没有等离子体的情况下以热方式开始。容易被等离子体损坏的表面的示例包括但不限于Si、a-Si、a-C、聚合物复合材料(例如用于光刻的那些)、或金属、或准金属层(包括但不限于Ga、In、W、Mo、Cu、Ni、Co、Sb、Sn和Ag)、或二元或三元材料(包括但不限于MoS2、WS2、MoSe2、WSe2、MoTe2、InGaS,InGaAs和InGaSb)。接着,在衬底的表面涂覆有较不精细的含硅材料之后,可接着进行相同的含硅材料的等离子体增强沉积。在其他实施方案中,该处理以等离子体增强沉积开始,随后在不存在等离子体的情况下进行热沉积。可以使用本文所述的含硅前体和沉积方法进行沉积。
图6中示出了用于沉积氧化硅的示例性处理流程图。在操作601中,将半导体衬底提供给处理室。例如,可以将在其表面上具有等离子体敏感材料层的半导体衬底提供给PEALD装置的处理室。接下来,在操作603中,在至少约500℃的温度下,在不存在等离子体的情况下,通过ALD将氧化硅层的一部分沉积到衬底上。例如,该热步骤中的氧化硅可以直接沉积到等离子体敏感材料上,以防止任何等离子体损坏该层。例如,可以使用本文提供的任何含硅前体进行沉积。接下来,在操作605中,使用与热沉积603中使用的相同的含硅前体,在等离子体辅助沉积中将氧化硅层的第二部分沉积到衬底上。在一些实施方案中,等离子体增强沉积605在与热沉积603相同的处理室中进行。在其他实施方案中,衬底从热沉积站转移到容纳两个站的一个模块内的等离子体增强沉积站,而不将半导体衬底暴露于环境大气。在一些实施方案中,等离子体辅助沉积在至少约500℃的温度下进行。在一些实施方案中,沉积603和605是热和等离子体增强ALD操作,其涉及含硅前体与衬底表面上的含氧反应物的反应,如图4和5中所述。在一些实施方案中,在热和等离子体增强沉积过程中使用的含氧反应物是不同的。在一个示例中,用于高温热沉积的含氧反应物是水,而用于高温等离子体增强沉积的含氧反应物是O2和N2O的混合物。
虽然使用氧化硅沉积作为示例说明了这些方法,但是应当理解,通过使用合适的反应物,可以使用本文所述的含硅前体在高温下类似地沉积其他含硅材料。例如,氮化硅可以通过使用含氮反应物(例如,NH3、肼、N2)与含硅前体进行高温表面反应来沉积,其中该反应可以是热的或等离子体辅助的。可以通过使用含有含氧和含氮反应物的处理气体与含硅前体进行高温表面反应来沉积氧氮化硅。
装置
本文所述的沉积方法可以在多种装置中进行。合适的装置包括具有用于引入反应物的一个或多个入口的处理室、处理室中的被配置为在沉积期间将衬底保持在适当位置的衬底保持器、以及任选地被配置为在处理气体中产生等离子体的等离子体产生机构。当进行高温沉积时,该装置还包括加热器,该加热器被配置为将处理室加热到期望的温度。该装置可以包括控制器,该控制器具有用于引起本文所述的任何方法步骤的程序指令。本文所述的沉积方法可以在可从加利福尼亚州弗里蒙特的Lam Research Corp.获得的相应ALD装置(例如
Figure BDA0003786133750000151
工具)中进行。热沉积可以在具有或不具有等离子体产生机构的装置中进行。等离子体增强沉积在具有等离子体产生机构的装置中进行,其中等离子体产生机构可以被配置为远程(在容纳衬底的处理室之外)或直接(在容纳衬底的处理室内部)产生等离子体。在一些实施方案中,该装置包括至少两个处理室或一个处理室内的至少两个站,其中一个被配置用于热沉积,而另一个被配置用于等离子体增强沉积。
在一些实施方案中,该装置包括具有程序指令的控制器,该程序指令包括以下指令:致使将含硅前体引入处理室,其中前体是本文所述的任何前体;并且在至少约500℃的温度下引起含硅前体和反应物之间的反应以在衬底上形成含硅材料层,其中该反应被配置为以表面受限的方式进行。例如,程序指令可以包括用于致使在引入含硅前体和反应物中的每一者之后进行清扫的指令,以及用于致使将含硅前体和反应物重复顺序引入处理室以沉积所需厚度的层的指令。在一些实施方案中,提供了用于使衬底暴露于含氧反应物(任选地在等离子体中)的指令。通常,控制器可以包括用于引起本文描述的任何方法的程序指令。
适合于使用所提供的方法沉积含硅膜的沉积装置的示例在图7中示出。图7示意性地示出了可用于使用原子层沉积(ALD)来沉积材料的处理站700的实施方案,该原子层沉积(ALD)可以是热或等离子增强的。为简单起见,处理站700被描绘为具有用于维持低压环境的处理室主体702的独立处理站。然而,应当理解,多个处理站700可以包括在共同的处理工具环境中。此外,应当理解,在一些实施方案中,可以由一个或多个计算机控制器以编程方式调整处理站700的一个或多个硬件参数,包括下面详细讨论的那些硬件参数。
处理站700与反应物输送系统701流体连通,以将处理气体输送至分配喷头706。反应物输送系统701包含混合容器704,混合容器704用于共混和/或调节处理气体以输送至喷头706。一个或多个混合容器入口阀720可以对处理气体导入至混合容器704进行控制。类似地,喷头入口阀705可对处理气体导入至喷头706进行控制。
一些含硅前体在蒸发和随后输送到处理站之前可以以固体或液体形式储存。例如,图7的实施方案包含汽化点703,汽化点703用于汽化将供应至混合容器704的固体反应物。在一些实施方案中,汽化点703可以是加热的蒸发器。在一些实施方案中,惰性气体流在低于大气压的压强下通过加热的固体含硅前体,或鼓泡通过加热的液体含硅前体,并将前体蒸气运送到处理室。从这样的蒸发器产生的前体蒸气会在下游输送管道凝结。不兼容气体暴露于凝结的反应物会产生小颗粒。这些小颗粒可能阻塞管道、阻碍阀操作、污染衬底等。处理这些问题的一些方法涉及清扫和/或抽排输送管道以去除残留反应物。然而,清扫输送管道会增加处理站循环时间,降低处理站吞吐量。因此,在一些实施方案中,汽化点703下游的输送管道可以被热追踪。在一些示例中,混合容器704也可以被热追踪。在一个非限制性示例中,汽化点703下游的管道具有从约100℃升高至在混合容器704处的约200℃的升高的温度分布。
喷头706朝衬底712分配处理气体。在图7所示的实施方案中,衬底712位于喷头706下方,并且示出为安置在基座708上。应当理解,喷头706可以具有任何适当的形状,并可以具有任何适当数量和布置的端口,以将处理气体分配至衬底712。虽然没有明确示出,但在一些实施方案中,喷头706是包括至少两种类型的导管的双充气室喷头,其中第一类型的管道是专用于输送含硅前体蒸气,而第二类型的导管专用于输送反应物。在这些实施方案中,不允许含硅前体和反应物在进入处理室之前在导管中混合,并且如果连续输送到处理室则不共用导管。
在一些实施方案中,微体积707位于喷头706下方。在微体积中而不是在处理站的整个体积中执行ALD处理可以减少反应物暴露和清扫时间,可以减少改变处理条件(例如,压强、温度等)的时间,可以限制处理站机械手对处理气体的暴露等。示例性的微体积大小包括但不限于0.1升和2升之间的容积。这个微量体积也影响生产力吞吐量。当每个循环的沉积速率下降时,循环时间也同时减少。在某些情况下,对于给定的目标膜厚度,循环时间减少的效果足够显著以提高模块的总体产量。
在一些实施方案中,可以升高或降低基座708以使衬底712暴露于微体积707和/或改变微体积707的体积。例如,在衬底传送阶段中,可以降低基座708以使得衬底712能被加载在基座708上。在沉积处理阶段期间,可以升高基座708以将衬底712定位在微体积707内。在一些实施方案中,微体积707可以完全包围衬底712以及基座708的一部分以在沉积处理期间形成高流阻抗的区域。
任选地,基座708可以在沉积处理的部分期间降低和/或升高,以调节微体积707内的处理压强、反应物浓度等。在一种使处理室主体702在沉积处理期间保持在基础压强下的情况下,降低基座708可以使得微体积707能被抽空。微体积与处理室体积的示例性比率包括但不限于1:700和1:10之间的体积比。应当理解,在一些实施方案中,可以通过合适的计算机控制器以编程方式调整基座高度。
尽管这里描述的示例性微体积变化涉及高度可调节的基座,但是应当理解,在一些实施方案中,喷头706的位置可以相对于基座708调节以改变微体积707的体积。此外,应当理解的是,基座708和/或喷头706的竖直位置可以通过本公开内容的范围内的任何合适的机构来改变。在一些实施方案中,基座708可包括用于使衬底712的方位旋转的旋转轴线。应该理解的是,在一些实施方案中,这些示例性调节中的一种或多种可以通过一个或多个适当的计算机控制器以编程方式执行。
回到图7所示的实施方案,喷头706和基座708与RF功率源714和匹配网络716电通信以用于对等离子体供电。在其他实施方案中,没有等离子体发生器的装置用于使用所提供的方法热沉积含硅膜。在一些实施方案中,等离子体的能量可通过控制处理站的压强、气体的浓度、射频(RF)源功率、RF源频率以及等离子体功率脉冲时序中的一个或多个来控制。例如,RF功率源714和匹配网络716可在任何合适的功率下进行操作,以形成具有所期望的自由基物质的组分的等离子体。类似地,RF功率源714可以提供任何适当频率的RF功率。在一些实施方案中,RF功率源714可以被配置为控制彼此独立的高频RF功率源和低频RF功率源。示例性的低频RF频率可包括但不限于,介于50kHz和700kHz之间的频率。示例性的高频RF频率可包括但不限于,介于1.8MHz和2.45GHz之间的频率。应当理解,任何合适的参数可被离散地或连续地调节以提供用于表面反应的等离子体能量。在一个非限制性示例中,相对于被连续供电的等离子体,可对等离子体功率间歇地施以脉冲,以减少对衬底表面的离子轰击。
在一些实施方案中,等离子体可由一个或多个等离子体监控器原位监控。在一种情况中,等离子体功率可通过一个或多个电压、电流传感器(例如,VI探针)进行监控。在另一种情况下,等离子体密度和/或处理气体的浓度可以由一个或多个光发射光谱传感器(OES)来测量。在一些实施方案中,一个或多个等离子体参数可基于来自这样的原位等离子体监控器的测量结果通过编程方式进行调节。例如,OES传感器可用于反馈回路中以提供对等离子体功率的编程式控制。应理解的是,在一些实施方案中,可使用其它监控器来监控等离子体和其它处理特性。这样的监控器可包括但不限于,红外(IR)监控器、声学监控器、以及压力传感器。
在一些实施方案中,可以经由输入/输出控制(IOC)测序指令来控制等离子体。在一个示例中,用于设置等离子体处理阶段的等离子体条件的指令可被包含在沉积处理配方的相应的等离子体激活配方阶段中。在一些情况下,处理配方阶段可按顺序排列,使得用于沉积处理阶段的所有指令与该处理阶段同时执行。在一些实施方案中,用于设置一个或多个等离子体参数的指令可以包括在等离子体处理阶段之前的配方阶段中。例如,第一配方阶段可以包括用于设定惰性气体和/或反应物气体的流速的指令,用于将等离子体产生器设定到功率设定点的指令,以及用于第一配方阶段的时间延迟指令。后续的第二配方阶段可以包括用于启用等离子体产生器的指令和用于第二配方阶段的时间延迟指令。第三配方阶段可以包括用于禁用等离子体产生器的指令和用于第三配方阶段的时间延迟指令。应当理解,可以在本公开的范围内以任何合适的方式进一步细分和/或迭代这些配方阶段。
基座708通常通过加热器710进行温度控制。此外,在一些实施方案中,沉积处理站700的压力控制可以由蝶形阀718提供。如图7的实施方案所示,蝶形阀718节流由下游真空泵(未示出)提供的真空。然而,在一些实施方案中,还可以通过改变引入处理站700的一种或多种气体的流速来调节处理站700的压力控制。
图8示出了多站式处理工具800的实施方案的示意图,其具有入站装载锁802和出站装载锁804,其一者或者两者可以包含远程等离子体源。这种工具可用于使用本文提供的方法处理衬底。在大气压下,机械手806被配置为将晶片从通过晶舟808装载的盒经由大气端口810移动至入站装载锁802内。晶片由机械手806放置在入站装载锁802中的基座812上,关闭大气端口810,且抽空装载锁。当入站装载锁802包含远程等离子体源时,晶片在被引入处理室814之前,可以暴露于装载锁中的远程等离子体处理。此外,晶片另外也可以在入站装载锁802中加热,例如以移除湿气和吸附的气体。接下来,通向处理室814的室传输端口816被打开,且另一个机械手(未示出)将晶片放置到反应器中在所述反应器中所示的第一站的基座上以用于处理。尽管图8所示的实施方案包括装载锁,但是应当理解,在一些实施方案中,可以使晶片直接进入处理站。
绘出的处理室814包含4个处理站,图8所示的实施方案中编号为1至4。每个站具有加热的基座(对于站1示出为818)和气体管线入口。应该理解的是,在一些实施方案中,每个处理站可以具有不同或者多个用途。虽然绘出的处理室814包含4个站,但应理解,根据本公开所述的处理室可以具有任何适当数量的站。例如,在一些实施方案中,处理室可以具有5个或更多个站,而在其它实施方案中,处理室可以具有3个或者更少的站。
图8还绘出了用于在处理室814内传输晶片的晶片搬运系统890的实施方案。在一些实施方案中,晶片搬运系统890可以在各种处理站之间和/或处理站与装载锁之间传输晶片。应该理解的是,可以采用任何适当的晶片搬运系统。非限制性示例包含晶片转盘和搬运晶片的机械手。图8还绘出了用于控制处理工具800的处理条件和硬件状态的系统控制器850的实施方案。系统控制器850可以包含一个或多个存储器设备856、一个或多个海量存储设备854和一个或多个处理器852。处理器852可以包含CPU或者计算机、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方案中,系统控制器850控制处理工具800的所有活动。系统控制器850执行存储在海量存储设备854、载入存储器设备856、并在处理器852上执行的系统控制软件858。系统控制软件858可以包含用于控制时序、气体的混合、室和/或站压强、室和/或站温度、清扫条件和时序、晶片温度、RF功率等级、RF频率、衬底、基座、卡盘和/或基座位置、以及由处理工具800执行的特定处理的其它参数的指令。系统控制软件858可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入以控制根据所公开的方法执行各种处理工具处理所必需的处理工具组件的操作。系统控制软件858可以以任何适当的计算机可读编程语言来编码。
在一些实施方案中,系统控制软件858可以包含用于控制上述各种参数的输入/输出控制(IOC)测序指令。例如,ALD处理的每个阶段可以包括由系统控制器850执行的一个或多个指令。用于设置ALD处理阶段的处理条件的指令可以包括在对应的ALD配方阶段中。在一些实施方案中,ALD配方阶段可以顺序排列,使得用于ALD处理阶段的所有指令与该处理阶段同时执行。
在一些实施方案中可以采用存储在与系统控制器850关联的海量存储设备854和/或存储器设备856上的其它计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定位程序、处理气体控制程序、压力控制程序、加热器控制程序、以及等离子体控制程序。
衬底定位程序可以包含用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座818,并控制衬底和处理工具800的其它部分之间的间隔。
处理气体控制程序可以包括用于控制气体组分和流速以及任选地用于在沉积之前使气体流入一个或多个处理站以便稳定处理站中的压强的代码。处理气体控制程序可包括用于控制任何公开范围内的气体组成和流速的代码。压力控制程序可以包含用于通过调节例如在处理站的排放系统中的节流阀、流入处理站内的气流等等来控制处理站内的压强的代码。压力控制程序可以包括用于将处理站中的压强保持在任何所公开的压强范围内的代码。
加热器控制程序可以包括用于控制流到加热单元的电流的代码,该加热单元用于加热衬底。替代地,加热器控制程序可以控制传热气体(例如氦气)向衬底的传送。加热器控制程序可以包括将衬底的温度保持在任何公开范围内的指令。
等离子体控制程序可以包括用于设置施加到一个或多个处理站中的处理电极的RF功率等级和频率的代码,例如使用本文公开的任何RF功率等级。等离子体控制程序还可以包括用于控制每次等离子体暴露的持续时间的代码。
在一些实施方案中,可以存在与系统控制器850相关联的用户界面。用户界面可以包含显示屏、装置和/或处理条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方案中,由系统控制器850调节的参数会涉及处理条件。非限制性示例包含处理气体组成和流率、温度、压强、等离子体条件(例如,RF功率水平、频率和暴露时间)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由系统控制器850的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具800的模拟和/或数字输出连接件输出。可被监控的处理工具传感器的非限制性示例包含质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持处理条件。
控制器可以包括用于引起本文所述方法的任何步骤的程序指令。在一些实施方案中,程序指令包括用于以下步骤的指令:在反应器的一个站中在不存在等离子体的情况下引起含硅层的一部分的沉积;将衬底转移到反应器内的第二站并在等离子体增强沉积中沉积含硅层的第二部分,而不将衬底暴露于大气水分和氧气中。在其他实施方案中,提供了用于在装置的一个站中引起无等离子体沉积,随后是等离子体增强沉积的程序指令。
可以使用任何合适的室来实施所公开的实施方案。示例性沉积设备包括但不限于来自可从加利福尼亚州弗里蒙特的Lam Research Corp.获得的
Figure BDA0003786133750000211
产品系列的装置,或者各种其他可商购获得的处理系统中的任一种。两个或更多个站可以执行相同的功能。类似地,两个或更多个站可以执行不同的功能。可以根据需要设计/配置每个站以执行特定的功能/方法。
图9是根据某些实施方案的适用于进行薄膜沉积处理的处理系统的框图。系统900包括传送模块903。传送模块903提供清洁、加压的环境,以最小化当正被处理的衬底在各个反应器模块之间移动时被污染的风险。根据某些实施方案,安装在传送模块903上的是两个多站式反应器909和910,每个反应器能够执行原子层沉积(ALD)。反应器909和910可以包括多个站911、913、915和917,其可以根据所公开的实施方案顺序地或非顺序地执行操作。这些站可包括加热的基座或衬底支撑件、一个或多个气体入口或喷头或分散板。
安装在传送模块903上的还可以是一个或多个单站或多站式模块907,其能够执行等离子体或化学(非等离子体)预清洁,或者与所公开的方法有关的任何其他处理。在一些情况下,模块907可以用于各种处理,以例如制备用于沉积处理的衬底。模块907还可以被设计/配置为执行各种其他处理,例如蚀刻或抛光。系统900还包括一个或多个晶片源模块901,其中在处理之前和之后存储晶片。大气传送室919中的大气机械手(未示出)可以首先将晶片从源模块901移动到装载锁921。传送模块903中的晶片传送装置(通常是机械臂单元)将晶片从装载锁921移动到安装在传送模块903上的模块中以及在安装在传送模块903上的模块中。
在多种实施方案中,系统控制器929用于控制沉积过程中的处理条件。控制器929通常将包括一或多个存储器设备和一或多个处理器。处理器可包括CPU或计算器、模拟和/或数字输入/输出连接部、步进马达控制器板,等等。
控制器929可控制沉积装置的活动中的全部。系统控制器929执行系统控制软件,系统控制软件包括用于控制定时、气体的混合物、室压、室温、晶片温度、射频(RF)功率等级、晶片卡盘或基座位置以及特殊处理的其他参数的成组的指令。存储在与控制器929相关联的存储器设备的其他计算机程序可在一些实施方案中被采用。
通常会有与控制器929相关联的用户界面。用户界面可包括显示屏、该装置和/或处理条件的图形软件显示器以及诸如指点设备、键盘、触摸屏、话筒等用户输入设备。
系统控制逻辑可以用任何合适的方式来配置。一般而言,该逻辑可被设计或配置在硬件和/或软件中。用于控制驱动电路的指令可被硬编码或作为软件被提供。指令可通过“编程”提供。这种编程被理解为包括任何形式的逻辑,包括数字信号处理器、专用集成电路以及具有实现为硬件的具体算法的其他器件中的硬编码逻辑。编程还被理解为包括可在通用处理器上执行的软件或固件指令。系统控制软件可以任何合适的计算机可读编程语言进行编码。
用于控制含锗还原剂脉冲、氢气流和含钨前体脉冲以及处理序列中的其他处理的计算机程序代码可以任何常用计算机可读编程语言:例如,汇编语言、C、C++、Pascal、Fortran或其他编写。编译的目标代码或脚本由处理器执行以完成程序中所识别的任务。同样如所指示的,程序代码可以是硬编码的。
控制器参数与处理条件有关,诸如,例如处理气体组分和流率、温度、压强、冷却气压、衬底温度以及室壁温度。这些参数以配方的形式被提供给用户,且可利用用户界面输入。用于监控处理的信号可通过系统控制器929的模拟和/或数字输入连接被提供。用于控制处理的信号通过沉积装置900的模拟和数字输出连接被输出。
可以以许多不同方式设计或配置系统软件。例如,根据所公开的实施方案,可以编写各种室部件子程序或控制对象以控制执行沉积处理(以及在一些情况下的其他处理)所必需的室部件的操作。用于该目的的程序或程序段的示例包括衬底定位代码、处理气体控制代码、压力控制代码和加热器控制代码。
在一些实现方式中,控制器929是系统的一部分,该系统可以是上述实施例的一部分。这种系统可以包含半导体处理设备,该半导体处理设备包含一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件集成。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统的类型,控制器929可以被编程以控制本文公开的任何处理,包含控制处理气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、在一些系统中的射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
广义而言,控制器可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包含存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方的一部分。
在一些实现方式中,控制器可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器可以在“云端”或者是晶片厂(fab)主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监控制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的处理。在一些实施例中,远程计算机(例如,服务器)可以通过网络给系统提供处理配方,网络可以包含本地网络或互联网。远程计算机可以包含允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到系统。在一些实施例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的处理类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包含一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的处理和控制)工作。用于这些目的的分布式控制器的实施例可以是与结合以控制室内处理的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
示例的系统可以包含但不限于等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个处理步骤,控制器可以与下列中的一者或多者通信:其它工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具。
另外的实施方案
本文描述的装置和处理可以与光刻图案化工具或处理结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些装置和处理将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包括以下步骤中的一些或所有,每个步骤启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,衬底上涂覆光致抗蚀剂;(2)使用热板或加热炉或紫外线固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或X射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式清洗台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转移到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。

Claims (37)

1.一种在半导体衬底上形成含硅层的方法,所述方法包括:
(a)在处理室中将所述半导体衬底暴露于含硅前体,其中所述含硅前体是具有以下各项的化合物:
至少一个Si-Si键;
至少一个Si-X键,其中X选自卤素、三氟甲磺酸酯、甲苯磺酸酯、CN、N3和NR1R2,其中R1和R2独立地选自H和烷基,其中R1和R2或者连接以形成环状环结构,或者不连接;以及
至少一个Si-R键,其中R选自H和烷基;
(b)将所述半导体衬底暴露于所述处理室中的反应物;以及
(c)使所述含硅前体与所述半导体衬底的表面上的所述反应物反应以形成所述含硅层的至少一部分,其中操作(a)-(c)在至少约500℃的温度下进行。
2.根据权利要求1所述的方法,其中所述反应物是含氧反应物并且其中(c)包括使所述含硅前体与所述含氧反应物在不存在等离子体的情况下反应以形成氧化硅层。
3.根据权利要求1所述的方法,其还包括在所述半导体衬底暴露于所述含硅前体和所述反应物之间清扫所述处理室。
4.根据权利要求1所述的方法,其中操作(a)-(c)在至少约550℃的温度下进行。
5.根据权利要求1所述的方法,其中,所述含硅前体选自:
Figure FDA0003786133740000011
Figure FDA0003786133740000012
以及
Figure FDA0003786133740000021
其中每个R相同或不同并且独立地选自H和C1-C3烷基。
6.根据权利要求1所述的方法,其中所述含硅前体选自:1-氯乙硅烷、1-二甲氨基乙硅烷、1-二乙氨基三硅烷、1-溴四硅烷和1,2-双(二异丙基氨基)乙硅烷。
7.根据权利要求1所述的方法,其中R是烷基,并且其中所述含硅前体不包括Si-H键。
8.根据权利要求1所述的方法,其还包括重复操作(a)-(c)直到所述含硅层达到目标厚度。
9.根据权利要求1所述的方法,其中所述方法包括在不存在等离子体的情况下沉积所述含硅层的第一部分,以及使用等离子体辅助反应沉积所述含硅层的第二部分,同时,针对热沉积和等离子体辅助沉积两者使用相同的含硅前体。
10.一种在半导体衬底上形成含硅层的方法,所述方法包括:
(a)在处理室中将所述半导体衬底暴露于含硅前体,其中所述含硅前体是化合物,该化合物具有:
与至少两个氮原子形成键的至少一个硅原子,其中所述至少两个氮原子连接以形成环状环;
(b)将所述半导体衬底暴露于所述处理室中的反应物;以及
(c)使所述含硅前体与所述半导体衬底的表面上的所述反应物反应以形成所述含硅层的至少一部分,其中操作(a)-(c)在至少约500℃的温度下进行。
11.根据权利要求10所述的方法,其中所述含硅前体是选自由以下项组成的群组中的化合物:
Figure FDA0003786133740000031
Figure FDA0003786133740000032
以及
Figure FDA0003786133740000033
其中每个R相同或不同并且独立地选自H和烷基,并且其中每个R1相同或不同并且是烷基。
12.根据权利要求10所述的方法,其中所述反应物是含氧反应物并且其中(c)包括使所述含硅前体与所述含氧反应物在不存在等离子体的情况下反应以形成氧化硅层。
13.根据权利要求10所述的方法,其还包括在所述半导体衬底暴露于所述含硅前体和所述反应物之间清扫所述处理室。
14.根据权利要求10所述的方法,其中操作(a)-(c)在至少大于约550℃的温度下进行。
15.根据权利要求10所述的方法,其还包括重复操作(a)-(c)直到所述含硅层达到目标厚度。
16.根据权利要求10所述的方法,其中所述方法包括在不存在等离子体的情况下沉积所述含硅层的第一部分,以及使用等离子体辅助反应沉积所述含硅层的第二部分,同时,针对热沉积和等离子体辅助沉积两者使用相同的含硅前体。
17.一种在半导体衬底上形成含硅层的方法,所述方法包括:
(a)在处理室中将所述半导体衬底暴露于含硅前体,其中所述含硅前体是化合物,该化合物具有:
与至少两个硅原子形成键的至少一个氮原子;
(b)将所述半导体衬底暴露于所述处理室中的反应物;以及
(c)使所述含硅前体与所述半导体衬底的表面上的所述反应物反应以形成所述含硅层的至少一部分,其中操作(a)-(c)在至少约500℃的温度下进行。
18.根据权利要求17所述的方法,其中所述含硅前体是:
Figure FDA0003786133740000041
其中每个R相同或不同并且独立地选自H和烷基,并且其中每个R1独立地选自H、烷基和Si(R2)3,其中每个R2独立地选自H和烷基。
19.根据权利要求17所述的方法,其中所述含硅前体是:
Figure FDA0003786133740000042
20.根据权利要求17所述的方法,其中所述含硅前体选自三(三甲基甲硅烷基)胺、三甲硅烷基胺、异丙基(二甲硅烷基)胺、乙基-双(三甲基甲硅烷基)胺、二甲硅烷基肼。
21.根据权利要求17所述的方法,其中所述反应物是含氧反应物并且其中(c)包括使所述含硅前体与所述含氧反应物在不存在等离子体的情况下反应以形成氧化硅层。
22.根据权利要求17所述的方法,其还包括在所述半导体衬底暴露于所述含硅前体和所述反应物之间清扫所述处理室。
23.根据权利要求17所述的方法,其中操作(a)-(c)在至少大于约550℃的温度下进行。
24.根据权利要求17所述的方法,其还包括重复操作(a)-(c)直到所述含硅层达到目标厚度。
25.根据权利要求17所述的方法,其中所述方法包括在不存在等离子体的情况下沉积所述含硅层的第一部分,以及使用等离子体辅助反应沉积所述含硅层的第二部分,同时,针对热沉积和等离子体辅助沉积两者使用相同的含硅前体。
26.一种在半导体衬底上形成含硅层的方法,所述方法包括:
(a)在处理室中将所述半导体衬底暴露于含硅前体,其中所述含硅前体是化合物,该化合物具有:
与选自-N3、-CN、-OTf(三氟甲磺酸酯)和-OTs(甲苯磺酸酯)的部分形成键的至少一个硅原子;
(b)将所述半导体衬底暴露于所述处理室中的反应物;以及
(c)使所述含硅前体与所述半导体衬底的表面上的所述反应物反应以形成所述含硅层的至少一部分,其中操作(a)-(c)在至少约500℃的温度下进行。
27.根据权利要求26所述的方法,其中所述含硅前体是:
SiXnRm,其中每个X独立地选自-N3、-CN、-OTf(三氟甲磺酸酯)和-OTs(甲苯磺酸酯),每个R独立地选自H、烷基和NR1R2,其中每个R1和R2独立地选自H和烷基,其中R1和R2或者连接以形成环状环结构,或者不连接,其中n为1-3,m为1-3,并且n+m为4。
28.根据权利要求26所述的方法,其中所述反应物是含氧反应物并且其中(c)包括使所述含硅前体与所述含氧反应物在不存在等离子体的情况下反应以形成氧化硅层。
29.一种在半导体衬底上形成含硅层的方法,所述方法包括:
(a)在处理室中将所述半导体衬底暴露于含硅前体,其中所述含硅前体是化合物,该化合物具有:
与至少两个氧原子形成键的至少一个硅原子,其中所述至少两个氧原子连接以形成环状环;
(b)将所述半导体衬底暴露于所述处理室中的反应物;以及
(c)使所述含硅前体与所述半导体衬底的表面上的所述反应物反应以形成所述含硅层的至少一部分,其中操作(a)-(c)在至少约500℃的温度下进行。
30.根据权利要求29所述的方法,其中所述两个氧原子衍生自β-二酮部分。
31.一种在半导体衬底上形成含硅层的方法,所述方法包括:
(a)在处理室中将所述半导体衬底暴露于含硅前体,其中所述含硅前体是化合物,该化合物具有:
作为环状环的一部分的至少一个硅原子;
(b)将所述半导体衬底暴露于所述处理室中的反应物;以及
(c)使所述含硅前体与所述半导体衬底的表面上的所述反应物反应以形成所述含硅层的至少一部分,其中操作(a)-(c)在至少约500℃的温度下进行。
32.根据权利要求31所述的方法,其中所述含硅前体选自由化合物12、13、14和15组成的群组。
33.根据权利要求1、10、17、26、29和31中任一项所述的方法,其中,所述含硅层选自由氮化硅、氧氮化硅、碳化硅和氧碳化硅组成的群组。
34.根据权利要求1所述的方法,其中所述含硅前体是SinR(2n+1)X,其中n选自2至10,并且其中每个R相同或不同并且独立地选自:H、烷基、烯基和炔基。
35.根据权利要求1所述的方法,其中所述含硅前体包含作为环的一部分的至少一个硅原子。
36.根据权利要求1、10、17、26、29和31中任一项所述的方法,其还包括:
将光致抗蚀剂涂敷到半导体衬底上;
将所述光致抗蚀剂曝光;
图案化所述光致抗蚀剂;
将所述图案转移至所述半导体衬底;以及
选择性地从所述半导体衬底上去除所述光致抗蚀剂。
37.一种用于在半导体衬底上沉积含硅层的装置,所述装置包括:
处理室,其被配置用于容纳所述半导体衬底,其中所述处理室包括用于引入含硅前体的入口;以及控制器,其包括用于根据权利要求1、10、17、26、29和31中任一项所述的方法使所述含硅层沉积在所述半导体衬底上的程序指令。
CN202180013256.4A 2020-02-07 2021-02-03 用于含硅膜高温沉积的前体 Pending CN115053016A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202062971680P 2020-02-07 2020-02-07
US62/971,680 2020-02-07
PCT/US2021/016376 WO2021158633A2 (en) 2020-02-07 2021-02-03 Precursors for high-temperature deposition of silicon-containing films

Publications (1)

Publication Number Publication Date
CN115053016A true CN115053016A (zh) 2022-09-13

Family

ID=77200534

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180013256.4A Pending CN115053016A (zh) 2020-02-07 2021-02-03 用于含硅膜高温沉积的前体

Country Status (6)

Country Link
US (1) US20230098270A1 (zh)
JP (1) JP2023512681A (zh)
KR (1) KR20220139376A (zh)
CN (1) CN115053016A (zh)
TW (1) TW202140506A (zh)
WO (1) WO2021158633A2 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8563443B2 (en) * 2011-02-18 2013-10-22 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
JP6125946B2 (ja) * 2013-08-08 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US9847221B1 (en) * 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US20190318925A1 (en) * 2018-04-11 2019-10-17 Versum Materials Us, Llc Monoorganoaminodisilane Precursors and Methods for Depositing Films Comprising Same

Also Published As

Publication number Publication date
TW202140506A (zh) 2021-11-01
WO2021158633A3 (en) 2021-09-30
KR20220139376A (ko) 2022-10-14
US20230098270A1 (en) 2023-03-30
WO2021158633A2 (en) 2021-08-12
JP2023512681A (ja) 2023-03-28

Similar Documents

Publication Publication Date Title
CN109937467B (zh) 用于高模数ALD SiO2间隔物的方法
CN111247269B (zh) 介电膜的几何选择性沉积
US9865455B1 (en) Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US11821071B2 (en) Precursors for deposition of molybdenum-containing films
US9552982B2 (en) Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
CN107393809A (zh) 使用pecvd沉积保形和低湿蚀刻速率的封装层的方法
KR20180013745A (ko) 반도체 패터닝 애플리케이션들을 위한 도핑된 ald 막들
KR20130085900A (ko) 무-염소 등각 질화 규소 필름 증착 방법
US20220298624A1 (en) Substantially carbon-free molybdenum-containing and tungsten-containing films in semiconductor device manufacturing
KR20240063062A (ko) 고종횡비 실린더 에칭을 위해 측벽 패시베이션 증착 컨포멀성을 튜닝하는 기법
US20230238238A1 (en) Advanced self aligned multiple patterning using tin oxide
CN115398032A (zh) 原子层沉积过程中的损失预防
CN115053016A (zh) 用于含硅膜高温沉积的前体
TW202340510A (zh) 用於針對低溫前驅物改進保形性的原子層沉積脈衝序列工程
WO2023230296A1 (en) Single wafer reactor, low temperature, thermal silicon nitride deposition
TW202418351A (zh) 表面抑制原子層沉積
KR20230039625A (ko) 저온 ald 막들을 위한 챔버 언더코팅 준비 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination