JP2023512681A - ケイ素含有膜の高温堆積のための前駆体 - Google Patents

ケイ素含有膜の高温堆積のための前駆体 Download PDF

Info

Publication number
JP2023512681A
JP2023512681A JP2022546607A JP2022546607A JP2023512681A JP 2023512681 A JP2023512681 A JP 2023512681A JP 2022546607 A JP2022546607 A JP 2022546607A JP 2022546607 A JP2022546607 A JP 2022546607A JP 2023512681 A JP2023512681 A JP 2023512681A
Authority
JP
Japan
Prior art keywords
silicon
semiconductor substrate
reactant
containing precursor
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022546607A
Other languages
English (en)
Inventor
アグニュー・ダグラス・ウォルター
ラボア・エイドリアン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2023512681A publication Critical patent/JP2023512681A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【解決手段】高品質の酸化ケイ素膜などのケイ素含有膜は、高温ALDプロセスにおけるケイ素含有前駆体の反応を使用して、半導体基板上に堆積される。いくつかの実施形態では、提供される前駆体は、少なくとも約500℃、例えば約550℃を超える温度でのケイ素含有膜の堆積に適している。例えば、酸化ケイ素は、基板の表面上でのケイ素含有前駆体と酸素含有反応剤(例えば、O3、O2、H2O)の反応によって、高温で堆積させることができる。いくつかの実施態様では、適切な前駆体は、少なくとも1つのケイ素-ケイ素結合、少なくとも1つの脱離基(例えば、ハロゲン)、および任意選択で、少なくとも1つの電子供与基(例えば、アルキル)を含む。前駆体は、いくつかの実施態様では、熱ALDとPEALDの両方に適している。いくつかの実施形態では、単一の酸化ケイ素膜の堆積中、単一の前駆体が熱ALDとPEALDの両方で使用される。【選択図】 図6

Description

[参照による援用]
本出願の一部として、本明細書と同時にPCT出願願書が提出される。この同時出願されたPCT出願願書に明記され、本出願が利益または優先権を主張する各出願は、参照によりその全体があらゆる目的で本明細書に組み込まれる。
本発明は、半導体デバイス製造の方法に関する。具体的には、本発明の実施形態は、半導体処理におけるケイ素含有膜の堆積に使用される前駆体に関する。
集積回路(IC)製作では、誘電体層に埋め込まれた金属ラインを形成するなど、材料のパターンを形成するために堆積およびエッチング技法が使用される。いくつかのパターニングスキームは、材料の共形堆積を必要とし、堆積層は、基板の表面上の突起および/または凹状フィーチャの輪郭に従うべきである。原子層堆積(ALD)は基板上に共形膜を形成する好ましい方法であることが多いが、その理由は、ALDが典型的には基板の表面への1つまたは複数の反応剤(前駆体)の吸着、およびその後の所望の材料への吸着層の化学変換に依存するからである。ALDは基板の表面上で発生し、時間的に分離され、典型的には吸着された反応剤の量によって制限される逐次反応を使用するため、この方法は、優れたステップカバレッジを有する薄い共形層を提供することができる。
化学気相堆積(CVD)は、半導体処理で広く使用される別の堆積方法である。CVDでは、反応はプロセスチャンバの容積内で起こり、基板に吸着される反応剤の量によって制限されない。結果として、CVD堆積膜は、ALD堆積膜よりも共形ではないことが多い。CVDは、典型的には、ステップカバレッジがあまり重要でない用途で使用される。
ALDおよびCVDは、プラズマを用いて堆積前駆体の反応を促進し、所望の膜を形成することができる。プラズマを利用する方法は、プラズマ強化ALD(PEALD)およびプラズマ強化CVD(PECVD)として知られている。プラズマを用いない方法は、熱ALDおよび熱CVDと呼ばれる。
ここで提供される背景の説明は、本開示の内容を概ね提示することを目的とする。この背景技術のセクションで説明される範囲内における、現時点で名前を挙げられている発明者らによる研究、ならびに出願の時点で先行技術として別途みなされ得ない説明の態様は、明示または暗示を問わず、本開示に対抗する先行技術として認められない。
酸化ケイ素などのケイ素含有膜を堆積するための方法が提供される。方法は、いくつかの実施形態では、少なくとも約500℃、例えば少なくとも約550℃(例えば、約550℃および約550℃超)、例えば少なくとも約600℃の高温での堆積を伴う。少なくとも約500℃の温度、例えば約550~700℃の温度での堆積に適した安定なケイ素含有前駆体が提供される。これらの温度で表面のみの所望の反応性を提供し、熱ALDとPEALDの両方で使用することができる前駆体が提供される。高温堆積を利用して、優れた品質のケイ素含有膜を堆積することができる。例えば、高温ALDによって堆積された酸化ケイ素は、低温では達成することができないことが多い低いウェットエッチング速度、低い漏れ電流、高い絶縁破壊電界、および低い不純物濃度など、集積回路製作にとって望ましい特性を有することができる。いくつかの実施形態では、本明細書に記載の高温堆積によって得られる酸化ケイ素膜は、以下の特性の1つまたは複数を有する:約1原子%未満の不純物濃度(水素濃度は計算から除外される)、少なくとも約2.23g/cm3の密度(例えば、約2.23~2.29g/cm3、例えば約2.26g/cm3)、289mMの濃度を有するフッ化水素酸(HF)水溶液中で約4nm/分未満のウェットエッチング速度、2MVで約1×10-10A/cm2未満の漏れ電流、および約10MV/cmを超える絶縁破壊電界。いくつかの実施形態では、本明細書で提供される堆積酸化ケイ素膜は、上に挙げた特性のすべてを有する。
低温ALDに従来使用されている多くのケイ素含有前駆体は、高温で熱分解を示し、高温ALDには適していない。さらに、多くの従来のケイ素含有前駆体は、熱堆積とプラズマ強化堆積の両方に適していない。
一実施形態では、半導体基板上にケイ素含有層を形成する方法が提供され、方法は、(a)プロセスチャンバ内で半導体基板をケイ素含有前駆体に曝露することと、(b)プロセスチャンバ内で半導体基板を反応剤に曝露することと、(c)半導体基板の表面上でケイ素含有前駆体を反応剤と反応させ、ケイ素含有層の少なくとも一部を形成することであって、動作(a)~(c)は、少なくとも約500℃の温度で実施されることとを含み、ケイ素含有前駆体は、少なくとも1つのSi-Si結合、少なくとも1つのSi-X結合であって、Xは、ハロゲン、トリフレート、トシレート、CN、N3、およびNR12からなる群から選択され、R1およびR2は、Hおよびアルキルからなる群から独立して選択され、R1およびR2は、連結して環状環構造を形成するか、または連結されないかのいずれかである少なくとも1つのSi-X結合、ならびに少なくとも1つのSi-R結合であって、Rは、Hおよびアルキルからなる群から選択される少なくとも1つのSi-R結合を有する化合物である。
別の実施形態では、半導体基板上にケイ素含有層を形成する方法が提供され、方法は、(a)プロセスチャンバ内で半導体基板をケイ素含有前駆体に曝露することと、(b)プロセスチャンバ内で半導体基板を反応剤に曝露することと、(c)半導体基板の表面上でケイ素含有前駆体を反応剤と反応させ、ケイ素含有層の少なくとも一部を形成することであって、動作(a)~(c)は、少なくとも約500℃の温度で実施されることとを含み、ケイ素含有前駆体は、少なくとも2つの窒素原子と結合を形成する少なくとも1つのケイ素原子であって、少なくとも2つの窒素原子は、連結して環状環を形成する少なくとも1つのケイ素原子を有する化合物である。
別の実施形態では、半導体基板上にケイ素含有層を形成する方法が提供され、方法は、(a)プロセスチャンバ内で半導体基板をケイ素含有前駆体に曝露することと、(b)プロセスチャンバ内で半導体基板を反応剤に曝露することと、(c)半導体基板の表面上でケイ素含有前駆体を反応剤と反応させ、ケイ素含有層の少なくとも一部を形成することであって、動作(a)~(c)は、少なくとも約500℃の温度で実施されることとを含み、ケイ素含有前駆体は、少なくとも2つのケイ素原子と結合を形成する少なくとも1つの窒素原子を有する化合物である。
別の実施形態では、半導体基板上にケイ素含有層を形成する方法が提供され、方法は、(a)プロセスチャンバ内で半導体基板をケイ素含有前駆体に曝露することと、(b)プロセスチャンバ内で半導体基板を反応剤に曝露することと、(c)半導体基板の表面上でケイ素含有前駆体を反応剤と反応させ、ケイ素含有層の少なくとも一部を形成することであって、動作(a)~(c)は、少なくとも約500℃の温度で実施されることとを含み、ケイ素含有前駆体は、-N3、-CN、-OTf(トリフレート)、および-OTs(トシレート)からなる群から選択される部分と結合を形成する少なくとも1つのケイ素原子を有する化合物である。
別の実施形態では、半導体基板上にケイ素含有層を形成する方法が提供され、方法は、(a)プロセスチャンバ内で半導体基板をケイ素含有前駆体に曝露することと、(b)プロセスチャンバ内で半導体基板を反応剤に曝露することと、(c)半導体基板の表面上でケイ素含有前駆体を反応剤と反応させ、ケイ素含有層の少なくとも一部を形成することであって、動作(a)~(c)は、少なくとも約500℃の温度で実施されることとを含み、ケイ素含有前駆体は、少なくとも2つの酸素原子と結合を形成する少なくとも1つのケイ素原子であって、少なくとも2つの酸素原子は、連結して環状環を形成する少なくとも1つのケイ素原子を有する化合物である。
別の実施形態では、半導体基板上にケイ素含有層を形成する方法が提供され、方法は、(a)プロセスチャンバ内で半導体基板をケイ素含有前駆体に曝露することと、(b)プロセスチャンバ内で半導体基板を反応剤に曝露することと、(c)半導体基板の表面上でケイ素含有前駆体を反応剤と反応させ、ケイ素含有層の少なくとも一部を形成することであって、動作(a)~(c)は、少なくとも約500℃の温度で実施されることとを含み、ケイ素含有前駆体は、環状環の一部である少なくとも1つのケイ素原子を有する化合物である。
いくつかの実施形態では、本明細書で提供される方法は、フォトリソグラフィパターニング方法と統合され、フォトレジストをワークピースに塗布することと、フォトレジストを露光することと、フォトレジストをパターニングしてパターンをワークピースに転写することと、ワークピースからフォトレジストを選択的に除去することとをさらに含む。
別の態様では、装置が提供され、装置は、ケイ素含有前駆体をプロセスチャンバ内に導入するための入口を有するプロセスチャンバと、基板支持体とを含み、装置は、本明細書で提供される方法のいずれかに従ってケイ素含有膜を堆積するためのプログラム命令を有するコントローラをさらに含む。
別の態様では、システムが提供され、システムは、本明細書に記載の堆積装置と、ステッパとを含む。
別の態様では、堆積ツールを制御するためのプログラム命令を含む非一時的コンピュータ機械可読媒体が提供され、プログラム命令は、本明細書で提供される方法のいずれかのステップを実施するためのコードを含む。
本明細書で説明される主題の実施態様のこれらおよび他の態様は、添付の図面および以下の説明に記載されている。
図1は、本明細書で提供される一実施形態によるケイ素含有前駆体の例を示す図である。
図2は、本明細書で提供される別の実施形態によるケイ素含有前駆体の例を示す図である。
図3Aは、本明細書で提供される実施形態によるケイ素含有前駆体の例を示す図である。 図3Bは、本明細書で提供される実施形態によるケイ素含有前駆体の例を示す図である。
図4は、本明細書で提供される一実施形態による酸化ケイ素膜を形成する方法のプロセスフロー図である。
図5は、本明細書で提供される一実施形態による酸化ケイ素膜を形成する方法のプロセスフロー図である。
図6は、熱ALDとPEALDの両方を介して単一の前駆体を使用して酸化ケイ素膜を形成する方法のプロセスフロー図である。
図7は、本明細書で提供される一実施形態による、ケイ素含有膜を堆積するのに適した装置の概略図である。
図8は、本明細書で提供される一実施形態によるマルチステーション処理システムの概略図である。
図9は、本明細書で提供される一実施形態によるマルチステーション処理システムの概略図である。
高温で半導体基板上に高品質のケイ素含有膜を堆積するための方法および前駆体が提供される。提供される方法および前駆体は、例えば、平坦な基板上にブランケットケイ素含有層(例えば、酸化ケイ素層)を堆積するために、または1つまたは複数の凹状または突出フィーチャを有する基板上に共形ケイ素含有層を堆積するために使用することができる。膜の堆積前の半導体基板は、その表面上に誘電体および/または金属の露出層を含むことがある。方法は、制御された方式で薄いケイ素含有膜を堆積するのに特に有用である。例えば、約0.5~250nmの厚さを有する高品質の共形膜を堆積させることができる。
方法は、限定はしないが、酸化ケイ素(SiOx)、窒化ケイ素(SiNx)、炭化ケイ素(SiCx)、酸窒化ケイ素(SiOxy)、および酸炭化ケイ素(SiOxy)を含む様々なケイ素含有材料の堆積に使用することができ、xおよびyは、これらの化合物の化学量論比が変化し得ることを示す。提供される前駆体および方法は、他の元素の取り込みレベルが低い高品質の酸化ケイ素などの酸化ケイ素の堆積に特に適している。高純度である高品質の酸化ケイ素膜を発生させるためには、少なくとも約500℃、例えば少なくとも約550℃の温度などの高温での堆積がしばしば必要とされる。本明細書では、分解レベルが低く、高温でクリーンな反応性を示す前駆体が提供される。説明されるケイ素含有前駆体は、低温での堆積、および高品質の酸化ケイ素以外の膜の堆積にも使用ですることがきることが理解される。さらに、提供される前駆体は、熱堆積とプラズマ強化堆積の両方に適しており、熱堆積とプラズマ強化堆積の両方は、少なくとも約500℃、例えば約500~800℃、例えば約550~700℃の高温で行うことができる。本明細書に列挙される温度は、基板に近接して測定された温度を指す。本明細書に記載の前駆体は、500℃よりも低い温度での堆積に使用することができることが理解される。
いくつかの実施形態では、堆積中の少なくとも1つのステップが高温で行われる。他の実施形態では、複数の反応剤投入およびパージステップを含み得る堆積全体が、高温で実施される。
本明細書で使用される「酸化ケイ素」は、本質的にケイ素(Si)および酸素(O)からなる材料を指す。他の元素(例えば、CおよびN)は、酸化ケイ素中に少量(例えば、約15原子%未満、または約10%未満の総含有量で、水素は計算に含まれない)存在することができる。酸化ケイ素は典型的にはSiO2であるが、1:2(ケイ素:酸素)の化学量論比からの逸脱が可能であり得る。本明細書で使用される「高純度酸化ケイ素」は、約1原子%未満の炭素、約0.1%未満の窒素、および約0.1%未満の他の元素を含む酸化ケイ素を指し、水素は計算に含まれない。いくつかの実施形態では、高純度酸化ケイ素は、ケイ素および酸素以外の元素を約1原子%未満含む(水素は計算に含まれない)。
窒化ケイ素(SiNx)、炭化ケイ素(SiCx)、酸窒化ケイ素(SiOxy)、および酸炭化ケイ素(SiOxy)は、それぞれ、本質的にケイ素および窒素(SiNx)、ケイ素および炭素(SiCx)、ケイ素、酸素および窒素(SiOxy)、ならびにケイ素酸素および炭素(SiOxy)からなる材料を指す。他の元素は、これらの化合物中に少量、例えば、約10原子%未満の量で存在することができ、水素は計算から除外される。
本明細書で使用される「半導体基板」という用語は、その構造内のどこかに半導体材料を含有する、半導体デバイス製作の任意の段階の基板を指す。半導体基板内の半導体材料は、露出する必要がないことが理解される。半導体材料を覆う他の材料(例えば、誘電体)の複数の層を有する半導体ウエハは、半導体基板の例である。以下の詳細な説明は、開示される実施態様が、200mm、300mm、または450mmの半導体ウエハ上などの半導体ウエハ上で実施されることを想定している。しかし、開示される実施態様は、そのように限定されない。ワークピースは、様々な形状、サイズ、および材料のものであり得る。半導体ウエハに加えて、開示される実施態様を利用することができる他のワークピースには、プリント回路基板などの様々な物品が挙げられる。
数値に関して使用される場合の「約」という用語は、別段の指定がない限り、列挙された数値の±10%の範囲を含む。
本明細書で使用される「アルキル」という用語は、炭素原子および水素原子のみを含有する飽和置換基を指す。アルキルは、直鎖状、分枝状、および環状基を含む。直鎖状アルキル基の例には、限定はしないが、メチル基、エチル基、n-プロピル基、n-ブチル基などが挙げられる。分枝状アルキル基の例には、限定はしないが、イソプロピル、イソブチル、sec-ブチル、およびt-ブチルが挙げられる。シクロアルキルの例には、限定はしないが、シクロプロピル基、シクロペンチル基、シクロヘキシル基などが挙げられる。
本明細書で使用される「アルキルアミノ」という用語は、少なくとも1つのRがアルキルであり、各RがHおよびアルキルから独立して選択されるNR2基を指す。アルキルアミノ置換基の例には、ジメチルアミノおよびジエチルアミノ置換基が挙げられる。
「独立して選択される」という用語は、複数のR基を含有する分子におけるR置換基の選択に言及する場合、分子の異なる原子におけるR置換基の選択が独立していること、および複数のR置換基を有する1つの原子におけるR置換基の選択もまた独立していることを意味する。複数のR基を含有する分子では、R基は、同じであっても異なっていてもよい。
制御された厚さを有するケイ素含有膜は、基板をケイ素含有前駆体および反応剤に連続的に曝露することによって半導体基板上に堆積させることができ、反応剤は、ケイ素含有前駆体と反応し、所望の組成の膜を形成する。反応は、典型的には、基板の表面に存在するケイ素含有前駆体および/または反応剤の量によって制限され、典型的には、所望の厚さの膜を堆積するのに必要な回数だけ繰り返される。例えば、酸化ケイ素膜は、基板をケイ素含有前駆体と酸素含有反応剤、例えばO2、O3、H2O、H22、およびN2Oに連続的に曝露することによって堆積させることができ、反応剤は、任意選択でプラズマ中で活性化することができる。しかし、堆積が比較的低温(例えば、約500℃未満)で行われる場合、堆積された酸化ケイ素はしばしば品質が低い。これは主にシステムの低熱エネルギーに起因し、次いで膜密度の低下、表面上のSi含有前駆体の反応性の低下、およびより困難な副生成物の除去の結果としての原子不純物の増加などの問題につながる。高品質の酸化ケイ素を堆積するために、堆積はしばしば、少なくとも約500℃、例えば少なくとも約550℃の温度で実施される必要がある。しかし、多くのケイ素含有前駆体は、高温で分解しやすい。例えば、ビス(tert-ブチルアミノ)シラン(BTBAS)は、約550℃を超える温度で分解を示す。
高温でのケイ素含有膜の堆積に使用することができる、いくつかのクラスのケイ素含有前駆体が提供される。例えば、少なくとも約500℃、例えば少なくとも約550℃、例えば約500~800℃、例えば550~700℃の温度での堆積に適した前駆体が提供される。いくつかの実施形態では、単一の前駆体がPEALDと熱ALDの両方に使用され、プラズマ支援堆積と熱堆積の両方が1つのリアクタ内で行われる。
Si-Si結合を含有する前駆体
一態様では、高温堆積に適したケイ素含有前駆体は、少なくとも1つのSi-Si結合、少なくとも1つのSi-X結合であって、Xは、ハロゲン(例えば、Cl、Br、および/またはI)、トリフレート(CF3SO3)、トシレート(CH364SO2)、CN、N3、およびNR12からなる群から選択され、R1およびR2は、Hおよびアルキルからなる群から独立して選択され、R1およびR2は、連結して環状環構造を形成するか、または連結されないかのいずれかである少なくとも1つのSi-X結合、ならびに少なくとも1つのSi-R結合であって、Rは、Hおよびアルキルからなる群から選択される少なくとも1つのSi-R結合を有する化合物である。いくつかの実施形態では、アルキルは、メチル、エチル、n-プロピル、イソプロピル、n-ブチル、sec-ブチル、またはt-ブチルなどのC1~C4アルキルである。
いくつかの実施形態では、ケイ素含有前駆体は、Sin(2n+1)Xであり、nは、2~10から選択される整数であり、各Rは、同じであるかまたは異なっており、H、アルキル、アルケニル、およびアルキニルからなる群から独立して選択される。
このタイプのより具体的な適切な前駆体の例には、図1に示す化合物1、2、および3が挙げられ、各Rは、同じであるかまたは異なっており、HおよびC1~C3アルキル(例えば、メチル、エチル、n-プロピル、イソプロピル)から独立して選択される。具体的な例には、1-クロロジシラン、1-ジメチルアミノジシラン、1-ジエチルアミノトリシラン、および1-ブロモテトラシランが挙げられる。
いくつかの実施形態では、ケイ素含有前駆体は、サイクルの一部である少なくとも1つのケイ素原子を含む。
いくつかの実施形態では、適切な前駆体は、2つ以上のX基を含有する。例えば、1,2-ビス(ジイソプロピルアミノ)ジシラン(BDIPADS)は、2つのジアルキルアミノ置換基を含有する。
ハロアルキルジシランは、Millipore SigmaまたはGelestなどの化学品供給業者から購入することができる。アミン置換シランまたは擬ハロゲン基を含むシランは、クロロアルキルペルシランの求核置換を介して不活性雰囲気下で調製することができる。あるいは、置換モノシランをリチウムなどのアルカリ金属で還元し、第2の置換シランと結合させてジシラン前駆体を形成することができる。トリシランおよびテトラシラン前駆体は、過剰のアルカリ金属還元剤を用いて同様の条件下で形成することができる。
N-Si-Nモチーフを含有する前駆体
別の態様では、高温堆積に適したケイ素含有前駆体は、少なくとも2つの窒素原子と結合を形成する少なくとも1つのケイ素原子を含有する化合物であり、少なくとも2つの窒素原子は、連結して環状環を形成する。環状環は、ケイ素原子および両方の窒素原子を含み、例えば、4員、5員、または6員であり得る。いくつかの実施形態では、前駆体は、そのような環を2つ以上含む。例えば、1,4,7-トリアザシクロノナン(TACN)に基づく図2に示す化合物4は、そのような環を4つ有する。
N-Si-Nモチーフを有する適切な化合物の例は、図2に示されており、化合物4、5、6、7、8、および9において、各Rは、同じであるかまたは異なっており、Hおよびアルキルからなる群から独立して選択され、各R1は、同じであるかまたは異なっており、アルキルである。いくつかの実施形態では、アルキルは、C1~C4アルキル(例えば、メチル、エチル、プロピル、またはブチル)である。例示された化合物は、TACN(化合物4)、エチレンジアミン(化合物5)、1,4-ジアザブタ-1,3-ジエン(DAD)(化合物6および7)、およびアミジン(化合物8)に基づく。これらの化合物は、ハロゲン化ケイ素を対応するアミンまたはジアザブタジエンと反応させることによって調製することができる。いくつかの実施形態では、ケイ素および1,4,7-トリメチル-1,4,7-トリアザシクロノナン(Me3TACN)の化合物が提供され、Me3TACNは、三座配位子として作用する。一実施形態では、化合物は、Si(Me3TACN)X3陽イオンを含有し、Xは、ハロゲン(例えば、F)である。このような化合物は、無水条件下でSiF4とMe3TACNを反応させることによって調製することができる。
いくつかの実施形態では、N-Si-Nモチーフを有する適切な化合物は、2,2-ビピリジン(bipy)、1,10-フェナントロリン(phen)、またはN,N,N’N’-テトラメチルエチレンジアミン(TMEDA)を含有する付加物である。そのような化合物の例には、SiX4bipy、およびSiX4TMEDAが挙げられ、各Xは、ハロゲン(例えば、ClまたはBr)、H、およびアルキル(例えば、メチルまたはエチル)からなる群から独立して選択される。具体的な例には、SiH2Cl2(bipy)、SiHCl3(bipy)、SiCl3Me(bipy)、SiCl2Me(bipy)、SiCl3H(TMEDA)、およびSiCl22(TMEDA)が挙げられる。これらの化合物は、ケイ素含有出発物質(例えば、H2SiCl2、HSiCl3、MeSiCl3)を所望の配位子(例えば、bipy、phen、またはTMEDA)と反応させることによって合成することができる。
いくつかの実施形態では、ケイ素含有前駆体は、シリレン(二価の二配位ケイ素種)である。シリレンの例は、図2に示す化合物7および8である。シリレンの安定性は、典型的には、かさ高い基の存在によって高められる。いくつかの実施形態では、化合物7のR1は、t-ブチルなどの立体的にかさ高い基である。そのようなシリレンの具体的な例は、N,N’-ジ-tert-ブチル-1,3-ジアザ-2-シラシクロペント-4-エン-2-イリデンである。これらの化合物は、ハロゲン化ケイ素(IV)(例えば、SiCl4)とリチウム化N,N’-ジ-tert-ブチル-1,4-ジアザブタジエンの反応、続いてアルカリ金属またはカリウムグラファイトによる還元によって得られ、ケイ素(II)化合物7を発生させることができる。
O-Si-Oモチーフを含有する前駆体
別の態様では、高温堆積に適したケイ素含有前駆体は、少なくとも2つの酸素原子と結合を形成する少なくとも1つのケイ素原子を含有する化合物であり、少なくとも2つの酸素原子は、連結して環状環を形成する。環状環は、ケイ素原子および両方の窒素原子を含み、例えば、4員、5員、または6員であり得る。いくつかの実施形態では、前駆体は、そのような環を2つ以上含む。例えば、β-ジケトナート配位子を有する(例えば、アセチルアセトナートを有する)ケイ素化合物を使用することができる。一実施形態では、化合物は、式Si(A)3Xを有し、Aは、置換または非置換アセチルアセトネートであり、Xは、ハロゲン(例えば、塩化物)である。別の実施形態では、化合物は、式Si(A)2RXを有し、Aは、β-ジケトネート(例えば、置換または非置換アセチルアセトネート)であり、Xは、ハロゲン(例えば、クロリド)であり、Rは、アルキル(例えば、メチル)である。アセチルアセトネートケイ素化合物は、例えば、ハロゲン化ケイ素(例えば、SiCl4)とアセチルアセトンまたはアセチルアセトネートの反応を介して調製することができる。別の実施形態では、化合物は、Si(A)R3であり、Aは、β-ジケトネート(例えば、アセチルアセトネートまたはジベンゾイルメタン)であり、各Rは、アルキルおよびハロアルキル(例えば、CF3)からなる群から独立して選択される。
Si-N-Siモチーフを含有する前駆体
別の態様では、高温堆積に適したケイ素含有前駆体は、少なくとも2つのケイ素原子と結合を形成する少なくとも1つの窒素原子を含有する化合物である。いくつかの実施形態では、前駆体は、図3Aに示す化合物10であり、各Rは、同じであるかまたは異なっており、Hおよびアルキルからなる群から独立して選択され、各R1は、H、アルキル、およびSi(R)3からなる群から独立して選択され、各R2は、Hおよびアルキルからなる群から独立して選択される。いくつかの実施形態では、アルキルは、C1~C4アルキル、例えばC1~C3アルキルである。化合物10の具体的な例には、トリス(トリメチルシリル)アミン、トリシリルアミン、イソプロピル(ジシリル)アミン、エチルビス(トリメチルシリル)アミン、ジシリルヒドラジンが挙げられる。いくつかの実施態様では、式10の前駆体は、図3Aに示す構造11を有する。このクラスの前駆体は、例えば、酸性条件下でハロアルキルシランおよび対応する塩基から調製することができる。例えば、イソプロピルアミンを過剰のHClの存在下でクロロトリメチルシランと撹拌し、イソプロピルアミノ-トリメチル-シランを形成することができる。
環状前駆体
別の態様では、高温堆積に適したケイ素含有前駆体は、環状環の一部である少なくとも1つのケイ素原子を含有する化合物である。環の例には、4員環、5員環、6員環、および7員環が挙げられる。いくつかの実施形態では、少なくとも1つのケイ素原子は、2つの炭素原子と結合を形成し、2つの炭素原子は、連結して環状環を形成する。いくつかの実施形態では、少なくとも1つのケイ素原子は、1つまたは2つの窒素原子とさらに結合を形成する。そのような前駆体の一例は、図3Bに示す前駆体12であり、R1およびR2は、同じであるかまたは異なっており、アルキル(例えば、C1~C4アルキル)およびHからなる群から独立して選択される。
いくつかの実施形態では、少なくとも1つのケイ素原子は、炭素原子および窒素原子と結合を形成し、炭素原子および窒素原子は、連結して環状環を形成する。そのような前駆体の一例は、図3Bに示す前駆体13であり、R1およびR2は、同じであるかまたは異なっており、アルキル(例えば、C1~C4アルキル)およびHからなる群から独立して選択される。
いくつかの実施形態では、少なくとも1つのケイ素原子は、炭素原子およびケイ素原子と結合を形成し、炭素原子およびケイ素原子は、連結して環状環を形成する。そのような前駆体の例は、図3Bに示す前駆体14および15であり、R1~R5は、同じであるかまたは異なっており、アルキル(例えば、C1~C4アルキル)およびHからなる群から独立して選択される。
環状化合物の具体的な例には、1,1,2,2-テトラメチル-1,2-ジシラシクロヘキサン、および1,1-ビス(エチルアミノ)-1-シラシクロブタンが挙げられる。このタイプの前駆体は、線状ハロアルキルジシランの縮合から調製することができる。例えば、1,3-ビス(クロロジメチル)ブタンは、Na/K合金上で縮合され、1,1,2,2-テトラメチル-1,2-ジシラシクロヘキサンを提供する。
擬ハロゲン脱離基を含有する前駆体
別の態様では、ケイ素含有前駆体が提供され、前駆体は、ケイ素に結合した1つまたは複数の擬ハロゲン脱離基を含有する。特定の実施態様では、前駆体は、-N3、-CN、-OTf(トリフレート)、および-OTs(トシレート)からなる群から選択される部分と結合を形成する少なくとも1つのケイ素原子を含有する。一実施態様では、ケイ素含有前駆体は、SiXnmであり、各Xは、-N3、-CN、-OTf(トリフレート)、および-OTs(トシレート)からなる群から独立して選択され、各Rは、H、アルキル、およびNR12からなる群から独立して選択され、各R1およびR2は、Hおよびアルキルからなる群から独立して選択され、R1およびR2は、連結して環状環構造を形成するか、または連結されないかのいずれかであり、nは、1~3であり、mは、1~3であり、n+mは、4である。このタイプの化合物は、典型的には、塩メタセシスを介して調製され、例えば、トリフルオロメタンスルホン酸ナトリウムをジアルキル(イソプロピルアミノ)クロロシランと撹拌し、ジアルキル(イソプロピルアミノ)シリル-トリフルオロメタンスルホン酸塩およびNaClを提供する。
安定性および所望の表面反応性を高める部分
高温での化合物の安定性および所望の反応性は、ケイ素に結合した少なくとも1つの電子供与基(例えば、アルキル)、およびケイ素に結合した少なくとも1つの脱離基の存在によって高められると考えられている。適切な脱離基の例には、ハロゲン(Cl、Br、およびIなど)、-N3、-CN、-OTf(トリフレート)、および-OTs(トシレート)が挙げられる。適切な脱離基の別の例は、NR12アミノ基であり、各R1およびR2は、Hおよびアルキルからなる群から独立して選択され、R1およびR2は、連結して環状環構造を形成するか、または連結されないかのいずれかである。一実施形態では、ケイ素含有前駆体は、本明細書に記載のクラスのいずれかの化合物であり、上述の少なくとも1つのアルキル置換基および少なくとも1つの脱離基を有する。
化合物の安定性に関する特定の理論によって拘束されることを望むわけではないが、前駆体の安定性は、ケイ素-水素結合のない前駆体を選択することによって高めることができると考えられている。一実施形態では、ケイ素含有前駆体は、ケイ素-水素結合を有さない、本明細書に記載のクラスのいずれかの化合物である。しかし、適切な前駆体の選択は、安定性について記載された理論によって限定されない。アルキル化ハロシランは、Millipore Sigmaなどの多くの供給業者から市販されている。擬ハロゲン置換は、適切なアルキルハロシランを用いた塩メタセシスを介して達成される。
方法
本明細書で提供されるケイ素含有前駆体は、熱ALDとプラズマ強化ALDの両方におけるケイ素含有膜の高温堆積に使用することができる。いくつかの実施形態では、方法は、半導体基板を本明細書で提供されるケイ素含有前駆体に曝露することと、半導体基板を反応剤(任意選択でプラズマ中で活性化される)に曝露することと、半導体基板の表面上のケイ素含有前駆体を反応剤と反応させ、所望のケイ素含有層の少なくとも一部を形成することとを伴う。堆積プロセス全体(反応剤およびケイ素含有前駆体への基板の曝露、および反応)は、少なくとも約500℃、例えば少なくとも約550℃、例えば、約550~700℃の高温で実施することができる。いくつかの実施形態では、堆積ステップの少なくとも1つは、高温で実施される。堆積は、約50ミリTorr~約760Torrの圧力で実施することができる。好ましくは、堆積は、減圧下、例えば、約50mTorr~200Torrの圧力で実施される。
反応剤がプラズマ中で活性化される場合、プラズマは遠隔で(基板を収容するプロセスチャンバの外側で)形成されてもよく、プラズマ活性化反応剤はプロセスチャンバに導入されてもよい。他の実施形態では、プラズマは、基板を収容するプロセスチャンバ内の反応剤を含有するプロセスガス中で形成される直接プラズマである。
プラズマは、いくつかの実施形態では、単一周波数高周波無線周波数(HF RF)プラズマ生成を使用して形成され得る。デュアル周波数プラズマ生成を利用する他の実施形態では、プラズマは、HF RFと低周波無線周波数(LF RF)の両方を使用して生成される。例示的な低周波RF周波数は、限定はしないが、50kHz~700kHzの周波数を含んでもよい。例示的な高周波RF周波数は、限定はしないが、1.8MHz~2.45GHzの周波数を含んでもよい。いくつかの実施形態では、LF周波数は430KHzであり、高周波は13.56MHzである。
ケイ素含有前駆体および反応剤は、任意の順序で導入することができる。いくつかの実施形態では、ケイ素含有前駆体が最初にプロセスチャンバに導入され、基板の表面上に層(例えば、吸着制限層)を形成することが可能になる。次に、非表面結合前駆体がプロセスチャンバから除去され(例えば、パージおよび/または排気によって)、表面上にケイ素含有前駆体の層を有する基板は、反応剤に曝露される(反応剤はプラズマ中で活性化され得る)。反応は、反応剤の導入後に自発的に進行するか、または追加の活性化の後に発生する可能性がある。次いで、プロセスチャンバをパージおよび/または排気して反応副生成物および/または過剰な反応剤を除去することができ、プロセス全体を必要な回数だけ繰り返し、所望の厚さの層を形成することができる。
酸化ケイ素を堆積する例示的な方法のプロセスフロー図が、図4に示されている。プロセスは、半導体基板を本明細書で提供されるケイ素含有前駆体に曝露することによって動作401で開始する。半導体基板は、本明細書で提供されるケイ素含有前駆体のいずれかに曝露され得る(本明細書で提供されるケイ素含有前駆体の混合物を含む)。いくつかの実施形態では、ケイ素含有前駆体は、キャリアガス(例えば、ヘリウム、アルゴン、N2など)と混合して半導体基板を収容するプロセスチャンバに導入され、プロセスチャンバは、少なくとも約500℃、例えば少なくとも約550℃、例えば約500~800℃、例えば、約550~700℃の温度に維持される。ケイ素含有前駆体は、基板の表面上に層(例えば、吸着制限層)を形成することが可能になる。次に、動作403において、半導体基板が酸素含有反応剤に曝露される。例えば、酸素含有反応剤(単一の反応剤および異なる反応剤の混合物を含む)を、半導体基板を収容するプロセスチャンバ内に、任意選択でキャリアガスと共に導入することができる。酸素含有反応剤の例には、限定はしないが、O2、O3、H2O、H22、およびN2Oが挙げられる。キャリアガスの例には、限定はしないが、ヘリウム、アルゴン、およびN2が挙げられる。次に、動作405において、ケイ素含有前駆体は、半導体基板の表面上で反応剤と反応して酸化ケイ素層の少なくとも一部を形成し、堆積(ステップ401~405のすべて)は、少なくとも約500℃の温度で実施される。反応は、熱またはプラズマ支援であり得る。次に、動作407において、ステップ401~405は、所望の厚さの酸化ケイ素層が形成されるまで、任意選択で必要な回数だけ繰り返される。
いくつかの実施形態では、反応は、パージおよび/または排気によってプロセスチャンバから非表面結合ケイ素含有前駆体および/または反応剤を除去することによって、基板の表面に限定される。1つの実施態様が、図5に示すプロセスフロー図によって示されている。プロセスは、半導体基板を本明細書で提供されるケイ素含有前駆体に曝露することによって501で開始する。次に、503において、半導体基板を収容するプロセスチャンバがパージおよび/または排気される。パージおよび/または排気は、非表面結合ケイ素含有前駆体を除去するために実施される。いくつかの実施形態では、プロセスチャンバは、アルゴン、ヘリウム、N2などの不活性ガスでパージされる。次に、動作505において、半導体基板を酸素含有反応剤に曝露し、基板の表面上でケイ素含有前駆体を酸素含有反応剤と反応させ、酸化ケイ素の少なくとも一部を形成することが可能である。反応は、熱またはプラズマ支援のいずれかであり得る。次に、動作507において、プロセスチャンバがパージおよび/または排気される。この実施形態におけるすべてのステップ501~507は、少なくとも約500℃の温度で行われる。ステップ501~507を含む堆積サイクルは、所望の厚さの酸化ケイ素を提供するために必要な回数だけ繰り返すことができる。
いくつかの実施形態では、単一のケイ素含有前駆体が、熱堆積とプラズマ強化堆積の両方に使用される。前駆体は、同じまたは異なる組成を有する層の堆積に役立ち得る。いくつかの実施形態では、熱堆積およびプラズマ強化堆積が、単一の基板上で連続して実施される。例えば、基板が最初にプラズマによって容易に損傷を受ける可能性のある露出面を含有する場合、ケイ素含有層の堆積は、プラズマの非存在下で熱的に開始することができる。プラズマによって容易に損傷を受ける可能性のある表面の例には、限定はしないが、Si、a-Si、a-C、リソグラフィに使用されるものなどのポリマー複合材料、または限定はしないが、Ga、In、W、Mo、Cu、Ni、Co、Sb、Sn、およびAgを含む金属もしくはメタロイド層、または限定はしないが、MoS2、WS2、MoSe2、WSe2、MoTe2、InGaS、InGaAs、およびInGaSbを含む二元もしくは三元材料が挙げられる。次に、基板の表面があまり繊細でないケイ素含有材料でコーティングされた後、同じケイ素含有材料のプラズマ強化堆積が続いてもよい。他の実施形態では、プロセスは、プラズマ強化堆積によって開始され、プラズマの非存在下での熱堆積が続く。堆積は、ケイ素含有前駆体および本明細書に記載の堆積方法を使用して実施することができる。
酸化ケイ素の堆積のための例示的なプロセスフロー図が、図6に示されている。動作601において、半導体基板がプロセスチャンバに提供される。例えば、表面上にプラズマ感受性材料の層を有する半導体基板を、PEALD装置のプロセスチャンバに提供することができる。次に、動作603において、酸化ケイ素層の一部が、プラズマの非存在下で少なくとも約500℃の温度でALDによって基板上に堆積される。例えば、この熱ステップにおける酸化ケイ素は、プラズマ感受性材料上に直接堆積され、その層へのプラズマ損傷を防止することができる。堆積は、例えば、本明細書で提供されるケイ素含有前駆体のいずれかを使用して行うことができる。次に、動作605において、酸化ケイ素層の第2の部分が、熱堆積603で使用されたのと同じケイ素含有前駆体を使用してプラズマ支援堆積で基板上に堆積される。いくつかの実施形態では、プラズマ強化堆積605は、熱堆積603と同じプロセスチャンバ内で実施される。他の実施形態では、基板は、半導体基板を周囲大気に曝露することなく、両方のステーションを収容する1つのモジュール内で熱堆積ステーションからプラズマ強化堆積ステーションに移送される。いくつかの実施形態では、プラズマ支援堆積は、少なくとも約500℃の温度で実施される。いくつかの実施形態では、堆積603および605は、図4および図5に記載のように、基板の表面上でケイ素含有前駆体と酸素含有反応剤の反応を伴う、熱およびプラズマ強化ALD動作である。いくつかの実施形態では、熱堆積およびプラズマ強化堆積中に使用される酸素含有反応剤は異なる。一例では、高温での熱堆積に使用される酸素含有反応剤は水であるが、高温でのプラズマ強化堆積に使用される酸素含有反応剤は、O2とN2Oの混合物である。
一例として酸化ケイ素堆積を使用して方法を例示してきたが、他のケイ素含有材料は、適切な反応剤を使用することによって、本明細書に記載のケイ素含有前駆体を使用して高温で同様に堆積することができることが理解される。例えば、窒化ケイ素は、ケイ素含有前駆体との高温表面反応のために、窒素含有反応剤(例えば、NH3、ヒドラジン、N2)を使用することによって堆積させることができ、反応は、熱またはプラズマ支援であり得る。酸窒化ケイ素は、ケイ素含有前駆体との高温表面反応のために、酸素含有反応剤および窒素含有反応剤を含有するプロセスガスを使用することによって堆積させることができる。
装置
本明細書に記載の堆積方法は、様々な装置で実行することができる。適切な装置は、反応剤を導入するための1つまたは複数の入口を有する処理チャンバと、堆積中に適所に基板を保持するように構成されたプロセスチャンバ内の基板ホルダと、任意選択で、プロセスガス中でプラズマを生成するように構成されたプラズマ生成機構とを含む。高温堆積が実施されるとき、装置は、プロセスチャンバを所望の温度に加熱するように構成されたヒータをさらに含む。装置は、本明細書に記載の方法ステップのいずれかを引き起こすためのプログラム命令を有するコントローラを含むことができる。本明細書に記載の堆積方法は、Striker(登録商標)ツールなど、カリフォルニア州フリーモントのLam Research社から入手可能な対応するALD装置で実行することができる。熱堆積は、プラズマ生成機構を備えた、または備えていない装置で実行することができる。プラズマ強化堆積は、プラズマ生成機構を有する装置で実行され、プラズマ生成機構は、遠隔で(基板を収容するプロセスチャンバの外側で)または直接的に(基板を収容するプロセスチャンバの内側で)プラズマを生成するように構成され得る。いくつかの実施形態では、装置は、1つのプロセスチャンバ内に少なくとも2つのプロセスチャンバまたは少なくとも2つのステーションを含み、一方は熱堆積用に構成され、他方はプラズマ強化堆積用に構成される。
いくつかの実施形態では、装置は、ケイ素含有前駆体を処理チャンバに導入させるための命令であって、前駆体は、本明細書に記載の前駆体のいずれかである命令と、ケイ素含有前駆体と反応剤との間の反応を引き起こし、少なくとも約500℃の温度で基板上にケイ素含有材料の層を形成するための命令であって、反応は、表面限定方式で行われるように構成される命令とを含むプログラム命令を有するコントローラを含む。例えば、プログラム命令は、ケイ素含有前駆体および反応剤の各々の導入後にパージを引き起こすための命令と、ケイ素含有前駆体および反応剤を処理チャンバに繰り返し順次導入させ、所望の厚さの層を堆積するための命令とを含むことができる。いくつかの実施形態では、基板を任意選択でプラズマ中の酸素含有反応剤に曝露するための命令が提供される。一般に、コントローラは、本明細書に記載の方法のいずれかを引き起こすためのプログラム命令を含むことができる。
提供される方法を使用してケイ素含有膜を堆積するのに適した堆積装置の一例が、図7に示されている。図7は、熱またはプラズマ強化され得る原子層堆積(ALD)を使用して材料を堆積するために使用され得るプロセスステーション700の一実施形態を概略的に示す。簡略化のために、プロセスステーション700は、低圧環境を維持するためのプロセスチャンバ本体702を有する独立型プロセスステーションとして図示されている。しかし、複数のプロセスステーション700が共通のプロセスツール環境に含まれてもよいことが理解されよう。さらに、いくつかの実施形態では、プロセスステーション700の1つまたは複数のハードウェアパラメータ(以下で詳細に説明されるものを含む)は、1つまたは複数のコンピュータコントローラによってプログラム的に調整することができることが理解されよう。
プロセスステーション700は、プロセスガスを分配シャワーヘッド706に送給するための反応剤送給システム701と流体連通する。反応剤送給システム701は、シャワーヘッド706に送給するプロセスガスをブレンドおよび/または調整するための混合容器704を含む。1つまたは複数の混合容器入口弁720は、混合容器704へのプロセスガスの導入を制御することができる。同様に、シャワーヘッド入口弁705は、シャワーヘッド706へのプロセスガスの導入を制御することができる。
いくつかのケイ素含有前駆体は、気化およびその後のプロセスステーションへの送給の前は、固体または液体の形態で保存することができる。例えば、図7の実施形態は、混合容器704に供給される固体反応剤を気化させるための気化ポイント703を含む。いくつかの実施形態では、気化ポイント703は、加熱された気化器であり得る。いくつかの実施形態では、不活性ガスの流れは、減圧下で、加熱された固体ケイ素含有前駆体上を通過するか、または加熱された液体ケイ素含有前駆体を通してバブリングされ、前駆体蒸気をプロセスチャンバに運ぶ。このような気化器から発生された前駆体蒸気は、下流の送給配管で凝縮する可能性がある。凝縮した反応剤に不適合なガスを曝露すると、小さな粒子が生成される場合がある。これらの小さな粒子は、配管を詰まらせたり、弁の動作を妨げたり、基板を汚染したりする可能性がある。これらの問題に対処するいくつかのアプローチは、送給配管を掃除および/または排気し、残留反応剤を除去することを伴う。しかし、送給配管を掃除すると、プロセスステーションのサイクル時間が増加し、プロセスステーションのスループットが低下する可能性がある。したがって、いくつかの実施形態では、気化ポイント703の下流の送給配管は、ヒートトレースされ得る。いくつかの例では、混合容器704もまた、ヒートトレースされ得る。1つの非限定的な例では、気化ポイント703の下流の配管は、混合容器704において約100℃~約200℃に及ぶ上昇温度プロファイルを有する。
シャワーヘッド706は、プロセスガスを基板712に向かって分配する。図7に示す実施形態では、基板712は、シャワーヘッド706の下に位置し、台座708上に静止した状態で示されている。シャワーヘッド706は、任意の適切な形状を有してもよく、プロセスガスを基板712に分配するための任意の適切な数および配置のポートを有してもよいことが理解されよう。明示的に示されていないが、いくつかの実施形態では、シャワーヘッド706は、少なくとも2つのタイプの導管を含むデュアルプレナムシャワーヘッドであり、第1のタイプの導管は、ケイ素含有前駆体蒸気の送給専用であり、第2のタイプの導管は、反応剤の送給専用である。これらの実施形態では、ケイ素含有前駆体および反応剤は、プロセスチャンバに入る前に導管内で混合することができず、連続してチャンバに送給される場合に導管を共有しない。
いくつかの実施形態では、マイクロ容積707がシャワーヘッド706の下に位置する。プロセスステーションの全容積ではなくマイクロ容積でALDプロセスを実施することは、反応剤への曝露および掃除時間を短縮することができ、プロセス条件(例えば、圧力、温度など)を変更するための時間を短縮することができ、プロセスガスへのプロセスステーションロボットの曝露を制限することなどが可能である。例示的なマイクロ容積サイズには、限定はしないが、0.1リットル~2リットルの容積が挙げられる。このマイクロ容積もまた、生産性スループットに影響を与える。サイクル当たりの堆積速度が低下する一方で、サイクル時間も同時に短縮される。場合によっては、後者の影響は、膜の所与の目標厚さに対するモジュールの全体的なスループットを改善するのに十分効果的である。
いくつかの実施形態では、台座708を上昇または下降させて基板712をマイクロ容積707に露出させ、かつ/またはマイクロ容積707の容積を変化させることができる。例えば、基板移送段階では、台座708を下降させ、基板712が台座708上にロードされることを可能にすることができる。堆積プロセス段階中、台座708を上昇させ、マイクロ容積707内に基板712を位置決めすることができる。いくつかの実施形態では、マイクロ容積707は、基板712ならびに台座708の一部を完全に包囲し、堆積プロセス中に流れインピーダンスの高い領域を形成することができる。
任意選択で、台座708は、マイクロ容積707内のプロセス圧力、反応剤濃度などを調節するために、堆積プロセスの一部の間に下降および/または上昇されてもよい。プロセスチャンバ本体702が堆積プロセス中にベース圧力のままである1つのシナリオでは、台座708を下降させることにより、マイクロ容積707を排気することができる。マイクロ容積対プロセスチャンバ容積の例示的な比率には、限定はしないが、1:700~1:10の容積比率が挙げられる。いくつかの実施形態では、台座の高さは、適切なコンピュータコントローラによってプログラム的に調整することができることが理解されよう。
本明細書に記載の例示的なマイクロ容積変動は高さ調整可能な台座に言及しているが、いくつかの実施形態では、シャワーヘッド706の位置を台座708に対して調整し、マイクロ容積707の容積を変化させることができることが理解されよう。さらに、台座708および/またはシャワーヘッド706の垂直位置は、本開示の範囲内の任意の適切な機構によって変化させてもよいことが理解されよう。いくつかの実施形態では、台座708は、基板712の配向を回転させるための回転軸を含んでもよい。いくつかの実施形態では、これらの例示的な調整の1つまたは複数は、1つまたは複数の適切なコンピュータコントローラによってプログラム的に実施することができることが理解されよう。
図7に示す実施形態に戻ると、シャワーヘッド706および台座708は、プラズマに電力を供給するために、RF電源714および整合ネットワーク716と電気的に通信する。他の実施形態では、提供される方法を熱的に使用してケイ素含有膜を堆積するために、プラズマ発生器を備えていない装置が使用される。いくつかの実施形態では、プラズマエネルギーは、プロセスステーション圧力、ガス濃度、無線周波数(RF)源電力、RF源周波数、およびプラズマ電力パルスタイミングの1つまたは複数を制御することによって制御することができる。例えば、RF電源714および整合ネットワーク716は、任意の適切な電力で動作してラジカル種の所望の組成を有するプラズマを形成することができる。同様に、RF電源714は、任意の適切な周波数のRF電力を提供することができる。いくつかの実施形態では、RF電源714は、互いに独立して高周波および低周波のRF電源を制御するように構成され得る。例示的な低周波RF周波数は、限定はしないが、50kHz~700kHzの周波数を含み得る。例示的な高周波RF周波数は、限定はしないが、1.8MHz~2.45GHzの周波数を含み得る。表面反応のためのプラズマエネルギーを提供するために、任意の適切なパラメータを離散的または連続的に調節することができることが理解されよう。1つの非限定的な例では、プラズマ電力を断続的にパルス化し、連続的に電力を供給されるプラズマと比較して基板表面でのイオン衝撃を低減することができる。
いくつかの実施形態では、プラズマは、1つまたは複数のプラズマモニタによってin-situで監視することができる。1つのシナリオでは、プラズマ電力は、1つまたは複数の電圧、電流センサ(例えば、VIプローブ)によって監視され得る。別のシナリオでは、プラズマ密度および/またはプロセスガス濃度は、1つまたは複数の発光分光センサ(OES)によって測定されてもよい。いくつかの実施形態では、1つまたは複数のプラズマパラメータは、そのようなin-situプラズマモニタからの測定値に基づいてプログラム的に調整することができる。例えば、OESセンサは、プラズマ電力のプログラム制御を提供するためのフィードバックループで使用され得る。いくつかの実施形態では、他のモニタを使用して、プラズマおよび他のプロセス特性を監視することができることが理解されよう。そのようなモニタには、限定はしないが、赤外線(IR)モニタ、音響モニタ、および圧力変換器が挙げられ得る。
いくつかの実施形態では、プラズマは、入出力制御(IOC)シーケンス命令を介して提供され得る。一例では、プラズマプロセス段階に対する条件を設定するための命令は、堆積プロセスレシピの対応するプラズマ活性化レシピ段階に含まれてもよい。場合によっては、プロセスレシピ段階は、堆積プロセス段階に対するすべての命令がそのプロセス段階と同時に実行されるように、順に配置されてもよい。いくつかの実施形態では、1つまたは複数のプラズマパラメータを設定するための命令が、プラズマプロセス段階に先行するレシピ段階に含まれ得る。例えば、第1のレシピ段階は、不活性ガスおよび/または反応剤ガスの流量を設定するための命令、プラズマ発生器を電力設定点に設定するための命令、および第1のレシピ段階のための時間遅延命令を含んでもよい。第2の後続のレシピ段階は、プラズマ発生器を有効にするための命令、および第2のレシピ段階のための時間遅延命令を含んでもよい。第3のレシピ段階は、プラズマ発生器を無効にするための命令、および第3のレシピ段階のための時間遅延命令を含んでもよい。これらのレシピ段階は、本開示の範囲内で任意の適切な方法でさらに細分化および/または反復され得ることが理解されよう。
台座708は、典型的には、ヒータ710を介して温度制御される。さらに、いくつかの実施形態では、堆積プロセスステーション700についての圧力制御は、バタフライ弁718によって提供され得る。図7の実施形態に示すように、バタフライ弁718は、下流の真空ポンプ(図示せず)によって提供される真空を絞る。しかし、いくつかの実施形態では、プロセスステーション700の圧力制御はまた、プロセスステーション700に導入される1つまたは複数のガスの流量を変化させることによって調整することができる。
図8は、インバウンドロードロック802およびアウトバウンドロードロック804を備え、これらのいずれかまたは両方がリモートプラズマ源を備え得るマルチステーション処理ツール800の一実施形態の概略図を示す。このようなツールは、本明細書で提供される方法を使用して基板を処理するために使用することができる。ロボット806は、大気圧において、ポッド808を介してロードされたカセットから、大気圧ポート810を介してインバウンドロードロック802にウエハを移動させるように構成される。ウエハは、ロボット806によって、インバウンドロードロック802の台座812上に載置され、大気圧ポート810が閉じられ、ロードロックがポンプダウンされる。インバウンドロードロック802がリモートプラズマ源を備える場合、ウエハは、処理チャンバ814に導入される前にロードロック内でリモートプラズマ処理を受けてもよい。さらに、ウエハはまた、例えば、水分および吸収したガスを除去するためにインバウンドロードロック802においても加熱されてもよい。次に、処理チャンバ814へのチャンバ搬送ポート816が開かれ、別のロボット(図示せず)が、ウエハをリアクタ内に移動させ、リアクタ内に示す第1のステーションの台座上に処理のために載置する。図8に図示される実施形態はロードロックを含んでいるが、いくつかの実施形態では、プロセスステーションにウエハを直接進入させてもよいことが理解されるであろう。
図示の処理チャンバ814は、図8に示す実施形態において1から4まで番号が付けられた4つのプロセスステーションを備える。各ステーションは、加熱台座(ステーション1に対して818で示す)と、ガスライン入口とを有する。いくつかの実施形態では、各プロセスステーションは、異なる目的または複数の目的を有し得ることが理解されよう。図示の処理チャンバ814は4つのステーションを備えるが、本開示による処理チャンバは、任意の適切な数のステーションを有してもよいことが理解される。例えば、いくつかの実施形態では、処理チャンバは、5つ以上のステーションを有してもよく、他の実施形態では、処理チャンバは、3つ以下のステーションを有してもよい。
図8はまた、処理チャンバ814内でウエハを移送するためのウエハハンドリングシステム890の一実施形態を図示する。いくつかの実施形態では、ウエハハンドリングシステム890は、様々なプロセスステーション間および/またはプロセスステーションとロードロックとの間でウエハを移送することができる。任意の適切なウエハハンドリングシステムが用いられてもよいことが理解されよう。非限定的な例として、ウエハカルーセルおよびウエハハンドリングロボットが挙げられる。図8はまた、プロセスツール800のプロセス条件およびハードウェア状態を制御するために用いられるシステムコントローラ850の一実施形態を図示する。システムコントローラ850は、1つまたは複数のメモリデバイス856と、1つまたは複数の大容量記憶デバイス854と、1つまたは複数のプロセッサ852とを含むことができる。プロセッサ852は、CPUまたはコンピュータ、アナログおよび/またはデジタル入出力接続、ステッピングモータコントローラボードなどを含み得る。
いくつかの実施形態では、システムコントローラ850は、プロセスツール800の活動のすべてを制御する。システムコントローラ850は、大容量記憶デバイス854に記憶され、メモリデバイス856にロードされ、プロセッサ852で実行されるシステム制御ソフトウェア858を実行する。システム制御ソフトウェア858は、タイミング、ガスの混合、チャンバ圧力および/またはステーション圧力、チャンバ温度および/またはステーション温度、パージ条件およびタイミング、ウエハ温度、RF電力レベル、RF周波数、基板、台座、チャック位置および/またはサセプタ位置、ならびにプロセスツール800によって実施される特定のプロセスの他のパラメータを制御するための命令を含み得る。システム制御ソフトウェア858は、任意の適切な方法で構成され得る。例えば、様々なプロセスツール構成要素サブルーチンまたは制御オブジェクトは、開示された方法に従って様々なプロセスツールプロセスを実行するのに必要なプロセスツール構成要素の動作を制御するために書かれてもよい。システム制御ソフトウェア858は、任意の適切なコンピュータ可読プログラミング言語でコード化され得る。
いくつかの実施形態では、システム制御ソフトウェア858は、上述の様々なパラメータを制御するための入出力制御(IOC)シーケンス命令を含み得る。例えば、ALDプロセスの各段階は、システムコントローラ850によって実行されるための1つまたは複数の命令を含んでもよい。ALDプロセス段階に対するプロセス条件を設定するための命令は、対応するALDレシピ段階に含まれてもよい。いくつかの実施形態では、ALDレシピ段階は、ALDプロセス段階に対するすべての命令がそのプロセス段階と同時に実行されるように、順に配置されてもよい。
いくつかの実施形態では、システムコントローラ850に関連する大容量記憶デバイス854および/またはメモリデバイス856に記憶された他のコンピュータソフトウェアおよび/またはプログラムが用いられてもよい。この目的のためのプログラムまたはプログラムのセクションの例には、基板位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、およびプラズマ制御プログラムが挙げられる。
基板位置決めプログラムは、基板を台座818上にロードし、基板とプロセスツール800の他の部分との間隔を制御するために使用されるプロセスツール構成要素のプログラムコードを含むことができる。
プロセスガス制御プログラムは、プロセスステーションの圧力を安定化するために、ガス組成および流量を制御するためのコード、および任意選択で、堆積前にガスを1つまたは複数のプロセスステーションに流すためのコードを含むことができる。プロセスガス制御プログラムは、開示された範囲のいずれか内にガス組成および流量を制御するためのコードを含んでもよい。圧力制御プログラムは、例えば、プロセスステーションの排気システムのスロットル弁、プロセスステーションへのガス流などを調節することによってプロセスステーションの圧力を制御するためのコードを含み得る。圧力制御プログラムは、開示された圧力範囲のいずれか内にプロセスステーション内の圧力を維持するためのコードを含んでもよい。
ヒータ制御プログラムは、基板を加熱するために使用される加熱ユニットへの電流を制御するためのコードを含むことができる。あるいは、ヒータ制御プログラムは、基板への熱伝達ガス(ヘリウムなど)の送給を制御することができる。ヒータ制御プログラムは、開示された範囲のいずれか内に基板の温度を維持するための命令を含んでもよい。
プラズマ制御プログラムは、例えば本明細書に開示されるRF電力レベルのいずれかを使用して、1つまたは複数のプロセスステーション内のプロセス電極に適用されるRF電力レベルおよび周波数を設定するためのコードを含むことができる。プラズマ制御プログラムはまた、各プラズマ曝露の持続時間を制御するためのコードを含むことができる。
いくつかの実施形態では、システムコントローラ850に関連するユーザインターフェースが存在してもよい。ユーザインターフェースは、ディスプレイ画面、装置および/またはプロセス条件のグラフィカルソフトウェアディスプレイ、ならびにポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザ入力デバイスを含むことができる。
いくつかの実施形態では、システムコントローラ850によって調整されたパラメータは、プロセス条件に関係するものであってもよい。非限定的な例として、プロセスガス組成および流量、温度、圧力、プラズマ条件(RF電力レベル、周波数、および曝露時間などなど)などが挙げられる。これらのパラメータは、レシピの形態でユーザに提供されてもよく、ユーザインターフェースを利用して入力することができる。
プロセスを監視するための信号は、様々なプロセスツールセンサからシステムコントローラ850のアナログおよび/またはデジタル入力接続によって提供されてもよい。プロセスを制御するための信号は、プロセスツール800のアナログおよびデジタル出力接続で出力することができる。監視することができるプロセスツールセンサの非限定的な例は、マスフローコントローラ、圧力センサ(圧力計など)、熱電対などを含む。適切にプログラムされたフィードバックおよび制御アルゴリズムをこれらのセンサからのデータと共に使用して、プロセス条件を維持することができる。
コントローラは、本明細書に記載の方法のステップのいずれかを引き起こすためのプログラム命令を含むことができる。いくつかの実施形態では、プログラム命令は、リアクタの1つのステーションにおいてプラズマの非存在下でケイ素含有層の一部を堆積させ、基板をリアクタ内の第2のステーションに移送させ、基板を大気中の水分および酸素に曝露することなく、プラズマ強化堆積でケイ素含有層の第2の部分を堆積するための命令を含む。他の実施形態では、装置の1つのステーション内でプラズマフリーの堆積、続いてプラズマ強化堆積を引き起こすためのプログラム命令が提供される。
開示された実施形態を実施するために、任意の適切なチャンバを使用することができる。例示的な堆積装置には、限定はしないが、カリフォルニア州フリーモントのLam Research社から入手可能なStriker(登録商標)製品ファミリーからの装置、または様々な他の市販されている処理システムのいずれかが挙げられる。2つ以上のステーションが、同じ機能を実施してもよい。同様に、2つ以上のステーションが異なる機能を実施してもよい。各ステーションは、所望の特定の機能/方法を実施するように設計/構成することができる。
図9は、特定の実施形態による薄膜堆積プロセスを行うのに適した処理システムのブロック図である。システム900は、移送モジュール903を含む。移送モジュール903は、処理中の基板が様々なリアクタモジュール間を移動するときの基板の汚染リスクを最小化するために、清潔な加圧環境を提供する。移送モジュール903には、各々が特定の実施形態に従って原子層堆積(ALD)を実施することが可能な、2つのマルチステーションリアクタ909および910が取り付けられている。リアクタ909および910は、開示された実施形態に従って動作を順次または非順次に実施することができる複数のステーション911、913、915、および917を含むことができる。ステーションは、加熱された台座もしくは基板支持体、1つまたは複数のガス入口またはシャワーヘッドもしくは分散プレートを含んでもよい。
また、移送モジュール903には、プラズマもしくは化学的(非プラズマ)前洗浄、または開示された方法に関連して説明された任意の他のプロセスを実施することが可能な、1つまたは複数のシングルまたはマルチステーションモジュール907が取り付けられてもよい。モジュール907は、場合によっては、例えば、堆積プロセスのための基板を準備する様々な処理に使用されてもよい。モジュール907はまた、エッチングまたは研磨などの様々な他のプロセスを実施するように設計/構成されてもよい。システム900はまた、処理前および処理後のウエハを格納する1つまたは複数のウエハソースモジュール901を含んでいる。大気移送チャンバ919内の大気ロボット(図示せず)は、最初にウエハをソースモジュール901から取り出してロードロック921に移送することができる。移送モジュール903内のウエハ移送デバイス(一般的には、ロボットアームユニット)は、ウエハをロードロック921から移送モジュール903に取り付けられたモジュールに移動させたり、モジュール間で移動させたりする。
様々な実施形態において、システムコントローラ929を用いて、堆積中のプロセス条件を制御する。コントローラ929は、典型的には、1つまたは複数のメモリデバイスと、1つまたは複数のプロセッサとを含む。プロセッサは、CPUまたはコンピュータ、アナログおよび/またはデジタル入出力接続、ステッピングモータコントローラボードなどを含み得る。
コントローラ929は、堆積装置の活動のすべてを制御することができる。システムコントローラ929は、タイミング、ガスの混合、チャンバ圧力、チャンバ温度、ウエハ温度、無線周波数(RF)電力レベル、ウエハチャック位置または台座位置、および特定のプロセスの他のパラメータを制御するための一連の命令を含むシステム制御ソフトウェアを実行する。いくつかの実施形態では、コントローラ929に関連するメモリデバイスに記憶された他のコンピュータプログラムを用いることができる。
典型的には、コントローラ929に関連するユーザインターフェースが存在する。ユーザインターフェースは、ディスプレイ画面、装置および/またはプロセス条件のグラフィカルソフトウェアディスプレイ、ならびにポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザ入力デバイスを含むことができる。
システム制御論理は、任意の適切な方法で構成することができる。一般に、論理はハードウェアおよび/またはソフトウェアで設計または構成することができる。駆動回路を制御するための命令は、ハードコードされるか、またはソフトウェアとして提供され得る。命令は、「プログラミング」によって提供されてもよい。そのようなプログラミングは、デジタル信号プロセッサのハードコードされた論理、特定用途向け集積回路、およびハードウェアとして実装された特定のアルゴリズムを有する他のデバイスを含む、あらゆる形式の論理を含むと理解される。プログラミングはまた、汎用プロセッサで実行され得るソフトウェアまたはファームウェア命令も含むと理解される。システム制御ソフトウェアは、任意の適切なコンピュータ可読プログラミング言語でコード化され得る。
プロセスシーケンスにおけるゲルマニウム含有還元剤パルス、水素フロー、およびタングステン含有前駆体パルス、ならびに他のプロセスを制御するためのコンピュータプログラムコードは、任意の従来のコンピュータ可読プログラミング言語(例えば、アセンブリ言語、C、C++、パスカル、フォートランなど)で書かれ得る。コンパイルされたオブジェクトコードまたはスクリプトは、プログラムに識別されたタスクを実施するためにプロセッサによって実行される。また、示されているように、プログラムコードはハードコードされてもよい。
コントローラパラメータは、例えば、プロセスガス組成および流量、温度、圧力、冷却ガス圧力、基板温度、ならびにチャンバ壁温度などのプロセス条件に関連している。これらのパラメータは、レシピの形態でユーザに提供され、ユーザインターフェースを利用して入力することができる。プロセスを監視するための信号は、システムコントローラ929のアナログおよび/またはデジタル入力接続によって提供されてもよい。プロセスを制御するための信号は、堆積装置900のアナログおよびデジタル出力接続で出力される。
システムソフトウェアは、多くの異なる方法で設計または構成することができる。例えば、様々なチャンバ構成要素サブルーチンまたは制御オブジェクトは、開示された実施形態による堆積プロセス(場合によっては、他のプロセス)を実行するために必要なチャンバ構成要素の動作を制御するために書かれてもよい。この目的のためのプログラムまたはプログラムのセクションの例には、基板位置決めコード、プロセスガス制御コード、圧力制御コード、およびヒータ制御コードが挙げられる。
いくつかの実施態様では、コントローラ929はシステムの一部であり、そのようなシステムは上述した例の一部であってもよい。そのようなシステムは、1つまたは複数の処理ツール、1つまたは複数のチャンバ、1つまたは複数の処理用プラットフォーム、および/または特定の処理構成要素(ウエハ台座、ガス流システムなど)を含む半導体処理機器を含むことができる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後のシステム動作を制御するための電子機器と一体化されてもよい。そのような電子機器は「コントローラ」と呼ばれることがあり、1つまたは複数のシステムの様々な構成要素または副部品を制御してもよい。コントローラ929は、処理要件および/またはシステムのタイプに応じて、本明細書に開示されるプロセスのいずれかを制御するようにプログラムされてもよい。そのようなプロセスとしては、処理ガスの送給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、一部のシステムにおける無線周波数(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体送給設定、位置および動作設定、ツールに対するウエハの搬入と搬出、ならびに、特定のシステムに接続または連動する他の搬送ツールおよび/またはロードロックに対するウエハの搬入と搬出が含まれる。
広義には、コントローラは、命令を受信し、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、論理、メモリ、および/またはソフトウェアを有する電子機器として定義されてもよい。集積回路は、プログラム命令を記憶するファームウェアの形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されたチップ、および/または1つまたは複数のマイクロプロセッサ、すなわちプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでもよい。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形式でコントローラに通信される命令であって、特定のプロセスを半導体ウエハ上で、または半導体ウエハ用に、またはシステムに対して実行するための動作パラメータを定義してもよい。動作パラメータは、いくつかの実施形態では、1つまたは複数の層、材料、金属、酸化物、ケイ素、二酸化ケイ素、表面、回路、および/またはウエハダイの製作における1つまたは複数の処理ステップを実現するためプロセスエンジニアによって定義されるレシピの一部であってもよい。
コントローラは、いくつかの実施態様では、システムと統合または結合されるか、他の方法でシステムにネットワーク接続されるコンピュータの一部であってもよく、またはそのようなコンピュータに結合されてもよく、またはそれらの組み合わせであってもよい。例えば、コントローラは、「クラウド」内にあってもよいし、ファブホストコンピュータシステムのすべてもしくは一部であってもよい。これにより、ウエハ処理のリモートアクセスが可能となる。コンピュータは、システムへのリモートアクセスを可能にして、製作動作の現在の進捗状況を監視し、過去の製作動作の履歴を検討し、複数の製作動作から傾向または性能基準を検討し、現在の処理のパラメータを変更し、現在の処理に続く処理ステップを設定するか、または新しいプロセスを開始してもよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ネットワークを通じてプロセスレシピをシステムに提供することができる。そのようなネットワークは、ローカルネットワークまたはインターネットを含んでいてもよい。リモートコンピュータは、パラメータおよび/または設定のエントリまたはプログラミングを可能にするユーザインターフェースを含んでもよく、そのようなパラメータおよび/または設定は、その後リモートコンピュータからシステムに通信される。いくつかの例では、コントローラは命令をデータの形式で受信する。そのようなデータは、1つまたは複数の動作中に実施される各処理ステップのためのパラメータを特定するものである。パラメータは、実施されるプロセスのタイプ、およびコントローラが連動または制御するように構成されるツールのタイプに特有のものであってもよいことを理解されたい。したがって、上述したように、コントローラは、例えば、互いにネットワーク接続され共通の目的(本明細書で説明されるプロセスおよび制御など)に向けて協働する1つまたは複数の個別のコントローラを備えることによって分散されてもよい。このような目的のための分散型コントローラの例として、チャンバ上の1つまたは複数の集積回路であって、(例えば、プラットフォームレベルで、またはリモートコンピュータの一部として)遠隔配置されておりチャンバにおけるプロセスを制御するよう組み合わせられる1つまたは複数の集積回路と通信するものが挙げられるであろう。
例示的なシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相堆積(PVD)チャンバまたはモジュール、化学気相堆積(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、追跡チャンバまたはモジュール、ならびに半導体ウエハの製作および/または製造に関連するか使用されてもよい任意の他の半導体処理システムを含むことができるが、これらに限定されない。
上述のように、ツールによって実施される1つまたは複数のプロセスステップに応じて、コントローラは、1つまたは複数の他のツール回路もしくはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近接するツール、工場全体に位置するツール、メインコンピュータ、別のコントローラ、または半導体製造工場内のツール場所および/もしくはロードポートに対してウエハの容器を搬入および搬出する材料搬送に使用されるツールと通信してもよい。
さらなる実施態様
本明細書で説明される装置およびプロセスは、例えば、半導体デバイス、ディスプレイ、LED、太陽光パネルなどの製作または製造のために、リソグラフィパターニングツールまたはプロセスと併せて使用されてもよい。典型的には、必須ではないが、そのような装置およびプロセスは、共通の製作施設で共に使用または実施される。膜のリソグラフィパターニングは、典型的には、以下のステップのいくつかまたはすべてを含み、各ステップが使用可能な多くのツールを用いて可能にされる:(1)スピンオンツールまたはスプレーオンツールを使用して、ワークピース(すなわち、基板)にフォトレジストを塗布するステップ、(2)ホットプレートまたは炉またはUV硬化ツールを使用してフォトレジストを硬化するステップ、(3)ウエハステッパなどのツールを用いて可視光またはUV光またはX線光でフォトレジストを露光するステップ、(4)ウェットベンチなどのツールを使用して、レジストを現像してレジストを選択的に除去し、それによってレジストをパターニングするステップ、(5)ドライエッチングツールまたはプラズマ支援エッチングツールを使用することによって、下層の膜またはワークピースにレジストパターンを転写するステップ、および(6)RFまたはマイクロ波プラズマレジストストリッパなどのツールを使用してレジストを除去するステップ。

Claims (37)

  1. 半導体基板上にケイ素含有層を形成する方法であって、
    (a)プロセスチャンバ内で前記半導体基板をケイ素含有前駆体に曝露することであって、前記ケイ素含有前駆体は、
    少なくとも1つのSi-Si結合と、
    少なくとも1つのSi-X結合であって、Xは、ハロゲン、トリフレート、トシレート、CN、N3、およびNR12からなる群から選択され、R1およびR2は、Hおよびアルキルからなる群から独立して選択され、R1およびR2は、連結して環状環構造を形成するか、または連結されないかのいずれかである少なくとも1つのSi-X結合と、
    少なくとも1つのSi-R結合であって、Rは、Hおよびアルキルからなる群から選択される少なくとも1つのSi-R結合と、
    を有する化合物であることと、
    (b)前記プロセスチャンバ内で前記半導体基板を反応剤に曝露することと、
    (c)前記半導体基板の表面上で前記ケイ素含有前駆体を前記反応剤と反応させ、前記ケイ素含有層の少なくとも一部を形成することであって、動作(a)~(c)は、少なくとも約500℃の温度で実施されることと、
    を含む、方法。
  2. 請求項1に記載の方法であって、
    前記反応剤は、酸素含有反応剤であり、(c)は、プラズマの非存在下で前記ケイ素含有前駆体を前記酸素含有反応剤と反応させ、酸化ケイ素層を形成することを含む、方法。
  3. 請求項1に記載の方法であって、
    前記ケイ素含有前駆体および前記反応剤への前記半導体基板の曝露の間に前記プロセスチャンバをパージすることをさらに含む、方法。
  4. 請求項1に記載の方法であって、
    動作(a)~(c)は、少なくとも約550℃の温度で実施される、方法。
  5. 請求項1に記載の方法であって、
    前記ケイ素含有前駆体は、
    Figure 2023512681000002
    と、
    Figure 2023512681000003
    と、
    Figure 2023512681000004
    と、
    からなる群から選択され、
    各Rは、同じであるかまたは異なっており、HおよびC1~C3アルキルからなる群から独立して選択される、
    方法。
  6. 請求項1に記載の方法であって、
    前記ケイ素含有前駆体は、1-クロロジシラン、1-ジメチルアミノジシラン、1-ジエチルアミノトリシラン、1-ブロモテトラシラン、および1,2-ビス(ジイソプロピルアミノ)ジシランからなる群から選択される、方法。
  7. 請求項1に記載の方法であって、
    Rは、アルキルであり、前記ケイ素含有前駆体は、Si-H結合を含まない、方法。
  8. 請求項1に記載の方法であって、
    前記ケイ素含有層が目標厚さに達するまで、動作(a)~(c)を繰り返すことをさらに含む、方法。
  9. 請求項1に記載の方法であって、
    前記方法は、プラズマの非存在下で前記ケイ素含有層の第1の部分を堆積し、熱堆積とプラズマ支援堆積の両方に同じケイ素含有前駆体を使用しながら、プラズマ支援反応を使用して前記ケイ素含有層の第2の部分を堆積することを含む、方法。
  10. 半導体基板上にケイ素含有層を形成する方法であって、
    (a)プロセスチャンバ内で前記半導体基板をケイ素含有前駆体に曝露することであって、前記ケイ素含有前駆体は、
    少なくとも2つの窒素原子と結合を形成する少なくとも1つのケイ素原子であって、前記少なくとも2つの窒素原子は、連結して環状環を形成する少なくとも1つのケイ素原子を有する化合物であることと、
    (b)前記プロセスチャンバ内で前記半導体基板を反応剤に曝露することと、
    (c)前記半導体基板の表面上で前記ケイ素含有前駆体を前記反応剤と反応させ、前記ケイ素含有層の少なくとも一部を形成することであって、動作(a)~(c)は、少なくとも約500℃の温度で実施されることと、
    を含む、方法。
  11. 請求項10に記載の方法であって、
    前記ケイ素含有前駆体は、
    Figure 2023512681000005
    と、
    Figure 2023512681000006
    と、
    Figure 2023512681000007
    と、
    Figure 2023512681000008
    と、
    Figure 2023512681000009
    と、
    からなる群から選択される化合物であり、
    各Rは、同じであるかまたは異なっており、Hおよびアルキルからなる群から独立して選択され、各R1は、同じであるかまたは異なっており、アルキルである、
    方法。
  12. 請求項10に記載の方法であって、
    前記反応剤は、酸素含有反応剤であり、(c)は、プラズマの非存在下で前記ケイ素含有前駆体を前記酸素含有反応剤と反応させ、酸化ケイ素層を形成することを含む、方法。
  13. 請求項10に記載の方法であって、
    前記ケイ素含有前駆体および前記反応剤への前記半導体基板の曝露の間に前記プロセスチャンバをパージすることをさらに含む、方法。
  14. 請求項10に記載の方法であって、
    動作(a)~(c)は、少なくとも約550℃を超える温度で実施される、方法。
  15. 請求項10に記載の方法であって、
    前記ケイ素含有層が目標厚さに達するまで、動作(a)~(c)を繰り返すことをさらに含む、方法。
  16. 請求項10に記載の方法であって、
    前記方法は、プラズマの非存在下で前記ケイ素含有層の第1の部分を堆積し、熱堆積とプラズマ支援堆積の両方に同じケイ素含有前駆体を使用しながら、プラズマ支援反応を使用して前記ケイ素含有層の第2の部分を堆積することを含む、方法。
  17. 半導体基板上にケイ素含有層を形成する方法であって、
    (a)プロセスチャンバ内で前記半導体基板をケイ素含有前駆体に曝露することであって、前記ケイ素含有前駆体は、少なくとも2つのケイ素原子と結合を形成する少なくとも1つの窒素原子を有する化合物であることと、
    (b)前記プロセスチャンバ内で前記半導体基板を反応剤に曝露することと、
    (c)前記半導体基板の表面上で前記ケイ素含有前駆体を前記反応剤と反応させ、前記ケイ素含有層の少なくとも一部を形成することであって、動作(a)~(c)は、少なくとも約500℃の温度で実施されることと、
    を含む、方法。
  18. 請求項17に記載の方法であって、
    前記ケイ素含有前駆体は、
    Figure 2023512681000010
    であり、
    各Rは、同じであるかまたは異なっており、Hおよびアルキルからなる群から独立して選択され、各R1は、H、アルキル、およびSi(R23からなる群から独立して選択され、各R2は、Hおよびアルキルからなる群から独立して選択される、
    方法。
  19. 請求項17に記載の方法であって、
    前記ケイ素含有前駆体は、
    Figure 2023512681000011
    である、方法。
  20. 請求項17に記載の方法であって、
    前記ケイ素含有前駆体は、トリス(トリメチルシリル)アミン、トリシリルアミン、イソプロピル(ジシリル)アミン、エチルビス(トリメチルシリル)アミン、ジシリルヒドラジンからなる群から選択される、方法。
  21. 請求項17に記載の方法であって、
    前記反応剤は、酸素含有反応剤であり、(c)は、プラズマの非存在下で前記ケイ素含有前駆体を前記酸素含有反応剤と反応させ、酸化ケイ素層を形成することを含む、方法。
  22. 請求項17に記載の方法であって、
    前記ケイ素含有前駆体および前記反応剤への前記半導体基板の曝露の間に前記プロセスチャンバをパージすることをさらに含む、方法。
  23. 請求項17に記載の方法であって、
    動作(a)~(c)は、少なくとも約550℃を超える温度で実施される、方法。
  24. 請求項17に記載の方法であって、
    前記ケイ素含有層が目標厚さに達するまで、動作(a)~(c)を繰り返すことをさらに含む、方法。
  25. 請求項17に記載の方法であって、
    前記方法は、プラズマの非存在下で前記ケイ素含有層の第1の部分を堆積し、熱堆積とプラズマ支援堆積の両方に同じケイ素含有前駆体を使用しながら、プラズマ支援反応を使用して前記ケイ素含有層の第2の部分を堆積することを含む、方法。
  26. 半導体基板上にケイ素含有層を形成する方法であって、
    (a)プロセスチャンバ内で前記半導体基板をケイ素含有前駆体に曝露することであって、前記ケイ素含有前駆体は、-N3、-CN、-OTf(トリフレート)、および-OTs(トシレート)からなる群から選択される部分と結合を形成する少なくとも1つのケイ素原子を有する化合物であり、
    (b)前記プロセスチャンバ内で前記半導体基板を反応剤に曝露することと、
    (c)前記半導体基板の表面上で前記ケイ素含有前駆体を前記反応剤と反応させ、前記ケイ素含有層の少なくとも一部を形成することであって、動作(a)~(c)は、少なくとも約500℃の温度で実施されることと、
    を含む、方法。
  27. 請求項26に記載の方法であって、
    前記ケイ素含有前駆体は、SiXnmであり、各Xは、-N3、-CN、-OTf(トリフレート)、および-OTs(トシレート)からなる群から独立して選択され、各Rは、H、アルキル、およびNR12からなる群から独立して選択され、各R1およびR2は、Hおよびアルキルからなる群から独立して選択され、R1およびR2は、連結して環状環構造を形成するか、または連結されないかのいずれかであり、nは、1~3であり、mは、1~3であり、n+mは、4である、
    方法。
  28. 請求項26に記載の方法であって、
    前記反応剤は、酸素含有反応剤であり、(c)は、プラズマの非存在下で前記ケイ素含有前駆体を前記酸素含有反応剤と反応させ、酸化ケイ素層を形成することを含む、方法。
  29. 半導体基板上にケイ素含有層を形成する方法であって、
    (a)プロセスチャンバ内で前記半導体基板をケイ素含有前駆体に曝露することであって、前記ケイ素含有前駆体は、少なくとも2つの酸素原子と結合を形成する少なくとも1つのケイ素原子であって、前記少なくとも2つの酸素原子は、連結して環状環を形成する少なくとも1つのケイ素原子を有する化合物であることと、
    (b)前記プロセスチャンバ内で前記半導体基板を反応剤に曝露することと、
    (c)前記半導体基板の表面上で前記ケイ素含有前駆体を前記反応剤と反応させ、前記ケイ素含有層の少なくとも一部を形成することであって、動作(a)~(c)は、少なくとも約500℃の温度で実施されることと、
    を含む、方法。
  30. 請求項29に記載の方法であって、
    前記2つの酸素原子は、β-ジケトネート部分に由来する、方法。
  31. 半導体基板上にケイ素含有層を形成する方法であって、
    (a)プロセスチャンバ内で前記半導体基板をケイ素含有前駆体に曝露することであって、前記ケイ素含有前駆体は、環状環の一部である少なくとも1つのケイ素原子を有する化合物であることと、
    (b)前記プロセスチャンバ内で前記半導体基板を反応剤に曝露することと、
    (c)前記半導体基板の表面上で前記ケイ素含有前駆体を前記反応剤と反応させ、前記ケイ素含有層の少なくとも一部を形成することであって、動作(a)~(c)は、少なくとも約500℃の温度で実施されることと、
    を含む、方法。
  32. 請求項31に記載の方法であって、
    前記ケイ素含有前駆体は、化合物12と、化合物13と、化合物14と、化合物15とからなる群から選択される、方法。
  33. 請求項1、10、17、26、29、および31のいずれかに記載の方法であって、
    前記ケイ素含有層は、窒化ケイ素、酸窒化ケイ素、炭化ケイ素、および酸炭化ケイ素からなる群から選択される、方法。
  34. 請求項1に記載の方法であって、
    前記ケイ素含有前駆体は、Sin(2n+1)Xであり、nは、2~10から選択され、各Rは、同じであるかまたは異なっており、H、アルキル、アルケニル、およびアルキニルからなる群から独立して選択される、方法。
  35. 請求項1に記載の方法であって、
    前記ケイ素含有前駆体は、サイクルの一部である少なくとも1つのケイ素原子を含む、方法。
  36. 請求項1、10、17、26、29、および31のいずれかに記載の方法であって、
    フォトレジストを半導体基板に塗布することと、
    前記フォトレジストを露光することと、
    前記フォトレジストをパターニングすることと、
    前記パターンを前記半導体基板に転写することと、
    前記半導体基板から前記フォトレジストを選択的に除去することと
    をさらに含む、方法。
  37. 半導体基板上にケイ素含有層を堆積するための装置であって、
    前記半導体基板を収容するように構成されたプロセスチャンバであって、前記プロセスチャンバは、ケイ素含有前駆体を導入するための入口を含むプロセスチャンバと、
    請求項1、10、17、26、29、および31に記載の方法のいずれかに従って前記半導体基板上に前記ケイ素含有層を堆積するためのプログラム命令を含むコントローラと
    を備える、装置。
JP2022546607A 2020-02-07 2021-02-03 ケイ素含有膜の高温堆積のための前駆体 Pending JP2023512681A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202062971680P 2020-02-07 2020-02-07
US62/971,680 2020-02-07
PCT/US2021/016376 WO2021158633A2 (en) 2020-02-07 2021-02-03 Precursors for high-temperature deposition of silicon-containing films

Publications (1)

Publication Number Publication Date
JP2023512681A true JP2023512681A (ja) 2023-03-28

Family

ID=77200534

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022546607A Pending JP2023512681A (ja) 2020-02-07 2021-02-03 ケイ素含有膜の高温堆積のための前駆体

Country Status (6)

Country Link
US (1) US20230098270A1 (ja)
JP (1) JP2023512681A (ja)
KR (1) KR20220139376A (ja)
CN (1) CN115053016A (ja)
TW (1) TW202140506A (ja)
WO (1) WO2021158633A2 (ja)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8563443B2 (en) * 2011-02-18 2013-10-22 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US9337018B2 (en) * 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
JP6125946B2 (ja) * 2013-08-08 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US9847221B1 (en) * 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US20190318925A1 (en) * 2018-04-11 2019-10-17 Versum Materials Us, Llc Monoorganoaminodisilane Precursors and Methods for Depositing Films Comprising Same

Also Published As

Publication number Publication date
KR20220139376A (ko) 2022-10-14
WO2021158633A3 (en) 2021-09-30
TW202140506A (zh) 2021-11-01
CN115053016A (zh) 2022-09-13
US20230098270A1 (en) 2023-03-30
WO2021158633A2 (en) 2021-08-12

Similar Documents

Publication Publication Date Title
KR102357418B1 (ko) 유기금속 공-반응물들과의 교차-복분해 반응들을 통해 sic 및 sicn 막들을 증착시키기 위한 장치들 및 방법들
CN111247269B (zh) 介电膜的几何选择性沉积
JP6710032B2 (ja) Aldにより形成される窒化シリコン膜の表面形状内ウェットエッチング速度を均一に低下させるための方法及び装置
US11821071B2 (en) Precursors for deposition of molybdenum-containing films
KR20230039625A (ko) 저온 ald 막들을 위한 챔버 언더코팅 준비 방법
CN107393809A (zh) 使用pecvd沉积保形和低湿蚀刻速率的封装层的方法
JP2018050038A (ja) プラズマ支援および熱原子層堆積プロセスによる窒化膜形成
KR20180013745A (ko) 반도체 패터닝 애플리케이션들을 위한 도핑된 ald 막들
KR20230014821A (ko) 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착
KR20130085900A (ko) 무-염소 등각 질화 규소 필름 증착 방법
US20220298624A1 (en) Substantially carbon-free molybdenum-containing and tungsten-containing films in semiconductor device manufacturing
JP2023521755A (ja) 原子層堆積中の損失防止
US20230238238A1 (en) Advanced self aligned multiple patterning using tin oxide
JP2023512681A (ja) ケイ素含有膜の高温堆積のための前駆体
TW202340510A (zh) 用於針對低溫前驅物改進保形性的原子層沉積脈衝序列工程
TW202418351A (zh) 表面抑制原子層沉積