JP5357240B2 - CVD及びALDのSiO2膜のためのアミノビニルシラン - Google Patents

CVD及びALDのSiO2膜のためのアミノビニルシラン Download PDF

Info

Publication number
JP5357240B2
JP5357240B2 JP2011268617A JP2011268617A JP5357240B2 JP 5357240 B2 JP5357240 B2 JP 5357240B2 JP 2011268617 A JP2011268617 A JP 2011268617A JP 2011268617 A JP2011268617 A JP 2011268617A JP 5357240 B2 JP5357240 B2 JP 5357240B2
Authority
JP
Japan
Prior art keywords
bis
precursor
reactor
vinylsilane
tris
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2011268617A
Other languages
English (en)
Other versions
JP2012124492A5 (ja
JP2012124492A (ja
Inventor
シャオ マンチャオ
ヤン リュ
スコット カットヒル カーク
レジーナ ボーウェン ヘザー
ビン ハン
レオナルド オニール マーク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2012124492A publication Critical patent/JP2012124492A/ja
Publication of JP2012124492A5 publication Critical patent/JP2012124492A5/ja
Application granted granted Critical
Publication of JP5357240B2 publication Critical patent/JP5357240B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

二酸化ケイ素、窒化ケイ素及びそれらの混合物の薄膜は、それらの優れた誘電特性のために半導体製造において最も一般的に用いられる材料のうちの一部である。ケイ素ベースの半導体デバイスの製造では、これらの材料は、ゲート絶縁体、拡散マスク、側壁スペーサ、硬質マスク、反射防止コーティング、パッシベーション(不動態化)及び封入などとして使用することができる。ケイ素ベースの膜は、他の化合物半導体デバイスのパッシベーションにとってもますます重要になっている。
ケイ素ベースの膜がウェットエッチプロセス、シリコン集積回路の製作のための重要でかつルーチンの製造プロセスと関連して使用される場合には、二酸化ケイ素膜のウェットエッチ速度が多くの用途において重要である。幾つかの場合には(例えば、二酸化ケイ素が側壁として使用される場合には)、HF溶液中でのエッチ速度は極めてゆっくりである必要がある。というのも、材料上でのあまりに速くかつ攻撃的な作用によってアンダーカット及びライン幅の制御が難しくなるからである。より優れた製造プロセス、半導体デバイスのより高い歩留まりのサポートのためにはより遅く制御可能なエッチ速度が望ましい。ケイ素ベースの膜がエッチストップ、硬質マスク又はパッシベーション層として用いられる他の幾つかのケースでは、これらの材料はウェットエッチングに対して極めて高い抵抗性を示すことが望ましい。
HF溶液中で低いエッチ速度を有するケイ素ベースの膜を形成する既存のアプローチは以下のとおりである。
(1)より高い温度で膜を堆積して膜中の欠陥、例えば多孔性又は水素濃度を低減する。あるいは、
(2)堆積プロセスの際、ケイ素又は窒素に加えて堆積プロセスに他の前駆体を添加し、追加の元素を取り込んで膜特性を改良する。
より高い温度は常に望ましいとは限らない場合があり、また複数の前駆体の使用によりプロセスが複雑になる場合もあるため、膜特性を制御する代わりとなる方法が望まれている。
当技術分野における従来技術としては、特許文献1及び2010年5月3日に出願された特許文献2が挙げられる。
米国特許出願公開第2010/0120262号 米国特許出願第12/772,518号
本発明は、HF溶液中で極めて低いウェットエッチ速度を有する二酸化ケイ素膜を形成するための低圧熱化学気相成長方法であって、
a.ケイ素源を提供する第1の前駆体を低圧熱化学気相成長反応器に供給する工程であって、前記第1の前駆体が、R1 n2 mSi(NR344-n-m、及び(R12SiNR3pの環状シラザンからなる群より選択され、式中、R1がアルケニル又は芳香族、例えばビニル、アリル及びフェニルであり、R2、R3及びR4がH、C1〜C10の直鎖、分枝又は環状のアルキル、C2〜C10の直鎖、分枝又は環状のアルケニル、及び芳香族から選択され、n=1〜3、m=0〜2、p=3〜4である工程、
b.酸素源を提供する第2の前駆体を前記反応器に供給する工程、
c.100mT〜1Tの圧力下において400℃〜700℃の温度で前記第1及び第2の前駆体を反応させる工程
を含む低圧熱化学気相成長方法である。
本発明はまた、HF溶液中で極めて低いウェットエッチ速度を有する二酸化ケイ素膜を形成するための原子層堆積方法であって、
a.ケイ素源を提供する第1の前駆体を原子層堆積反応器に供給する工程であって、前記第1の前駆体が、R1 n2 mSi(NR344-n-m、及び(R12SiNR3pの環状シラザンからなる群より選択され、式中、R1がアルケニル又は芳香族、例えばビニル、アリル及びフェニルであり、R2、R3及びR4がH、C1〜C10の直鎖、分枝又は環状のアルキル、C2〜C10の直鎖、分枝又は環状のアルケニル、及び芳香族から選択され、n=1〜3、m=0〜2、p=3〜4である工程、
b.前記反応器を不活性ガスでパージする工程、
c.酸素源を提供する第2の前駆体を前記反応器に供給する工程、
d.前記反応器を不活性ガスでパージする工程、
e.所望の膜厚が得られるまで工程aから工程dを繰り返す工程
を含む原子層堆積方法である。
さらに、本発明は、HF溶液中で極めて低いウェットエッチ速度を有する二酸化ケイ素膜を形成するためのサイクリック化学気相成長方法であって、
a.ケイ素源を提供する第1の前駆体をサイクリック化学気相成長反応器に供給する工程であって、前記第1の前駆体が、R1 n2 mSi(NR344-n-m、及び(R12SiNR3pの環状シラザンからなる群より選択され、式中、R1がアルケニル又は芳香族、例えばビニル、アリル及びフェニルであり、R2、R3及びR4がH、C1〜C10の直鎖、分枝又は環状のアルキル、C2〜C10の直鎖、分枝又は環状のアルケニル、及び芳香族から選択され、n=1〜3、m=0〜2、p=3〜4である工程、
b.前記反応器を0.1〜1秒間にわたり不活性ガスでパージする工程、
c.酸素源を提供する第2の前駆体を前記反応器に供給する工程、
d.前記反応器を0.1〜1秒間にわたり不活性ガスでパージする工程、
e.所望の膜厚が得られるまで工程aから工程dを繰り返す工程
を含むサイクリック化学気相成長方法である。
CVD反応器の断面の概略図である。 ALD反応器の断面の概略図である。 低エッチSiO2のX線光電子分光解析(XPS)である。 本発明に従って堆積されたバルク組成の深さプロファイルを示すグラフである。
1つの態様では、本発明は、熱CVDプロセスを用いてHF溶液中で極めて低いウェットエッチ速度を有するケイ素ベースの膜を形成するための方法を開示する。この方法は、
a)400〜700℃の温度であり、Ar又はN2でパージされそして1Torr未満の圧力に維持された密閉(concealed)反応器内に基材を配置する工程、
b)直接液体注入器を用いて供給されるケイ素前駆体であって、以下の構造、すなわち、R1 n2 mSi(NR344-n-m、及び(R12SiNR3pの環状シラザン、例えば
Figure 0005357240
のうちの1つを有するケイ素前駆体(式中、R1がC2〜C10のアルケニル又は芳香族、例えばビニル、アリル及びフェニルであり、R2、R3及びR4がH、C1〜C10の直鎖、分枝又は環状のアルキル、C2〜C10の直鎖、分枝又は環状のアルケニル、及び芳香族から選択され、n=1〜3、m=0〜2、p=3〜4である)を前記反応器に供給する工程、
c)同時に、前記反応器に酸素前駆体、例えば純粋酸素又はオゾンを供給する工程、
d)堆積プロセスの間、100mTorr〜600mTorrの圧力に維持する工程
を含む。
別の態様では、本発明は、原子層堆積プロセスを用いてHF溶液中で極めて低いウェットエッチ速度を有する二酸化ケイ素膜を形成するための方法を特徴付けるものである。この方法は、
a)100〜600℃の温度であり、Ar又はN2でパージされそして1Torr未満の圧力に維持された密閉(concealed)反応器内に基材を配置する工程、
b)直接液体注入器を用いて供給されるケイ素前駆体であって、以下の構造、すなわち、R1 n2 mSi(NR344-n-m、及び(R12SiNR3pの環状シラザン、例えば
Figure 0005357240
のうちの1つを有するケイ素前駆体(式中、R1がC2〜C10のアルケニル又は芳香族、例えばビニル、アリル及びフェニルであり、R2、R3及びR4がH、C1〜C10の直鎖、分枝又は環状のアルキル、C2〜C10の直鎖、分枝又は環状のアルケニル、及び芳香族から選択され、n=1〜3、m=0〜2、p=3〜4である)を前記反応器に供給する工程、
c)前記ケイ素前駆体の投入量が前記基材の表面に前駆体分子の単層を形成するだけのものであり、過剰な前駆体を前記反応器から除去する工程、
d)前記反応器をAr又はN2で再びパージした後、前記反応器に酸素前駆体、例えば純粋酸素又はオゾンを供給する工程であって、同様に、前記酸素前駆体の投入量が、すぐ前の工程の際に形成された基材上の単層のケイ素前駆体と完全に反応するだけのものである工程、
e)所望の膜厚に達するまで工程bから工程dを繰り返す工程
を含む。
別の態様では、本発明は、サイクリック化学気相成長プロセスを用いてHF溶液中で極めて低いウェットエッチ速度を有する二酸化ケイ素膜を形成するための方法を特徴付けるものである。この方法は、
a)400〜700℃の温度であり、Ar又はN2でパージされそして1Torr未満の圧力に維持された密閉(concealed)反応器内に基材を配置する工程、
b)直接液体注入器を用いて供給されるケイ素前駆体であって、以下の構造、すなわち、R1 n2 mSi(NR344-n-m、及び(R12SiNR3pの環状シラザン、例えば
Figure 0005357240
のうちの1つを有するケイ素前駆体(式中、R1がC2〜C10のアルケニル又は芳香族、例えばビニル、アリル及びフェニルであり、R2、R3及びR4がH、C1〜C10の直鎖、分枝又は環状のアルキル、C2〜C10の直鎖、分枝又は環状のアルケニル、及び芳香族から選択され、n=1〜3、m=0〜2、p=3〜4である)を前記反応器に供給する工程、
c)前記ケイ素前駆体の投入量を膜の所望の堆積速度を達成するよう調整(増加又は低減)する工程、
d)前記反応器に酸素前駆体、例えば純粋酸素又はオゾンを供給する工程であって、同様に、前記酸素前駆体の投入量を膜の所望の堆積速度を達成するよう調整(増加又は低減)する工程、
e)前記反応器をAr又はN2でパージする工程、
f)所望の膜厚に達するまで工程bから工程eを繰り返す工程
を含む。
アミノビニルシラン前駆体としては、特に限定されないが、ビス(イソプロピルアミノ)ビニルメチルシラン(BIPAVNS)、ビス(イソプロピルアミノ)ジビニルシラン(BIPADVS)、ビス(イソプロピルアミノ)ビニルシラン、ビス(イソプロピルアミノ)アリルメチルシラン、ビス(イソプロピルアミノ)ジアリルシラン、ビス(イソプロピルアミノ)アリルシラン、ビス(t−ブチルアミノ)ビニルメチルシラン、ビス(t−ブチルアミノアミノ)ジビニルシラン、ビス(t−ブチルアミノアミノ)ビニルシラン、ビス(t−ブチルアミノアミノ)アリルメチルシラン、ビス(t−ブチルアミノアミノ)ジアリルシラン、ビス(t−ブチルアミノアミノ)アリルシラン、ビス(ジエチルアミノ)ビニルメチルシラン、ビス(ジエチルアミノ)ジビニルシラン、ビス(ジエチルアミノ)ビニルシラン、ビス(ジエチルアミノ)アリルメチルシラン、ビス(ジエチルアミノ)ジアリルシラン、ビス(ジエチルアミノ)アリルシラン、ビス(ジメチルアミノ)ビニルメチルシラン、ビス(ジメチルアミノ)ジビニルシラン、ビス(ジメチルアミノ)ビニルシラン、ビス(ジメチルアミノ)アリルメチルシラン、ビス(ジメチルアミノ)ジアリルシラン、ビス(ジメチルアミノ)アリルシラン、ビス(メチルエチルアミノ)ビニルメチルシラン、ビス(メチルエチルアミノ)ジビニルシラン、ビス(メチルエチルアミノ)ビニルシラン、ビス(メチルエチルアミノ)アリルメチルシラン、ビス(メチルエチルアミノ)ジアリルシラン、ビス(メチルエチルアミノ)アリルシラン、ジピペリジノビニルメチルシラン、ジピペリジノジビニルシラン、ジピペリジノビニルシラン、ジピペリジノアリルメチルシラン、ジピペリジノジアリルシラン、ジピペリジノアリルシラン、ジピロリジノビニルメチルシラン、ジピロリジノジビニルシラン、ジピロリジノビニルシラン、ジピロリジノアリルメチルシラン、ジピロリジノジアリルシラン、ジピロリジノアリルシラン、トリス(イソプロピルアミノ)ビニルシラン、トリス(イソプロピルアミノ)アリルシラン、トリス(t−ブチルアミノ)ビニルシラン、トリス(t−ブチルアミノ)アリルシラン、トリス(ジエチルアミノ)ビニルシラン、トリス(ジエチルアミノ)アリルシラン、トリス(ジメチルアミノ)ビニルシラン、トリス(ジメチルアミノ)アリルシラン、トリス(メチルエチルアミノ)ビニルシラン、トリス(メチルエチルアミノ)アリルシラン、トリピペリジノビニルシラン、トリピペリジノアリルシラン、トリピロリジノビニルシラン、トリピロリジノアリルシラン、1,3,5−トリビニル−1,3,5−トリメチルシクロトリシラザン、1,3,5−トリビニルシクロトリシラザン、1,1,3,3,5,5−ヘキサビニルシクロトリシラザン、1,3,5−トリアリル−1,3,5−トリメチルシクロトリシラザン、1,3,5−トリアリルシクロトリシラザン、1,1,3,3,5,5−ヘキサアリルシクロトリシラザン、1,3,5,7−テトラビニル−1,3,5,7−テトラメチルシクロテトラシラザン、1,3,5,7−テトラビニルシクロテトラシラザン、1,1,3,3,5,5,7,7−オクタビニルシクロテトラシラザン、1,3,5,7−テトラアリル−1,3,5,7−テトラメチルシクロテトラシラザン、1,3,5,7−テトラアリルシクロテトラシラザン、1,1,3,3,5,5,7,7−オクタアリルシクロテトラシラザンが挙げられる。
試験で用いられた特定の前駆体は、ビス(イソプロピルアミノ)ビニルメチルシラン(BIPAVNS)である。別の類似の前駆体は、ビス(イソプロピルアミノ)ジビニルシラン(BIPADVS)である。
本発明の方法は、図1に示される低圧ホットウォールCVD反応器を用いて実証することができる。CVD反応器300は周囲の発熱体201によって加熱される。ウェハ203のすべてを、CVD反応器の軸に沿って確実に配置するウェハホルダー202の上に乗せ、それらを各ウェハ内で均一に加熱できるようにする。1回の試験におけるウェハの数は25個程度であることができる。
膜の堆積プロセスを開始する前に、CVD管を入口103を介して不活性ガス(例えばAr又はN2)で満たし、次いで真空ポンプ又はプロセスポンプ302を用いて1mT未満の真空レベルまでポンピングし、アベイトメント(abatement)303を介して排気する。次いで、CVD反応器は再び不活性ガスで満たされ、堆積が始まる温度に加熱される。CVD反応器が設定温度に達した後、バルブ103Aを閉じ、バルブ101A及び102Aを開けて前駆体蒸気及び反応性ガスをCVD反応器に導入する。CVD反応器の圧力は、スロットルバルブ301の開度を変更することによって制御される。
反応性ガス(例えばO2)を入口102を介してCVD反応器に流し、流量はマスフローコントローラー(MFC)で制御される。ケイ素前駆体は液体の形態であり、液体容器101Dに充填される。高圧のHeガスを用いて気化器101Bに液体を押し込むのを助け、液体を加熱してそれを気化させる。液体前駆体の流れは液体流量コントローラー(LFC)を用いて制御される。前駆体蒸気の流量は、LFC101C及び気化器101Bの加熱温度によって制御することができ、LFCの所与の設定については、気化器の温度が高くなるにつれ、前駆体蒸気の流量が大きくなり、気化器の所与の温度設定については、LFCの流量が大きくなるにつれ、前駆体蒸気の流量が大きくなる。バルブ101Aにより、CVD反応器への前駆体蒸気の流れを開放又は停止する。
CVD反応器の圧力は、約0.01T〜約1Tの範囲であることができる。反応性ガス(例えばO2)の流量は、5sccm〜200sccmの範囲であることができる。Si前駆体蒸気の流量は、5sccm〜200sccmの範囲であることができる。堆積温度は反応器の壁温度と同じである。それは500℃〜700℃の範囲であることができる。
堆積時間は、所望の厚さを有する膜を生成するプロセスに関して予め設定される。堆積速度は、多くの処理パラメータ、例えば、堆積温度、O2流量、キャリヤーガス(He)流量、Si前駆体の液体質量流量、気化器の温度、及び反応器の圧力に依存している。気化器の温度は20℃〜150℃の範囲であることができる。55℃の気化器温度では、堆積速度は約0.5nm/分である。
SiO2の堆積速度は、0.1nm/分〜10nm/分の範囲であることができる。この速度は、堆積温度、気化器温度、LFC流量、反応性O2ガスの流量、及びCVD反応器の圧力を変更することによって制御することができる。
ALDプロセスを用いて均一な窒素のない二酸化ケイ素膜を形成する方法は、図2に示されるALD反応器を用いて実証することができる。ALD反応器A200は、チャンバー壁A300と基材ホルダーA202から構成される。これらの両方を異なる温度で別々に加熱することが可能である。ウェハ(又は基材)A203Aは堆積プロセスの際にA202上に配置される。
膜の堆積プロセスを開始する前に、ALD反応器A200を入口104及びバルブA104Aを介して不活性ガス(例えばAr又はN2)で満たし、次いで真空ポンプ又はプロセスポンプA302を用いて1mT未満の真空レベルまでポンピングし、アベイトメント(abatement)A303を介して排気する。次いで、ALD反応器A200は再び不活性ガスで満たされ、基材ホルダーA202及び反応器壁がヒーターA201によって堆積が始まる温度に加熱される。
Si前駆体は、蒸気ドローA101から供給され、Si前駆体容器A101Dを囲む電気ヒーターA101Eによって加熱され、そして堆積の間、一定の温度に維持される。Si前駆体の流量は、高速ALDバルブA101A、マスフローコントローラーA101B及びバルブA101Cによって制御される。温度は20℃〜100℃である。ヘリウムは、ラインA103及びバルブA103Aを介してSi前駆体と同時に導入することができる。酸素前駆体は、ヒーターA102Eを有する容器A102Dを介し、マスフローコントローラーA102B及びバルブA102Cとともに、ラインA102を制御する高速ALDバルブA102Aを介して供給される。
ALDプロセスのシーケンスは以下のとおりである。
・バルブA301を閉じ、そして同じ時間にわたりバルブA101Aを開けることにより、0.1〜10秒間にわたってケイ素前駆体を反応器A200に供給する。
・バルブA101Aを閉じ、そして同じ時間にわたりバルブA301及びA104Aを開けることにより、0.5〜5秒間にわたって反応器A200をパージする。
・バルブA301を閉じ、そして同じ時間にわたりバルブA102Aを開けることにより、0.1〜10秒間にわたって酸素を反応器A200に供給する。
・バルブA102Aを閉じ、そして同じ時間にわたりバルブA301を開けることにより、0.5〜5秒間にわたって反応器A200をパージする。
・所望の膜厚が達成されるまで数時間にわたり上記のサイクルを繰り返す。
[サイクリックCVDプロセス]
均一な窒素のない二酸化ケイ素膜を形成するサイクリックCVDプロセスも同様に、図2において示すことができる。サイクリックCVDプロセスのために同じALD反応器を使用することができる。均一な窒素のない膜を堆積するためのサイクリックCVDにおける大きな違いは、ケイ素前駆体と酸素前駆体の投入量がALDで用いられるよりもはるかに多い場合があり、したがって堆積速度がALDよりもはるかに高い場合があるということである。しかしながら、堆積温度は、ALDプロセスで用いられるよりもはるかに高い400〜700℃である。
サイクリックCVDプロセスのシーケンスは以下のとおりである。
・バルブA301を閉じ、そして同じ時間にわたりバルブA101Aを開けることにより、5〜20秒間にわたってケイ素前駆体を反応器A200に供給する。
・バルブA101Aを閉じ、そして同じ時間にわたりバルブA301及びA104Aを開けることにより、0.1〜1秒間にわたって反応器A200をパージする。
・バルブA301を閉じ、そして同じ時間にわたりバルブA102Aを開けることにより、5〜20秒間にわたって酸素を反応器A200に供給する。
・バルブA102Aを閉じ、そして同じ時間にわたりバルブA301及びA104Aを開けることにより、0.1〜1秒間にわたって反応器A200をパージする。
・所望の膜厚が達成されるまで数時間にわたり上記のサイクルを繰り返す。
[膜厚及び光学特性]
厚さ及び光学特性、例えば酸化物膜の屈折率の特性評価は、Film Tek 2000SEエリプソメーターを用いて実施される。垂直入射(入射角=0°)での分光反射データがデータフィッティングのために使用される。用いられる光の波長範囲は200nm〜900nmである。SiO2に関する吸光係数(k)は光の波長が200nm〜4000nmである場合にゼロであり、SiO2の分散は周知であるので、データは高周波誘電率についてのみフィットする。酸化物膜の厚さ及び屈折率は、膜からの反射データを予め設定された物理的モデル(例えば、ローレンツ振動子模型)にあてはめることによって得ることができる。RMSE(平均二乗誤差の平方根)を用いてフィッティングの適合度が決定され、その値は、信頼できると考えられる測定結果に関して1%未満でなければならない。
[化学組成]
膜の化学組成の特性評価は、X線光電子分光法(XPS)によって行われる。X線光電子分光実験は、マルチチャンネルプレート(MCP)及び焦点AI単色X線源を備えたPHI 5000 VersaProbe分光計によって行われる。低分解能検査スキャンは、117.4eVのパスエネルギー、1.000eV/ステップ及び50msec/ステップの滞留時間で実施される。高分解能の多重スキャンは、23.50eVのパスエネルギー、0.100eV/ステップ及び100msec/ステップの滞留時間で実施される。分析領域は、45°のテークオフ角を有する200ミクロン直径である。データは、ベンダー供給のソフトウェアを用いて収集される。CasaXPSを用いて、透過関数補正のエリア感度因子(ASF)によりデータをまとめる。すべてのスペクトルはCHx=284.8eVに電荷補正される。エッチ速度は203nmSiO2/Siに対して較正され、約120A/分である。
[希釈HF溶液中でのエッチ速度]
エッチ試験は1wt%のHF(脱イオン(DI)水中)溶液で実施される。(Siウェハ上に堆積された)膜はHF中に30秒間にわたって置かれ、続いてDI水中でリンスされて乾燥した後、エッチの際の材料の損失について再び測定される。対照標準として公知で一致したエッチ速度を有する2つの熱酸化ケイ素膜を、同時に特性評価及びエッチングされるべき膜とともに同じカセット中に配置する。これらの膜は、対照標準の熱酸化膜とともに、エリプソメーター及び上記の方法を用いたエッチングの前後において膜表面の9つの異なる点の厚さについて測定される。次いで、エッチ速度が、膜がHF溶液中に浸漬されている時間で厚さの減少を割り算することによって算出される。
[誘電率]
誘電率kは、MDC802B−150水銀プローブで測定されたC−V曲線から算出される。当該水銀プローブは、試料を保持しそして測定されるべき膜上に電気接点を形成するプローブステージと、Keithley236ソースメーターと、C−V測定のためのHP4284A LCRメーターとから構成される。比較的低い電気抵抗率(0.02Ω−cm未満のシート抵抗)を有するSiウェハを用いてC−V測定のための膜が堆積される。前面接触モードを用いて膜との電気接点を形成する。液体金属(水銀)を細いチューブを介して容器からウェハの表面へ押し出して2つの導電性接点を形成する。接触面積は、水銀が押し出されたチューブの直径に基づいて算出される。次いで、誘電率が、k=キャパシタンス×接触面積/膜厚の式から算出される。
[例1]
[膜の化学組成]
高度に均一で窒素を含まない膜の典型的なXPSを図3に示し、窒素を含む異なる素子の組成を表1に記載する。図3と表1の両方から知ることができるように、多量の窒素は膜中に検出されていない。
Figure 0005357240
[例2]
[膜の厚さ及びエッチ速度]
本発明によって形成された二酸化ケイ素膜を、エリプソメーターを用いて厚さに関して測定した。膜を標準の二酸化ケイ素とともに1wt%のHF溶液中でエッチングした。次いで、エッチ速度を膜厚の測定値から算出し、熱酸化物のエッチ速度と比較した。その結果を表2に記載する。表2から知ることができるように、低エッチ速度のSiO2(本発明)のウェットエッチ速度は同等の熱酸化物膜よりもはるかに小さい。
Figure 0005357240
[例3]
[酸化ケイ素の堆積]
ATV PEO612(商標)の低圧化学気相成長(LPCVD)反応器を用いて、堆積試験ごとに25個の100mmSiウェハを装入した。反応器へのドアを閉めた後、自動システムのシーケンス制御装置によってプロセスを開始した。シーケンスは、最初、チャンバーを約1〜5mtorrのベース圧力に約10分間ポンピングした。次いで、プロセスに窒素パージガス(約40〜50sccm)を導入し、スロットルバルブを用いてチャンバー圧力の制御を開始して適切な処理圧力(125〜500mtorr)を得た。次いで、プロセスにおいてチャンバーを所望の処理温度(550℃又は600℃)まで昇温し、これは約30分を要した。処理温度に達した後、ウェハ温度を30分間安定させる一方で、依然として窒素パージガスを流して処理圧力を維持した。安定化の期間が完了した後、窒素パージガス流を止め、前駆体(ビス(イソプロピルアミノ)ビニルメチルシラン)と酸素を流し始めて、一方で依然として処理圧力及び温度を維持した。前駆体(ビス(イソプロピルアミノ)ビニルメチルシラン)流は約14〜19sccmであった。酸素流は20sccm又は40sccmであった。堆積工程が完了した後、前駆体(ビス(イソプロピルアミノ)ビニルメチルシラン)と酸素を止めて窒素パージガスを流した。スロットルバルブを開けるよう設定して前駆体(ビス(イソプロピルアミノ)ビニルメチルシラン)及び酸素のチャンバーのパージを可能にした。この時点で、システムにおいてウェハの取り外しのためにプロセスチャンバーを約60℃まで降温した。通常、このような降温はクールダウンまで約60分を要する。処理が完了すると、システムにおいて自動的にチャンバーを窒素で埋め戻し、チャンバーを大気圧まで上昇させ、チャンバーへのドアをウェハの取り外しのために開けることができる。
Rudolph FOCUS 自動エリプソメーターを用いて、堆積ごとに3〜20個のウェハを測定した。9つの点の測定パターンを各ウェハについて使用した。測定した平均の膜厚は使用したプロセスに応じて350Å〜1000Åまで変化した。このことは、堆積速度が5.8Å/分から17Å/分まで変化したことを意味する。ウェハ厚さの均一性は2.0%から6.9%まで変化した。測定した平均の屈折率は1.4375から1.4828まで変化した。
図4は、酸化ケイ素膜の動的二次イオン質量分析法(SIMS)による深さプロファイルの元素分析を示し、それはケイ素、酸素及び炭素、並びに非常に低含有量の窒素に関して膜の優れた組成均一性を示している。

Claims (16)

  1. HF溶液中で極めて低いウェットエッチ速度を有する二酸化ケイ素膜を形成するための低圧熱化学気相成長方法であって、
    a.ケイ素源を提供する第1の前駆体を低圧熱化学気相成長反応器に供給する工程であって、前記第1の前駆体が、R1 n2 mSi(NR344-n-m、及び(R12SiNR3pの環状シラザンからなる群より選択され、式中、R1がC2〜C10のアルケニル又は芳香族であり、R2、R3及びR4がH、C1〜C10の直鎖、分枝又は環状のアルキル、C2〜C10の直鎖、分枝又は環状のアルケニル、及び芳香族から選択され、n=1〜3、m=0〜2、p=3〜4である工程、
    b.酸素源を提供する第2の前駆体を前記反応器に供給する工程、
    c.100mT〜1Tの圧力下において400℃〜700℃の温度で前記第1及び第2の前駆体を反応させる工程
    を含む、低圧熱化学気相成長方法。
  2. 1がビニル、アリル及びフェニルからなる群より選択される、請求項1に記載の方法。
  3. 前記第1の前駆体がビス(イソプロピルアミノ)ビニルメチルシランである、請求項1に記載の方法。
  4. 酸素の前駆体が酸素、オゾン及びそれらの混合物からなる群より選択される、請求項1に記載の方法。
  5. HF溶液中で極めて低いウェットエッチ速度を有する二酸化ケイ素膜を形成するための原子層堆積方法であって、
    a.ケイ素源を提供する第1の前駆体を原子層堆積反応器に供給する工程であって、前記第1の前駆体が、R1 n2 mSi(NR344-n-m、及び(R12SiNR3pの環状シラザンからなる群より選択され、式中、R1がC2〜C10のアルケニル又は芳香族であり、R2、R3及びR4がH、C1〜C10の直鎖、分枝又は環状のアルキル、C2〜C10の直鎖、分枝又は環状のアルケニル、及び芳香族から選択され、n=1〜3、m=0〜2、p=3〜4である工程、
    b.前記反応器を不活性ガスでパージする工程、
    c.酸素源を提供する第2の前駆体を前記反応器に供給する工程、
    d.前記反応器を不活性ガスでパージする工程、
    e.所望の膜厚が得られるまで工程aから工程dを繰り返す工程
    を含む、原子層堆積方法。
  6. 1がビニル、アリル及びフェニルからなる群より選択される、請求項5に記載の方法。
  7. 前記第1の前駆体がビス(イソプロピルアミノ)ビニルメチルシランである、請求項5に記載の方法。
  8. 酸素の前駆体が酸素、オゾン及びそれらの混合物からなる群より選択される、請求項5に記載の方法。
  9. HF溶液中で極めて低いウェットエッチ速度を有する二酸化ケイ素膜を形成するためのサイクリック化学気相成長方法であって、
    a.ケイ素源を提供する第1の前駆体をサイクリック化学気相成長反応器に供給する工程であって、前記第1の前駆体が、R1 n2 mSi(NR344-n-m、及び(R12SiNR3pの環状シラザンからなる群より選択され、式中、R1がC2〜C10のアルケニル又は芳香族であり、R2、R3及びR4がH、C1〜C10の直鎖、分枝又は環状のアルキル、C2〜C10の直鎖、分枝又は環状のアルケニル、及び芳香族から選択され、n=1〜3、m=0〜2、p=3〜4である工程、
    b.前記反応器を0.1〜1秒間にわたり不活性ガスでパージする工程、
    c.酸素源を提供する第2の前駆体を前記反応器に供給する工程、
    d.前記反応器を0.1〜1秒間にわたり不活性ガスでパージする工程、
    e.所望の膜厚が得られるまで工程aから工程dを繰り返す工程
    を含む、サイクリック化学気相成長方法。
  10. 1がビニル、アリル及びフェニルからなる群より選択される、請求項9に記載の方法。
  11. 前記第1の前駆体がビス(イソプロピルアミノ)ビニルメチルシランである、請求項9に記載の方法。
  12. 酸素の前駆体が酸素、オゾン及びそれらの混合物からなる群より選択される、請求項9に記載の方法。
  13. 二酸化ケイ素膜又は酸化ケイ素膜から選択される膜を形成するための原子層堆積方法であって、
    a.ケイ素源を提供する第1の前駆体を原子層堆積反応器に供給する工程であって、前記第1の前駆体が、式R 1 n 2 m Si(NR 3 4 4-n-m を有する化合物を含み、式中、R 1 がC 2 〜C 10 のアルケニル又は芳香族であり、R 2 、R 3 及びR 4 がH、C 1 〜C 10 の直鎖、分枝又は環状のアルキル、C 2 〜C 10 の直鎖、分枝又は環状のアルケニル、及び芳香族から選択され、n=1〜3、m=0〜2である工程、
    b.前記反応器を不活性ガスでパージする工程、
    c.酸素源を提供する第2の前駆体を前記反応器に供給する工程、
    d.前記反応器を不活性ガスでパージする工程、
    e.所望の膜厚が得られるまで工程aから工程dを繰り返す工程
    を含む、原子層堆積方法。
  14. 前記第1の前駆体が、ビス(イソプロピルアミノ)ビニルメチルシラン(BIPAVNS)、ビス(イソプロピルアミノ)ジビニルシラン(BIPADVS)、ビス(イソプロピルアミノ)ビニルシラン、ビス(イソプロピルアミノ)アリルメチルシラン、ビス(イソプロピルアミノ)ジアリルシラン、ビス(イソプロピルアミノ)アリルシラン、ビス(t−ブチルアミノ)ビニルメチルシラン、ビス(t−ブチルアミノアミノ)ジビニルシラン、ビス(t−ブチルアミノアミノ)ビニルシラン、ビス(t−ブチルアミノアミノ)アリルメチルシラン、ビス(t−ブチルアミノアミノ)ジアリルシラン、ビス(t−ブチルアミノアミノ)アリルシラン、ビス(ジエチルアミノ)ビニルメチルシラン、ビス(ジエチルアミノ)ジビニルシラン、ビス(ジエチルアミノ)ビニルシラン、ビス(ジエチルアミノ)アリルメチルシラン、ビス(ジエチルアミノ)ジアリルシラン、ビス(ジエチルアミノ)アリルシラン、ビス(ジメチルアミノ)ビニルメチルシラン、ビス(ジメチルアミノ)ジビニルシラン、ビス(ジメチルアミノ)ビニルシラン、ビス(ジメチルアミノ)アリルメチルシラン、ビス(ジメチルアミノ)ジアリルシラン、ビス(ジメチルアミノ)アリルシラン、ビス(メチルエチルアミノ)ビニルメチルシラン、ビス(メチルエチルアミノ)ジビニルシラン、ビス(メチルエチルアミノ)ビニルシラン、ビス(メチルエチルアミノ)アリルメチルシラン、ビス(メチルエチルアミノ)ジアリルシラン、ビス(メチルエチルアミノ)アリルシラン、ジピペリジノビニルメチルシラン、ジピペリジノジビニルシラン、ジピペリジノビニルシラン、ジピペリジノアリルメチルシラン、ジピペリジノジアリルシラン、ジピペリジノアリルシラン、ジピロリジノビニルメチルシラン、ジピロリジノジビニルシラン、ジピロリジノビニルシラン、ジピロリジノアリルメチルシラン、ジピロリジノジアリルシラン、ジピロリジノアリルシラン、トリス(イソプロピルアミノ)ビニルシラン、トリス(イソプロピルアミノ)アリルシラン、トリス(t−ブチルアミノ)ビニルシラン、トリス(t−ブチルアミノ)アリルシラン、トリス(ジエチルアミノ)ビニルシラン、トリス(ジエチルアミノ)アリルシラン、トリス(ジメチルアミノ)ビニルシラン、トリス(ジメチルアミノ)アリルシラン、トリス(メチルエチルアミノ)ビニルシラン、トリス(メチルエチルアミノ)アリルシラン、トリピペリジノビニルシラン、トリピペリジノアリルシラン、トリピロリジノビニルシラン、及びトリピロリジノアリルシランからなる群より選択される少なくとも1つである、請求項13に記載の方法。
  15. 二酸化ケイ素膜又は酸化ケイ素膜から選択される膜を形成するためのサイクリック化学気相成長方法であって、
    a.ケイ素源を提供する第1の前駆体をサイクリック化学気相成長反応器に供給する工程であって、前記第1の前駆体が、式R 1 n 2 m Si(NR 3 4 4-n-m を有する化合物を含み、式中、R 1 がC 2 〜C 10 のアルケニル又は芳香族であり、R 2 、R 3 及びR 4 がH、C 1 〜C 10 の直鎖、分枝又は環状のアルキル、C 2 〜C 10 の直鎖、分枝又は環状のアルケニル、及び芳香族から選択され、n=1〜3、m=0〜2である工程、
    b.前記反応器を不活性ガスでパージする工程、
    c.酸素源を提供する第2の前駆体を前記反応器に供給する工程、
    d.前記反応器を不活性ガスでパージする工程、
    e.所望の膜厚が得られるまで工程aから工程dを繰り返す工程
    を含む、サイクリック化学気相成長方法。
  16. 前記第1の前駆体が、ビス(イソプロピルアミノ)ビニルメチルシラン(BIPAVNS)、ビス(イソプロピルアミノ)ジビニルシラン(BIPADVS)、ビス(イソプロピルアミノ)ビニルシラン、ビス(イソプロピルアミノ)アリルメチルシラン、ビス(イソプロピルアミノ)ジアリルシラン、ビス(イソプロピルアミノ)アリルシラン、ビス(t−ブチルアミノ)ビニルメチルシラン、ビス(t−ブチルアミノアミノ)ジビニルシラン、ビス(t−ブチルアミノアミノ)ビニルシラン、ビス(t−ブチルアミノアミノ)アリルメチルシラン、ビス(t−ブチルアミノアミノ)ジアリルシラン、ビス(t−ブチルアミノアミノ)アリルシラン、ビス(ジエチルアミノ)ビニルメチルシラン、ビス(ジエチルアミノ)ジビニルシラン、ビス(ジエチルアミノ)ビニルシラン、ビス(ジエチルアミノ)アリルメチルシラン、ビス(ジエチルアミノ)ジアリルシラン、ビス(ジエチルアミノ)アリルシラン、ビス(ジメチルアミノ)ビニルメチルシラン、ビス(ジメチルアミノ)ジビニルシラン、ビス(ジメチルアミノ)ビニルシラン、ビス(ジメチルアミノ)アリルメチルシラン、ビス(ジメチルアミノ)ジアリルシラン、ビス(ジメチルアミノ)アリルシラン、ビス(メチルエチルアミノ)ビニルメチルシラン、ビス(メチルエチルアミノ)ジビニルシラン、ビス(メチルエチルアミノ)ビニルシラン、ビス(メチルエチルアミノ)アリルメチルシラン、ビス(メチルエチルアミノ)ジアリルシラン、ビス(メチルエチルアミノ)アリルシラン、ジピペリジノビニルメチルシラン、ジピペリジノジビニルシラン、ジピペリジノビニルシラン、ジピペリジノアリルメチルシラン、ジピペリジノジアリルシラン、ジピペリジノアリルシラン、ジピロリジノビニルメチルシラン、ジピロリジノジビニルシラン、ジピロリジノビニルシラン、ジピロリジノアリルメチルシラン、ジピロリジノジアリルシラン、ジピロリジノアリルシラン、トリス(イソプロピルアミノ)ビニルシラン、トリス(イソプロピルアミノ)アリルシラン、トリス(t−ブチルアミノ)ビニルシラン、トリス(t−ブチルアミノ)アリルシラン、トリス(ジエチルアミノ)ビニルシラン、トリス(ジエチルアミノ)アリルシラン、トリス(ジメチルアミノ)ビニルシラン、トリス(ジメチルアミノ)アリルシラン、トリス(メチルエチルアミノ)ビニルシラン、トリス(メチルエチルアミノ)アリルシラン、トリピペリジノビニルシラン、トリピペリジノアリルシラン、トリピロリジノビニルシラン、及びトリピロリジノアリルシランからなる群より選択される少なくとも1つである、請求項15に記載の方法。
JP2011268617A 2010-12-09 2011-12-08 CVD及びALDのSiO2膜のためのアミノビニルシラン Expired - Fee Related JP5357240B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/964,266 2010-12-09
US12/964,266 US8460753B2 (en) 2010-12-09 2010-12-09 Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes

Publications (3)

Publication Number Publication Date
JP2012124492A JP2012124492A (ja) 2012-06-28
JP2012124492A5 JP2012124492A5 (ja) 2013-05-30
JP5357240B2 true JP5357240B2 (ja) 2013-12-04

Family

ID=45440145

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011268617A Expired - Fee Related JP5357240B2 (ja) 2010-12-09 2011-12-08 CVD及びALDのSiO2膜のためのアミノビニルシラン

Country Status (6)

Country Link
US (1) US8460753B2 (ja)
EP (1) EP2463404B1 (ja)
JP (1) JP5357240B2 (ja)
KR (1) KR101404576B1 (ja)
CN (2) CN105239055A (ja)
TW (1) TWI444499B (ja)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9448564B2 (en) 2013-02-15 2016-09-20 Reno Technologies, Inc. Gas delivery system for outputting fast square waves of process gas during semiconductor processing
US9188989B1 (en) 2011-08-20 2015-11-17 Daniel T. Mudd Flow node to deliver process gas using a remote pressure measurement device
US9958302B2 (en) 2011-08-20 2018-05-01 Reno Technologies, Inc. Flow control system, method, and apparatus
DE102012203212A1 (de) * 2012-03-01 2013-09-05 Osram Opto Semiconductors Gmbh Beschichtungsanlage und verfahren zur durchführung eines aufwachsprozesses
US9460912B2 (en) 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
WO2014040002A2 (en) 2012-09-10 2014-03-13 Mudd Daniel T Pressure based mass flow controller
KR101993355B1 (ko) 2013-03-13 2019-09-30 삼성전자주식회사 반도체 장치의 제조 방법
KR102195139B1 (ko) * 2014-02-20 2020-12-24 삼성전자주식회사 반도체 장치의 제조 방법
US9875888B2 (en) 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
KR102332415B1 (ko) * 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
US10421766B2 (en) * 2015-02-13 2019-09-24 Versum Materials Us, Llc Bisaminoalkoxysilane compounds and methods for using same to deposit silicon-containing films
US20190292658A1 (en) * 2015-12-21 2019-09-26 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
SG11201807211XA (en) * 2016-02-26 2018-09-27 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
US10303189B2 (en) 2016-06-30 2019-05-28 Reno Technologies, Inc. Flow control system, method, and apparatus
US11144075B2 (en) 2016-06-30 2021-10-12 Ichor Systems, Inc. Flow control system, method, and apparatus
US10838437B2 (en) 2018-02-22 2020-11-17 Ichor Systems, Inc. Apparatus for splitting flow of process gas and method of operating same
US10679880B2 (en) 2016-09-27 2020-06-09 Ichor Systems, Inc. Method of achieving improved transient response in apparatus for controlling flow and system for accomplishing same
US10663337B2 (en) 2016-12-30 2020-05-26 Ichor Systems, Inc. Apparatus for controlling flow and method of calibrating same
US11177127B2 (en) * 2017-05-24 2021-11-16 Versum Materials Us, Llc Functionalized cyclosilazanes as precursors for high growth rate silicon-containing films
EP3844319A4 (en) * 2018-10-04 2022-06-08 Versum Materials US, LLC HIGH TEMPERATURE ATOMIC LAYER DEPOSITION COMPOSITION OF HIGH QUALITY SILICON OXIDE THIN FILMS
CN112777565B (zh) * 2019-11-05 2022-11-22 中国科学院大连化学物理研究所 一种可抑制逆反应的半导体光催化分解水的方法
JP2023542236A (ja) * 2020-07-24 2023-10-05 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ゲルマニウムシード層のための組成物およびそれを使用する方法
WO2022186971A1 (en) 2021-03-03 2022-09-09 Ichor Systems, Inc. Fluid flow control system comprising a manifold assembly

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1352951A (en) * 1971-12-08 1974-05-15 Shell Int Research Electrostatic coating of glass-fibre material
US4863755A (en) * 1987-10-16 1989-09-05 The Regents Of The University Of California Plasma enhanced chemical vapor deposition of thin films of silicon nitride from cyclic organosilicon nitrogen precursors
JP3093070B2 (ja) * 1993-01-26 2000-10-03 大阪瓦斯株式会社 Cvd薄膜形成装置
US5976991A (en) * 1998-06-11 1999-11-02 Air Products And Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino) silane
JP4722269B2 (ja) * 2000-08-29 2011-07-13 Azエレクトロニックマテリアルズ株式会社 低誘電率多孔質シリカ質膜、半導体装置およびコーティング組成物、ならびに低誘電率多孔質シリカ質膜の製造方法
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US20080268177A1 (en) 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
KR100505668B1 (ko) * 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040197474A1 (en) 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
JP3666751B2 (ja) 2003-11-28 2005-06-29 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜形成システム
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7312129B2 (en) * 2006-01-25 2007-12-25 Freescale Semiconductor, Inc. Method for producing two gates controlling the same channel
JP5177617B2 (ja) * 2006-12-25 2013-04-03 独立行政法人産業技術総合研究所 酸化シリコン薄膜形成装置
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
WO2009006272A1 (en) 2007-06-28 2009-01-08 Advanced Technology Materials, Inc. Precursors for silicon dioxide gap fill
US8580993B2 (en) * 2008-11-12 2013-11-12 Air Products And Chemicals, Inc. Amino vinylsilane precursors for stressed SiN films
US8703624B2 (en) 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
US8242029B2 (en) * 2009-11-23 2012-08-14 Asm International N.V. Method for forming a silicon dioxide/metal oxide-nanolaminate with a desired wet etch rate

Also Published As

Publication number Publication date
TW201224193A (en) 2012-06-16
KR101404576B1 (ko) 2014-06-09
TWI444499B (zh) 2014-07-11
CN102534548A (zh) 2012-07-04
EP2463404A1 (en) 2012-06-13
KR20120064635A (ko) 2012-06-19
US8460753B2 (en) 2013-06-11
JP2012124492A (ja) 2012-06-28
CN105239055A (zh) 2016-01-13
US20120148745A1 (en) 2012-06-14
EP2463404B1 (en) 2019-10-23

Similar Documents

Publication Publication Date Title
JP5357240B2 (ja) CVD及びALDのSiO2膜のためのアミノビニルシラン
TWI512136B (zh) 伴隨持續的電漿之pecvd多重步驟處理方法
US6217658B1 (en) Sequencing of the recipe steps for the optimal low-dielectric constant HDP-CVD Processing
KR960011015B1 (ko) 유기디실란 소오스를 사용하여 저압 화학적 증착에 의해 100°c 정도의 저온에서 이산화규소막을 증착하는 방법
TWI547587B (zh) 平滑之含矽膜
US6395092B1 (en) Apparatus for depositing high deposition rate halogen-doped silicon oxide layer
KR100809759B1 (ko) 산질화막을 형성하는 방법 및 장치
KR100300177B1 (ko) 할로겐도핑된산화실리콘막의막안정성개선을위한방법및그장치
KR101423019B1 (ko) 미세 패턴의 형성 방법
JP2017522718A (ja) ガス分配及び個別のポンピングを伴うバッチ硬化チャンバ
JP2018512727A (ja) 高品質薄膜を形成するための周期的連続処理
KR20200024360A (ko) 저-k 막들의 증착을 위한 방법들 및 장치
US10676823B2 (en) Processing method and processing apparatus
Hinz et al. Analysis of NbN thin film deposition by plasma-enhanced ALD for gate electrode application
WO2010038885A1 (ja) 窒化珪素膜およびその形成方法、コンピュータ読み取り可能な記憶媒体並びにプラズマcvd装置
Boogaard et al. Characterization of SiO2 films deposited at low temperature by means of remote ICPECVD
Hernandez et al. Silicon dioxide deposition by electron cyclotron resonance plasma: kinetic and ellipsometric studies
Hernández et al. Kinetics and Compositional Dependence on the Microwave Power and SiH4/N 2 Flow Ratio of Silicon Nitride Deposited by Electron Cyclotron Resonance Plasmas
JP2023131969A (ja) エッチング方法、および、エッチング装置
TWI813375B (zh) 具有氣體分佈及個別泵送的批次固化腔室
CN113166937A (zh) 1-甲基-1-异丙氧基-硅杂环烷烃和由其制备的致密有机硅膜
Fan Low pressure chemical vapor deposition of silicon nitride films from ditertiarybutylsilane
JP2004186210A (ja) 窒素含有ケイ素化合物膜の形成方法
Ni et al. Real-time feedback control of carbon content of zirconium dioxide thin films using optical emission spectroscopy
Chen Synthesis and characterization of silicon dioxide thin films by plasma enhances chemical vapor deposition from diethylsilane and nitrous oxide

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121025

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121030

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130129

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130201

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20130410

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130730

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130829

R150 Certificate of patent or registration of utility model

Ref document number: 5357240

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees