TW201816166A - 具碳,氧及氮成分控制之沉積SiCON的方法 - Google Patents

具碳,氧及氮成分控制之沉積SiCON的方法 Download PDF

Info

Publication number
TW201816166A
TW201816166A TW106112453A TW106112453A TW201816166A TW 201816166 A TW201816166 A TW 201816166A TW 106112453 A TW106112453 A TW 106112453A TW 106112453 A TW106112453 A TW 106112453A TW 201816166 A TW201816166 A TW 201816166A
Authority
TW
Taiwan
Prior art keywords
substrate
plasma
ruthenium
reactant
precursor
Prior art date
Application number
TW106112453A
Other languages
English (en)
Other versions
TWI733795B (zh
Inventor
馬克 薩利
大衛 湯普森
湯瑪士 尼斯里
巴斯卡爾喬帝 布洋
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201816166A publication Critical patent/TW201816166A/zh
Application granted granted Critical
Publication of TWI733795B publication Critical patent/TWI733795B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

茲描述形成SiCON膜的方法包含相繼接觸矽前驅物和烷醇胺與胺反應物混合物及選擇性電漿。亦描述形成含矽膜的方法包含相繼接觸矽前驅物和環氧化物及選擇性接觸電漿。

Description

具碳,氧及氮成分控制之沉積SiCON的方法
本發明大體係關於沉積薄膜的方法。特別地,本發明係關於沉積具碳、氧與氮的含矽膜的方法。
低介電常數(k)矽系膜通常用於微電子製造。一低k膜應用係做為具低濕蝕刻速率(在1:100氫氟酸(HF)中~0埃(Å)/分鐘)與k值~4.2的間隔物。間隔物材料在接觸溫和氧(O2 )電漿(例如~2千瓦(KW)遠端電漿)後亦具低濕蝕刻速率。
歷史上,矽系低k膜係在爐腔中以ALD沉積。為達成選定膜性質,膜通常在爐腔中、在高於500℃的溫度下沉積。隨著各晶片節點的熱預算不斷減少,需要在低於500℃的溫度下沉積低k膜的方法。
使用典型氧化源(例如水(H2 O)或O2 )及以原子層沉積(ALD)沉積SiCO膜將造成大部分的碳氧化,導致膜具低碳含量。大於約10%的碳量可大幅降低蝕刻速率,還有助於減低膜的介電常數。因此,此領域需要沉積具較高碳含量的低k膜的方法。
本發明的一或更多實施例係針對沉積含矽膜的方法。方法包含使基板表面相繼接觸矽前驅物和反應物。反應物包含一或更多環氧化物或醇。
本發明的附加實施例係針對沉積含矽膜的方法。將具表面的基板放置到處理腔室。使基板的表面接觸矽前驅物,矽前驅物包含矽烷胺且實質無鹵素原子。清除基板表面的未反應矽前驅物。使基板的表面接觸反應物,反應物本質由環氧化物組成且實質不含氮原子,以形成含矽膜。環氧化物具有以下列一或更多者表示的結構,其中各R個別為氫(H)、C1-C10-烷基、C1-C10-烯基、C1-C10-炔基、具1至10個碳的環烷基、醚或矽烷,或其中R係C1-C10-烷基、C1-C10-烯基、C1-C10-炔基、具1至10個碳的環烷基、醚或矽烷。清除基板表面的未反應反應物。接觸矽前驅物、清除未反應矽前驅物、接觸反應物及清除未反應反應物反覆進行以形成具厚度的含矽膜。
本發明的進一步實施例係針對沉積含矽膜的方法。將具表面的基板放置到處理腔室的第一處理區。在第一處理區中,基板的表面接觸包含矽烷胺的矽前驅物。基板側向移動通過氣簾而至處理腔室的第二處理區。氣簾清除基板表面的未反應矽前驅物。在處理腔室的第二處理區中,基板的表面接觸反應物,以形成含矽膜。反應物包含環氧化物且實質不具鹵素或氮原子。環氧化物具有以下列表示的結構,其中各R個別為H、C1-C10-烷基、C1-C10-烯基、C1-C10-炔基、具1至10個碳的環烷基、醚或矽烷,或其中R係C1-C10-烷基、C1-C10-烯基、C1-C10-炔基、具1至10個碳的環烷基、醚或矽烷。反應物進一步包含反應物電漿,反應物電漿包含25%氫(H2 )在Ar中。基板側向移動通過氣簾而至處理腔室的第三處理區。氣簾清除基板表面的未反應反應物。在處理腔室的第三處理區中,選擇性使基板的表面接觸電漿,及從處理腔室的第三處理區側向移動通過氣簾。電漿按分子基準計包含氬與大於或等於約5%的氫。反覆接觸第一處理區、第二處理區和選擇性第三處理區,以生長具厚度的含矽膜。含矽膜按原子基準計包含大於約10%的碳,含矽膜具有小於或等於約0.1的濕蝕刻速率比率。
在敘述本發明的數個示例性實施例前,應理解本發明不限於下文所述構造或處理步驟細節。本發明當能具有其他實施例並以各種方式實踐或施行。亦應理解本發明的錯合物和配體可以具特定立體化學的結構式圖示說明。該等示圖僅為舉例說明,故不宜解釋成所述結構限於任何特定立體化學。反之,所示結構擬涵蓋具指示化學式的所有錯合物和配體。
在此所用「基板」一詞係指任何基板或基板上形成的材料表面,以於製造製程期間進行膜處理。例如,可進行處理的基板表面包括諸如矽、氧化矽、應變矽、矽上絕緣體(SOI)、碳摻雜氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石等材料和任何其他材料、例如金屬、金屬氮化物、金屬合金與其他導電材料,此視應用而定。基板包括、但不限於半導體晶圓。基板可接觸預處理製程,以拋光、蝕刻、還原、氧化、羥基化、退火及/或烘烤基板表面。除了直接對基板表面本身進行膜處理,在本發明中,所述任一膜處理步驟亦可在基板上形成的底層進行,此另詳述於後,「基板表面」一詞擬包括內文所指底層。故例如,當膜/層或部分膜/層沉積至基板表面時,新沉積膜/層的露出表面便成為基板表面。
根據一或更多實施例,方法使用原子層沉積(ALD)製程。在此實施例中,基板表面相繼或實質相繼接觸前驅物(或反應氣體)。整份說明書所用「實質相繼」係指大部分前驅物接觸時間不與接觸共試劑重疊,儘管可能存在若干重疊。說明書和後附申請專利範圍所用「前驅物」、「反應物」、「反應氣體」等用語可互換使用來指稱能與基板表面反應的任何氣態物種。
原子層沉積(ALD)可以時域製程或空間製程進行。在時域製程中,基板在處理腔室中接觸第一反應氣體。第二反應氣體流入處理腔室前,移除或清除處理腔室的第一反應氣體。一次只有一個反應氣體存於處理腔室。在空間ALD製程中,不同反應氣體流入處理腔室的個別區段,基板在不同區段間移動而容許相繼接觸。處理腔室區段由氣簾(例如清除氣流與排放流組合物)分開,以防止或減少反應氣體氣相混合。
本發明的一些實施例有利地提供在低於500℃的溫度下沉積含矽低k介電膜的方法。一些實施例有利地提供賦予具碳、氧與氮組成的低k矽系膜的方法。一或更多實施例有利地提供使用有機系試劑(例如胺、醇和烷醇胺)結合各種矽基鹵化物或矽氧烷前驅物來調整矽系介電質的碳、氧與氮含量的方法。
在一些實施例中,SiCON(亦稱作氮氧碳化矽)使用胺做為碳與氮源、烷醇胺做為碳、氮與氧源沉積。第1圖圖示根據本發明一或更多實施例的製程100。在方塊105中,提供基板進行處理。在此所用「提供」一詞意指將基板放置到適當處理環境(例如處理腔室內)。第1圖所示脈衝順序僅代表一種可能方法,故不應視為限定本發明範圍。簡言之,矽基鹵化物脈衝、清除、胺、清除及選擇性電漿活化後為矽基鹵化物脈衝、清除、烷醇胺(做為碳、氮與氧源)、清除及選擇性電漿活化。
製程100可視為具有第一子製程110和第二子製程150。在第一子製程110中,在方塊112中,使基板接觸矽基鹵化物化合物。適合矽基鹵化物化合物包括、但不限於二氯矽烷、六氯二矽烷、三氯矽烷、四氯矽烷、氟化物、溴化物、碘化物和上述組合物。矽基鹵化物前驅物可包括能與基板表面反應的任何適合矽前驅物。含矽前驅物可為鹵化或非鹵化。鹵化前驅物意指至少一鹵素原子與矽原子結合。適合鹵化矽包括、但不限於SiCl4 、單氯矽烷、二氯矽烷、三氯矽烷、甲矽烷、二矽烷、有機矽酸鹽、胺基矽烷和有機矽烷。在一些實施例中,含矽前驅物本質由鹵化矽組成。在此所用「本質由鹵化矽組成」一詞意指氣流實質上僅含鹵化矽做為表面活化組分。其他不反應氣體可包括在內,例如載氣。
在方塊114中,接觸矽基鹵化物後,清除處理腔室或鄰接基板表面區域的未反應矽基鹵化物和副產物。清除達成方式可為移除處理腔室的所有反應氣體,或使基板通過隔離矽基鹵化物的氣簾而至處理腔室的特定區域。適合清除氣體包括、但不限於氮、氬、氦、氫和上述混合物。
在方塊116中,使基板接觸胺。適合胺包括、但不限於一級胺、二級胺和三級胺。在一些實施例中,胺包含一或更多的。在一些實施例中,胺包含乙二胺(EDA)。
在方塊118中,清除基板表面或處理腔室的未反應胺或反應副產物。
在一些實施例中,電漿活化步驟用於再活化表面,以供矽基鹵化物化學吸附。在一或更多實施例中,不使用電漿活化步驟,矽基鹵化物可充分化學吸附而無需再活化。在方塊120中,基板接觸選擇性電漿製程。電漿接觸可和接觸胺一起進行或為單獨處理步驟。在一些實施例中,沒有電漿接觸或隨後清除(方塊122)。在一或更多實施例中,電漿包含不變成最終膜一部分的物質。例如,氬電漿可提供足夠能量與表面物種反應而形成低k膜。在一些實施例中,最終膜實質無氬原子,此意味著膜中氬原子(或其他惰性原子)小於1原子%。在一些實施例中,電漿包含一或更多的Ar(氬)、He(氦)、N2 (氮)、Ar/He、Ar/N2 、Ne(氖)、Kr(氪)或Xe(氙)。
電漿接觸可在任何適合溫度、頻率、壓力和功率下進行。在一些實施例中,電漿的頻率為下列一或更多者:2兆赫(MHz)、13.56 MHz、40 MHz、60 MHz、100 MHz、小於2 MHz或大於100 MHz。在一些實施例中,電漿的頻率為約13.56 MHz。不同實施例的電漿功率為約25瓦至約500瓦、或約50瓦至約400瓦、或約150瓦至約350瓦或約200瓦至約300瓦。一些實施例的電漿接觸時間為約2秒至約30秒或約5秒至約15秒。
在選擇性電漿接觸(方塊120)和清除(方塊122)後,第一子製程110作出決策(方塊130)。在決策(方塊130)中,可反覆進行第一子製程110或進行第二子製程150。在進展到第二子製程150前,第一子製程110可反覆任意次。第一子製程110和第二子製程150可交替或顛倒。
在第二子製程150中,在方塊152中,使基板再次接觸矽基鹵化物。第二子製程150的矽基鹵化物接觸可同於或不同於第一子製程110的矽基鹵化物。接觸矽基鹵化物後,在方塊154中,清除基板或處理腔室。
在方塊156中,使基板接觸烷醇胺。適合烷醇胺包括、但不限於。在一些實施例中,烷醇胺包含乙醇胺(ETA)。
在方塊158中,再次清除處理腔室或基板表面的未反應烷醇胺或反應副產物。在一些實施例中,電漿活化步驟用於再活化表面,以供矽基鹵化物化學吸附。在一或更多實施例中,不使用電漿活化步驟,矽基鹵化物可充分化學吸附而無需再活化。分別在方塊160和162中,使基板接觸選擇性電漿及隨後清除。電漿接觸可和第一子製程一樣或不同。
在方塊170中,完成第二子製程150後,作出反覆第二子製程150的決定。在進展到選擇性後處理(方塊180)前,第二子製程150可反覆任意次。在一些實施例中,完成第二子製程150後,進行第一子製程110。
可調整第一子製程110與第二子製程150的進行次數,以調整膜的碳、氮與氧含量。此外,可改變第一子製程110和第二子製程150的次序和順序,以調整膜內容。
在一或更多實施例中,沉積係在約23℃至約550℃的溫度下進行。在一些實施例中,沉積係在低於或等於約500℃、450℃、400℃、350℃、300℃、250℃、200℃、150℃、125℃或100℃的溫度下進行。
第2圖圖示製程200的另一實施例,並且採用單一子製程210。第2圖所示實施例容許以ALD及碳、氮與氧組成控制來沉積SiCON。為控制組成,可於單一前驅物接觸時混合胺和烷醇胺。胺和烷醇胺可在引入處理腔室前進入或在處理腔室內。製程200所示脈衝順序始於方塊205:提供基板至適當方位或位置以供處理。
在方塊212中,使基板接觸矽基鹵化物前驅物,讓矽基鹵化物化學吸附於基板表面。接觸矽基鹵化物後,在方塊214中,清除處理腔室或基板表面的未反應矽基鹵化物和製程副產物。
在方塊216中,同時將胺和烷醇胺引入基板。前驅物通量比(烷醇胺/胺)可調整以改變碳、氮與氧組成、濕蝕刻速率及/或最終膜的k值。在一些實施例中,烷醇胺/胺比為約10:1至約1:10、或約5:1至約1:5、或約4:1至約1:4、或約3:1至約1:3、或約2:1至約1:2、或約1:1.5至約1.5:1、或約1:1、或約1.1:1、或約1.2:1、或約1.3:1、或約1.4:1、或約1.5:1、或約1:1.1、或約1:1.2、或約1:1.3、或約1:1.4或約1:1.5。
在方塊218中,使用惰性清除氣體,清除處理腔室或基板表面的未反應胺與烷醇胺和反應副產物。清除達成方式可為移除處理腔室的所有反應氣體,或使基板通過氣簾,氣簾隔離處理腔室的特定區域。適合清除氣體包括、但不限於氮、氬、氦、氫和上述混合物。
在一些實施例中,電漿活化步驟用於再活化表面,以供矽基鹵化物化學吸附。在一或更多實施例中,不使用電漿活化步驟,矽基鹵化物可充分化學吸附而無需再活化。在方塊220中,基板接觸選擇性電漿製程。電漿接觸可和接觸胺一起進行或為單獨處理步驟。在一些實施例中,沒有電漿接觸或隨後清除(方塊222)。電漿物種與條件可和第1圖實施例所述一樣。在一些實施例中,電漿包含一或更多的Ar、He、N2 、Ar/He、Ar/N2 、Ne、Kr或Xe。在一些實施例中,電漿的頻率為下列一或更多者:2 MHz、13.56 MHz、40 MHz、60 MHz、100 MHz、小於2 MHz或大於100 MHz。在一些實施例中,電漿的頻率為約13.56 MHz。不同實施例的電漿功率為約25瓦至約500瓦、或約50瓦至約400瓦、或約150瓦至約350瓦或約200瓦至約300瓦。一些實施例的電漿接觸時間為約2秒至約30秒或約5秒至約15秒。
在選擇性電漿接觸(方塊220)和清除(方塊222)後,來到決策點(方塊230)。若膜厚達預定厚度,則製程前進到選擇性後處理(方塊240)。若膜未達預定厚度,則反覆子製程210,以增加膜厚。子製程210可反覆任意次,以沉積具預定厚度的膜。
在一些實施例中,SiCO膜在無氮情況下沉積。就SiCO沉積而言,胺可用醇取代。適合醇包括、但不限於:
在一些情況下,當使用含氧分子時,例如烷醇胺、醇、臭氧、水、氧電漿或氧,將難以控制膜氧化,致使太多氧併入膜內。本發明人驚奇地發現,使用鹵化物系矽氧烷做為矽與氧源可改善膜的氧含量控制。矽氧烷前驅物具有相對Si:O比,此可在最終膜中轉化成類似的Si:O比。本發明人發現矽氧烷可選擇以將最終膜的氧含量控制在約5至20原子百分比範圍內。在一些實施例中,最終膜包含氧,且氧含量小於或等於約30原子%、25原子%、20原子%、15原子%、10原子%或5原子%。一些適合矽基鹵化物系矽氧烷通式包括:
X3 Si-O-(SiX2 )n -O-SiX3 (A), 其中n係0至5,各X個別為F(氟)、Cl(氯)、Br(溴)或I(碘)。在一或更多實施例中,矽氧烷包含Cl3 Si-O-SiCl3 (六氯二矽氧烷;HCDSO)或Cl3 Si-O-SiCl2 -O-SiCl3 (八氯三矽氧烷;OCTSO)。
(B), 其中n係0至5,各X個別為F、Cl、Br或I。在一或更多實施例中,矽氧烷包含式(B)化合物,其中n係1,各X為氯。
(X3 Si-O)n -SiX4-n (C), 其中n係1至4,各X個別為F、Cl、Br或I。
在一些實施例中,矽氧烷係具4至12員環的環形化合物。鹵素可個別選自F、Cl、Br或I。適合六員環矽氧烷實例以式(D)表示。熟諳此技術者將理解在獨立基礎上,氯可用其他鹵素取代。(D)。
第3圖圖示製程300的又一實施例。在方塊305中,提供基板處於在適當處理環境中沉積的條件。圖示單一子製程310,並容許以碳、氮與氧組成控制來沉積SiCON膜。
在方塊312中,使基板接觸鹵化物系矽氧烷前驅物,讓前驅物化學吸附於基板表面。矽氧烷前驅物可在任何適合壓力和溫度下接觸基板表面,計任何適合時間長短。在一些實施例中,矽氧烷前驅物接觸基板的時間為約0.25秒至約5秒或約0.5秒至約2秒。在一些實施例中,矽氧烷的壓力為約0.5托耳至約5托耳、或約1托耳至約4托耳或約1.1托耳。一些實施例的處理溫度為約150℃至約500℃、或約200℃至約400℃、或約230℃至約340℃或約290℃。
接觸矽氧烷前驅物後,在方塊314中,清除處理腔室或基板表面的未反應前驅物和製程副產物。清除時間可為任何適合時間長短。例如,清除時間可為約2秒至約20秒或約5秒至約10秒。
在方塊316中,使基板接觸胺,以將氮及/或碳併入膜內。碳可為矽氧烷前驅物的一部分或偕同胺或透過額外化學接觸(未圖示)併入膜內。胺與基板表面反應後,在方塊318中,使用惰性清除氣體,清除處理腔室或基板表面的未反應胺和反應副產物。清除達成方式可為移除處理腔室的所有反應氣體,或使基板通過氣簾,氣簾隔離處理腔室的特定區域。適合清除氣體包括、但不限於氮、氬、氦、氫和上述混合物。
在一些實施例中,電漿活化步驟用於再活化表面,以供隨後矽氧烷接觸。在一或更多實施例中,不使用電漿活化步驟,矽氧烷可充分化學吸附而無需再活化。在方塊320中,基板接觸選擇性電漿製程。電漿接觸可和接觸胺一起進行或為單獨處理步驟。在一些實施例中,沒有電漿接觸或隨後清除(方塊322)。電漿物種與條件可和第1圖實施例所述一樣。在一些實施例中,電漿包含一或更多的Ar、He、N2 、Ar/He、Ar/N2 、Ne、Kr或Xe。在一些實施例中,電漿的頻率為下列一或更多者:2 MHz、13.56 MHz、40 MHz、60 MHz、100 MHz、小於2 MHz或大於100 MHz。在一些實施例中,電漿的頻率為約13.56 MHz。不同實施例的電漿功率為約25瓦至約500瓦、或約50瓦至約400瓦、或約150瓦至約350瓦或約200瓦至約300瓦。一些實施例的電漿接觸時間為約2秒至約30秒或約5秒至約15秒。
在選擇性電漿接觸(方塊320)和清除(方塊322)後,來到決策點(方塊330)。若膜厚達預定厚度,則製程前進到選擇性後處理(方塊340)。若膜未達預定厚度,則反覆子製程310,以增加膜厚。子製程310可反覆任意次,以沉積具預定厚度的膜。
本發明的一些實施例係在空間原子層沉積腔室中進行,其中膜係藉由在處理腔室的不同處理區間移動基板而形成。不同處理區由氣簾分開,氣簾包含清除氣流與排放流組合物。在一些實施例中,基板放置在處理腔室的第一處理區。在第一處理區中,基板表面接觸矽氧烷前驅物。基板接著側向移動通過氣簾而至處理腔室的第二處理區。氣簾清除基板表面的未反應矽氧烷前驅物。在第二處理區中,基板表面接觸胺,以形成SiCON膜。基板接著側向移動通過氣簾而至處理腔室的不同部分。氣簾清除基板表面的未反應胺。
基板可移動到處理腔室的第三處理區進行電漿再活化,或至另一第一處理區來反覆接觸矽氧烷。電漿再活化可在第三處理區及/或第四處理區中進行。基板可從第三處理區側向移動通過另一氣簾,以反覆接觸不同處理區或完成沉積。在一些實施例中,處理腔室包含一個以上的第一處理區、第二處理區和第三處理區,基板可在處理區間循環,以沉積預定厚度的膜。熟諳此技術者將理解第1圖至第3圖所示製程亦可在空間ALD腔室中進行,其中不同反應氣體流入處理腔室的不同處理區。使用 EDA ETA 和六氯二矽烷的 SiCON ALD
根據第2圖的脈衝順序係偕同六氯二矽烷(HCDS)、乙二胺(EDA)和乙醇胺(ETA)使用,其中各步驟以10秒Ar清除分開。HCDS和EDA+ETA的脈衝長度為0.5秒。Ar電漿具有100瓦(W)功率,總脈衝時間為5秒。總計有200次循環,溫度為350℃。
為評估調整碳、氮與氧含量的能力,乃改變前驅物比率:開始僅有EDA(Chem A),然後慢慢提高ETA濃度(Chem B),直到只使用ETA。只使用Chem A時,觀察到對應SiCN的(僅有EDA)共振,隨著ETA/EDA前驅物比(A/B)增加,Si-C/SiN峰往Si-O區域平移,此代表已併入O。表1列出對應上述沉積膜的XPS資料。根據資料,隨著ETA/EDA比增加,氧量增加且氮減少,此表示改變ETA/EDA比可調整組成。
表1 使用六氯二矽氧烷與八氯三矽氧烷和 EDA SiCON 沉積
第3圖所示脈衝順序接連使用六氯二矽氧烷(HCDSO)或八氯三矽氧烷(OCTSO)做為矽氧烷前驅物及EDA做為胺。反應器壓力為~3-5 T(托耳),基板溫度為~350℃。HCDSO和OCTSO保持在室溫及脈衝輸送1秒,EDA亦保持在室溫及脈衝輸送0.5秒。使用5秒氬電漿活化步驟,電漿功率維持在200瓦。
茲發現未使用電漿再活化表面時,生長速率降至0.11 Å/循環。在100-300瓦電漿功率下,兩種矽前驅物的生長速率據察為~0.25 Å/循環。
表2列出XPS資料和相對熱氧化矽測量使用HCDSO與OCTSO沉積膜在1% HF中的濕蝕刻速率比率(wet etch rate ratio;WERR)。資料指出隨著前驅物的O/Si比增加,C和N減少,O略增加。使用兩種前驅物將產生較低氧濃度(8-12%),因而得以控制降低k及在1% HF中保有較高濕蝕刻速率(WER)。使用典型O源(O2 、H2 O、臭氧、O2 電漿)來將氧含量控制在小於30%十分困難。即使使用較少氧化的氧源,例如乙醇胺或醇,也可能造成氧併入高達40-50%。就HCDSO和OCTSO而言,以200瓦功率沉積膜的WERR為~0.08。故發現有更多氧可加入膜中,同時保持較低WER。
表2
在不同條件下使用HCDSO做為矽氧烷及EDA做為胺,以進行實驗。脈衝列為HCDSO/10秒清除/0.5秒EDA/10秒清除/10秒Ar電漿/10秒清除。相對熱氧化物的每循環生長量(GPC)(單位為Å/循環)和濕蝕刻速率比率(在1% HF中)繪示成隨各種參數變化。表3列出資料比較在1.1托耳壓力和340℃基板溫度下使用200瓦氬電漿的製程列的HCDSO脈衝時間。表4列出資料比較在4托耳和340℃基板溫度下使用1秒HCDSO、200瓦氬電漿的製程列的HCDSO壓力。表5列出資料比較在1.1托耳下使用1秒HCDSO、200瓦氬電漿的製程列的處理溫度。表6列出資料比較在1.1托耳和340℃基板溫度下使用200瓦氬電漿的製程列的清除時間。 表3 表4 表5 表6
就HCDSO/10秒清除/0.5秒EDA/10秒清除/10秒Ar電漿/10秒清除的脈衝列研究電漿再活化步驟。表7顯示製程在4托耳和340℃下循環200次的每循環生長量和濕蝕刻速率比率隨電漿功率變化。表8顯示製程在4托耳和340℃下循環200次的每循環生長量和濕蝕刻速率比率隨電漿時間變化。 表7 表8
就HCDSO/10秒清除/0.5秒EDA/10秒清除/10秒Ar+H2 電漿/10秒清除的脈衝列研究電漿再活化步驟的組成。製程條件造成多變的每循環生長量和濕蝕刻速率比率。表9和表10列出H2 :Ar依25:75混合、H2 :Ar依50:50混合及H2 :Ar依75:25混合的資料。 表9 表10
表11列出用於1秒HCDSO/10秒清除/0.5秒EDA/10秒清除/10秒1:1 H2 :Ar電漿/10秒清除的製程列的元素組成和濕蝕刻速率比率隨電漿功率變化資料。 表11
研究在各種條件下包含OCTSO/10秒清除/0.5秒EDA/10秒清除/10秒Ar電漿/10秒清除的脈衝列。每循環生長量和濕蝕刻速率比率隨OCTSO脈衝長度、清除時間和電漿功率的變化分別列於表12至表14。 表12 表13 表14
利用相同脈衝列研究用於HCDSO和OCTSO的每脈衝前驅物用量(單位為毫微克)。HCDSO的每脈衝消耗量為8.3毫微克(ng)/脈衝。OCTSO的每脈衝消耗量為1.0 ng /脈衝。如表1至表14所示,OCTSO比HCDSO每脈衝使用的前驅物更少,且具有類似膜性質。
第4圖圖示本發明的再一實施例,其中環氧反應物用於沉積含矽膜。方法400可為熱ALD或PEALD製程,並使用環氧化物做為C與O源及結合不同矽基鹵化物、矽基醯胺或矽氧烷前驅物,以沉積SiCO和SCON膜。環氧基有利地在高於或等於約150℃的沉積溫度下易開環,能直接插入SiN或SiCl鍵而形成SiOC鍵。一些實施例有利地提供不劇烈氧化膜而得富碳膜的方法。
本發明的一或更多實施例係針對沉積含矽膜的方法。方法包含使基板表面相繼接觸矽前驅物和反應物。
在一些實施例中,SiCO(N)的ALD使用諸如環氧化物、醇、二醇等有機分子做為C與O源,及使用矽基鹵化物(SiXx R4-x ),其中X係F、Cl、Br、I,R係H、烷基或芳基(例如DCS、HCDS、TCS、SiCl4 )系分子或矽基醯胺(Si(NRR’)x R”4-x ,其中R、R’和R”係H、烷基或芳基;例如BDEAS、BTBAS、TDMAS)或矽氧烷(例如HCDSO、OCTSO)做為Si源。
適合環氧化物和二醇包括、但不限於具下列表示結構的環氧化物其中各R個別為H、C1-C10-烷基、C1-C10-烯基、C1-C10-炔基、具1至10個碳的環烷基、醚或矽烷。
在一些實施例中,反應物包含下列一或更多者:
ALD SiCO可利用第4圖所示脈衝順序沉積。在熱ALD循環中,Si前驅物脈衝輸送至腔室並與O封端Si表面反應。清除腔室的過量前驅物。環氧化物接著脈衝輸送至腔室並與Si-鹵化物或Si-醯胺鍵反應。由於具有兩個反應位置,二環氧化物或二醇適用熱ALD SiCO膜。
PEALD SiCO(N)可藉由將Si前驅物(Si-醯胺、鹵化物或矽氧烷)脈衝輸送至腔室、隨後清除過量反應物而達成。接著使表面接觸環氧化物,環氧化物與Si-醯胺(或Si-鹵化物)鍵反應。清除腔室的過量環氧化物或二醇,利用電漿接觸讓反應位置再生。使用H2 或Ar電漿可產生SiCO膜,NH3 或N2 電漿則產生SiCON膜。反覆該等處理順序可產生SiCO或SiCON膜。
參照第4圖,根據一或更多實施例,方法400的脈衝順序始於方塊405:提供基板至適當方位或位置以供處理。子製程410顯示熱製程和電漿加強製程。
在方塊412中,使基板接觸矽基鹵化物前驅物,讓矽物種化學吸附於基板表面。在一些實施例中,矽前驅物實質不含鹵素原子。在此所用「實質無鹵素原子」一詞意指矽前驅物按原子基準計包含不超過約5%、4%、3%、2%、1%或0.5%的鹵素原子。
在一些實施例中,矽前驅物包含矽烷胺。矽烷胺可為任何適合矽烷胺,包括、但不限於H3 SiNH2 或R3 SiNR’2 ,其中各R和R’個別選自氫、C1-C10-烷基、C1-C10-烯基、C1-C10-炔基或環烷基。
接觸矽烷後,在方塊414中,清除處理腔室或基板表面的未反應矽前驅物和可能產生的任何製程副產物。
在方塊416中,使基板表面接觸包含一或更多環氧化物或醇的反應物。在一些實施例中,反應物本質由環氧化物組成。在此所用「本質由…組成」一詞意指反應物的反應物種按分子基準計為大於或等於約95%、98%或99%的環氧物種。
一些實施例的環氧化物包含具結構式(E)的物種:(E) 其中各R個別為H、C1-C10-烷基、C1-C10-烯基、C1-C10-炔基、具1至10個碳的環烷基、醚或矽烷。
在一些實施例中,環氧化物包含下列一或更多者:(E1)、(E2) 或(E3)。
在一些實施例中,環氧化物包含具結構式(F)的物種:(F) 其中各R個別為C1-C10-烷基、C1-C10-烯基、C1-C10-炔基、具1至10個碳的環烷基、醚或矽烷。
在一些實施例中,環氧化物包含下列一或更多者:(F1) 或(F2)。
一些環氧化物實施例的氧與碳原子比為大於1:1、1:2、1:3或1:4。氧與碳比會影響最終含矽膜的碳含量。
在一些實施例中,環氧化物或醇反應物實質不含氮原子。在此所用「實質無氮原子」一詞意指反應物的反應物種按原子基準計為小於或等於約5%、4%、3%、2%、1%或0.5%的氮原子。
在方塊418中,使用惰性清除氣體,清除處理腔室或基板表面的未反應環氧化物或醇和反應副產物。清除達成方式可為移除處理腔室的所有反應氣體,或使基板通過氣簾,氣簾隔離處理腔室的特定區域。適合清除氣體包括、但不限於氮、氬、氦、氫和上述混合物。
在一些實施例中,在方塊418後完成子製程410,並進展到決策點(方塊430)。方法400可包含反覆相繼接觸矽前驅物(方塊412)、清除(方塊414)、反應物(方塊416)及清除(方塊418),以形成具預定厚度的膜。
在一些實施例中,電漿活化步驟用於再活化表面,以供矽前驅物化學吸附或納入摻質元素或添加氮至膜。在方塊420中,基板接觸選擇性電漿製程。在一些實施例中,沒有電漿接觸或隨後清除(方塊422)。在一些實施例中,方法400進一步包含使相繼接觸矽前驅物和反應物產生的含矽膜在相繼接觸矽前驅物和反應物之間接觸電漿。在一些實施例中,約1至約100次接觸各矽前驅物和反應物後,使含矽膜接觸電漿。在一些實施例中,形成約1奈米(nm)至約100 nm的厚度後,使含矽膜接觸電漿。
在一些實施例中,電漿包含一或更多的Ar、He、N2 、Ar/He、Ar/N2 、Ne、Kr或Xe。在一些實施例中,電漿包含氬和氫。在一些實施例中,電漿包含氮,含矽膜包含SiCON。
在一些實施例中,電漿本質由氬和氫組成。在此所用「本質由…組成」一詞意指按分子基準計,氬和氫組成大於或等於約95%、98%或99%的電漿物種。在一些實施例中,氬電漿中的氫量按分子基準計為大於或等於約5%、6%、7%、8%、9%、10%、15%或20%的氫。
在一些實施例中,電漿的頻率為下列一或更多者:2 MHz、13.56 MHz、40 MHz、60 MHz、100 MHz、小於2 MHz或大於100 MHz。在一些實施例中,電漿的頻率為約13.56 MHz。不同實施例的電漿功率為約25瓦至約500瓦、或約50瓦至約400瓦、或約150瓦至約350瓦或約200瓦至約300瓦。一些實施例的電漿接觸時間為約2秒至約30秒或約5秒至約15秒。
在選擇性電漿接觸(方塊420)和清除(方塊422)後,來到決策點(方塊430)。若膜厚達預定厚度,則製程前進到選擇性後處理(方塊440)。若膜未達預定厚度,則反覆子製程410,以增加膜厚。子製程410可反覆任意次,以沉積具預定厚度的膜。
在一些實施例中,方塊416的反應物接觸(即接觸環氧化物/醇)包括電漿。反應物的電漿組分稱作反應物電漿,以區別偕同環氧化物進行的電漿接觸與後反應物電漿處理(例如方塊420)。
反應物電漿可包括選自一或更多氫、氦、氖、氬、氪、氙、氨、氮、CO及/或CO2 的處理氣體。在一些實施例中,反應物電漿包含氬,且非氬原子按原子基準計為小於約1%。在一些實施例中,反應物電漿包含氫與氬混合物。反應物電漿組成實質只含氬。在此所用「實質只含氬」一詞意指反應物電漿按分子基準計為大於或等於約95%、98%或99%的氬。反應物電漿組成為除環氧物種以外。在一些實施例中,反應物電漿包含氫/氬混合物,其中氫存量按分子基準計為約1%至約100%、或約2%至約90%、或約3%至約80%、或約4%至約65%、或約5%至約50%、或約10%至約40%、或約15%至約35%、或約20%至約30%或約25%。
一些實施例的反應物電漿係低功率電漿。在此所用「低功率電漿」一詞意指電漿功率足以活化有機前驅物(即環氧化物),又不會促進環氧化物(或任何碳與氧源)自行分解,導致膜共形性不佳。在一些實施例中,反應物電漿功率為小於或等於約300 W、250 W、200 W、150 W、100 W或50 W。在一些實施例中,反應物電漿係直接電漿,功率為約1至約500 W、或約50至約400 W或約100至約300 W。在一些實施例中,反應物電漿係遠端電漿,功率為約100至約2000 W、或約200至約1500 W或約300至約1000 W。
在一些實施例中,反應物電漿在處理腔室內產生且以基板或基板支撐件做為電極(稱作直接電漿)。在一些實施例中,反應物電漿在處理區外產生及流入處理區。此稱作遠端電漿。熟諳此技術者將知曉電漿產生部件和電連接(例如同軸傳輸線、匹配電路)。
在方塊416中,可同時、連續或脈衝接觸反應物。在一些實施例中,反應物電漿和反應物同時存於基板表面。在一些實施例中,反應物電漿氣體(例如H2 /Ar)和反應物(例如環氧化物)同時存於基板表面,電漿氣體以脈衝點燃形成電漿。
在一些實施例中,反應物於基板表面脈衝成反應物電漿。例如,反應物電漿可接觸基板表面,總計10秒,反應物(例如環氧化物)脈衝成電漿,計小於1秒。反應物脈衝可存在反應物接觸期間的任何時候。在一些實施例中,反應物在方塊416的反應物接觸之初脈衝成反應物電漿。在一些實施例中,反應物在方塊416的反應物接觸終了時脈衝成反應物電漿。在一些實施例中,反應物在反應物電漿接觸中途脈衝成反應物電漿。
在一些實施例中,含矽膜按原子基準計包含大於約10%的碳。在一些實施例中,含矽膜的濕蝕刻速率比率為小於或等於約0.15、0.14、0.13、0.12、0.11、0.10、0.09、0.08、0.07、0.06、0.05、0.04、0.03、0.02、0.01、0.009、0.008、0.007、0.006或0.005。 實例使用 HCDS 和烯丙基環氧丙基醚的 SiCO PEALD
此實例所用脈衝順序步驟以10秒Ar清除分開。六氯二矽烷和環氧化物的脈衝長度時間分別為0.5秒和1秒。烯丙基環氧化物安瓿保持在40-60℃。如表15所示,每循環生長量(GPC)和濕蝕刻速率比率(WERR)在50℃安瓿溫度下達飽和。如表16所示,GPC在150℃至350℃保持相似,隨著沉積溫度上升,WERR則降低。 表15 表16
表17列出製程的電漿功率分割。WER達飽和,GPC隨電漿功率增加而增加。膜在1:100 HF中具有0.3埃/分鐘的極低WER。測量此膜的k值為2.8-3.2。 表17
在不同電漿功率下生長SiCO膜的IR光譜於995公分-1 (cm-1 )處出現波峰。SiCO膜與SiO2 、SiCN和SiN膜的IR圖比較顯示,對應SiCO膜的Si-O拉伸振動峰平移到995 cm-1 ,此介於SiO2 與SiCN拉伸頻率之間。HCDS-環氧化物-H2 -Ar電漿製程的元素組成顯示膜具有~19%的C。使用 BDEAS 和烯丙基環氧丙基醚的 SiCO PEALD
使用矽基醯胺(BDEAS)和環氧化物,獲得共形SiCO(N)膜。相較於以HCDS製程獲得的膜,矽基醯胺提高Si源對O封端SiCO層的反應性,因而產生更共形的膜。XPS結果亦指出膜中的C濃度增加至~27%。膜的k值保持在2.8-3.2,在1:100 HF中的蝕刻速率為<1埃/分鐘。使用 BDEAS 和乙二醇的 SiCO PEALD
由於矽基醯胺對O封端表面具反應性,故使用二醇(例如乙二醇)做為C與O源,可獲得具較佳每循環生長量(GPC)的SiCO膜。利用脈衝順序BDEAS-乙二醇-H2 -Ar電漿,獲得共形SiCO膜。此製程的GPC比BDEAS-烯丙基環氧丙基醚高約3倍。製程將產生良好膜共形性,XPS分析指出膜中有約11%的C。
使用BDEAS和烯丙基環氧丙基醚/電漿的SiCO PEALD
利用第7圖所示二步驟製程形成SiCO膜,其中反應物接觸包括反應物電漿。依循1秒BDEAS、10秒清除、7秒25% H2 /Ar電漿偕同0.1秒脈衝輸送烯丙基環氧丙基醚及10秒清除的脈衝列製備樣品。膜在330℃下經200次循環形成,使用起泡器在室溫下輸送BDEAS,使用起泡器在40℃下輸送烯丙基環氧丙基醚。每循環生長量和濕蝕刻速率比率列於表18。 表18
改變烯丙基環氧丙基醚的脈衝長度及觀察每循環生長量。脈衝長度為0.1秒時,GPC為0.18;脈衝長度為0.2秒時,GPC為0.19;脈衝長度為0.3秒時,GPC為0.19。
研究比較無反應物電漿(三步驟製程)與使用反應物電漿(二步驟製程)的製程的紅外線光譜。二步驟製程列為1秒BDEAS、10秒清除、在7秒25% H2 /Ar電漿中進行0.2秒烯丙基環氧丙基醚及10秒清除。三步驟製程列為1秒BDEAS、10秒清除、1秒烯丙基環氧丙基醚、10秒清除、5秒鐘25% H2 /Ar電漿及10秒清除。二步驟製程的IR光譜顯示與CH2 基一致的波峰,此未在三步驟製程中看到。
改變用於二步驟製程的電漿組成,及觀察每循環生長量和濕蝕刻速率比率。資料收集於表19。 表19
研究二步驟製程的每循環生長量和濕蝕刻速率比率隨基板溫度的變化。二步驟製程證實各研究溫度的ALD行為。結果收集於表20。
表20
根據一或更多實施例,在形成層之前及/或之後,處理基板。處理可在同一腔室或在一或更多不同處理腔室中進行。在一些實施例中,基板從第一腔室移動到不同的第二腔室來進一步處理。基板可直接從第一腔室移動到不同處理腔室,或者基板可從第一腔室移動到一或更多移送站,再移動到預定不同處理腔室。故處理設備可包含多個腔室連通移送站。此類設備稱作「叢集工具」或「叢集系統」等。
通常,叢集工具係包含多個腔室的模組系統,腔室執行各種功能,包括基板中心找尋及定位、除氣、退火、沉積及/或蝕刻。根據一或更多實施例,叢集工具包括至少一第一腔室和中央移送室。中央移送室可容納機器人,用以在處理腔室與負載鎖定室間運送基板。移送室一般維持呈真空條件,且提供中間臺階供基板從某一腔室運送到另一腔室及/或位於叢集工具前端的負載鎖定室。兩種已知適於本發明的叢集工具為Centura®和Endura®,二者均取自美國加州聖克拉拉的應用材料公司。然為進行本文所述製程的特定步驟,可改變腔室的確切配置和組合方式。其他可用處理腔室包括、但不限於循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清洗、化學清洗、諸如RTP的熱處理、電漿氮化、除氣、定位、羥基化和其他基板處理。藉由在叢集工具的腔室中進行處理,可避免基板表面在沉積後續薄膜前還未氧化即遭大氣雜質污染。
根據一或更多實施例,基板持續處於真空或「負載鎖定」條件,並且從某一腔室移到下一腔室時,不接觸周遭空氣。故移送室處於真空且在真空壓力下「泵回」。惰性氣體可存於處理腔室或移送室。在一些實施例中,惰性氣體用作清除氣體,以於基板表面上形成層後,移除部分或所有反應物。根據一或更多實施例,於沉積腔室的出口處,注入清除氣體,以防止反應物從沉積腔室移到移送室及/或附加處理腔室。故惰性氣體流將在腔室出口形成簾幕。
基板可在單一基板沉積腔室中處理,其中在處理另一基板前,裝載、處理及卸載單一基板。亦可以如運送系統般的連續方式處理基板,其中多個基板個別裝載至腔室的第一部分、移動通過腔室及自腔室的第二部分卸載。腔室和相關運送系統的形狀可構成直線路徑或彎曲路徑。此外,處理腔室可為迴轉料架,其中多個基板繞著中心軸移動,並在整個迴轉路徑經沉積、蝕刻、退火、清洗等處理。
處理期間,可加熱或冷卻基板。可以任何適合手段達成加熱或冷卻,包括、但不限於改變基板支撐件的溫度及使加熱或冷卻氣體流至基板表面。在一些實施例中,基板支撐件包括加熱器/冷卻器,加熱器/冷卻器經控制以傳導改變基板溫度。在一或更多實施例中,所用氣體(反應氣體或惰性氣體)經加熱或冷卻以局部改變基板溫度。在一些實施例中,加熱器/冷卻器設在鄰近基板表面的腔室內,以對流改變基板溫度。
處理期間,基板亦可固定不動或轉動。轉動基板可持續或按不連續階段旋轉。例如,基板可在整個製程過程旋轉,或者基板可在接觸不同反應或清除氣體之間少量旋轉。處理期間轉動基板(無論持續或分階段)有助於最小化如氣流幾何形狀的局部變異影響,從而產生更均勻沉積或蝕刻。
在原子層沉積型腔室中,可以空間或時間分離處理方式,使基板接觸第一和第二前驅物。時間ALD係傳統製程,其中第一前驅物流入腔室而與表面反應。在流入第二前驅物前,清除腔室的第一前驅物。在空間ALD中,第一和第二前驅物同時流入腔室、但空間上分開,故氣流間會有區域防止前驅物混合。在空間ALD中,基板必須相對氣體分配板移動,反之亦然。在此所用「接觸基板表面」和「流入」擬涵蓋二處理方式。
整份說明書提及「一實施例」、「一些實施例」、「一或更多實施例」或「一個實施例」意指該實施例描述的特定特徵、結構、材料或特性包含在本發明的至少一實施例內。故說明書各處出現的如「在一或更多實施例中」、「在一些實施例中」、「在一實施例中」或「在一個實施例中」等用語不必然指稱本發明的同一實施例。另外,在一或更多實施例中,可以任何適合方式結合特定特徵、結構、材料或特性。
儘管本發明已以特定實施例揭示如上,然應理解該等實施例僅為舉例說明本發明的原理和應用而已。在不脫離本發明的精神和範圍內,熟諳此技術者當可對本發明的方法和設備作各種更動與潤飾。因此本發明擬包括落在後附申請專利範圍所界定範圍內的修改例與變化例和其均等物。
100‧‧‧製程
105、112、114、116、118、120、122、130、152、154、156、158、160、162、170、180‧‧‧方塊
110、150‧‧‧子製程
200‧‧‧製程
205、212、214、216、218、220、222、230、240‧‧‧方塊
210‧‧‧子製程
300‧‧‧製程
305、312、314、316、318、320、322、330、340‧‧‧方塊
310‧‧‧子製程
400‧‧‧方法
405、412、414、416、418、420、422、430、440‧‧‧方塊
410‧‧‧子製程
為讓本發明的上述概要特徵更明顯易懂,可配合參考實施例說明,部分實施例乃圖示在附圖。然應注意所附圖式僅說明本發明典型實施例,故不宜視為限定本發明範圍,因為本發明可接納其他等效實施例。
第1圖圖示根據本發明一或更多實施例的處理順序示意圖;
第2圖圖示根據本發明一或更多實施例的處理順序示意圖;
第3圖圖示根據本發明一或更多實施例的處理順序示意圖;及
第4圖圖示根據本發明一或更多實施例的處理順序示意圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無

Claims (20)

  1. 一種沉積一含矽膜的方法,該方法包含以下步驟:使一基板表面相繼接觸一矽前驅物和一反應物,該反應物包含一或更多環氧化物或醇。
  2. 如請求項1所述之方法,其中該矽前驅物實質不含鹵素原子。
  3. 如請求項2所述之方法,其中該矽前驅物包含矽烷胺。
  4. 如請求項1所述之方法,其中該反應物本質由一環氧化物組成。
  5. 如請求項4所述之方法,其中該環氧化物具有以表示的一結構, 其中各R個別為H、C1-C10-烷基、C1-C10-烯基、C1-C10-炔基、具1至10個碳的環烷基、醚或矽烷。
  6. 如請求項5所述之方法,其中該環氧化物包含一或更多的
  7. 如請求項4所述之方法,其中該環氧化物具有以表示的一結構, 其中R係C1-C10-烷基、C1-C10-烯基、C1-C10-炔基、具1至10個碳的環烷基、醚或矽烷。
  8. 如請求項7所述之方法,其中該環氧化物包含一或更多的
  9. 如請求項4所述之方法,其中該環氧化物具有大於1:3的一氧與碳原子比。
  10. 如請求項1所述之方法,其中該反應物實質不含氮原子。
  11. 如請求項1所述之方法,進一步包含以下步驟:反覆相繼接觸該矽前驅物和該反應物,以形成具一預定厚度的一膜。
  12. 如請求項11所述之方法,進一步包含以下步驟:在各相繼接觸該矽前驅物和該反應物之間,使該含矽膜接觸一電漿。
  13. 如請求項12所述之方法,其中該電漿包含氬和氫。
  14. 如請求項13所述之方法,其中該電漿按一分子基準計包含大於或等於約5%的氫。
  15. 如請求項13所述之方法,其中該電漿包含氮,該含矽膜包含SiCON。
  16. 如請求項1所述之方法,其中該反應物進一步包含一反應物電漿。
  17. 如請求項1所述之方法,其中該含矽膜按一原子基準計包含大於約10%的碳。
  18. 如請求項1所述之方法,其中該含矽膜具有小於或等於約0.1的一濕蝕刻速率比率。
  19. 一種沉積一含矽膜的方法,該方法包含以下步驟: 將具一表面的一基板放置到一處理腔室;使該基板的該表面接觸一矽前驅物,該矽前驅物包含矽烷胺且實質無鹵素原子;清除該基板的該表面的一未反應矽前驅物;使該基板的該表面接觸一反應物,該反應物本質由一環氧化物組成且實質不含氮原子,以形成該含矽膜,該環氧化物具有以下列一或更多者表示的一結構,其中各R個別為H、C1-C10-烷基、C1-C10-烯基、C1-C10-炔基、具1至10個碳的環烷基、醚或矽烷,或其中R係C1-C10-烷基、C1-C10-烯基、C1-C10-炔基、具1至10個碳的環烷基、醚或矽烷;清除該基板的該表面的一未反應反應物;及反覆接觸該矽前驅物、清除該未反應矽前驅物、接觸該反應物及清除該未反應反應物,以形成具一厚度的一含矽膜。
  20. 一種沉積一含矽膜的方法,該方法包含以下步驟: 將具一表面的一基板放置到一處理腔室的一第一處理區;在該第一處理區中,使該基板的該表面接觸一矽前驅物,該矽前驅物包含矽烷胺;使該基板側向移動通過一氣簾而至該處理腔室的一第二處理區,該氣簾清除該基板的該表面的一未反應矽前驅物;在該處理腔室的該第二處理區中,使該基板的該表面接觸一反應物,以形成該含矽膜,該反應物包含一環氧化物且實質不具鹵素或氮原子,該環氧化物具有以下列表示的一結構,其中各R個別為H、C1-C10-烷基、C1-C10-烯基、C1-C10-炔基、具1至10個碳的環烷基、醚或矽烷,或其中R係C1-C10-烷基、C1-C10-烯基、C1-C10-炔基、具1至10個碳的環烷基、醚或矽烷,該反應物進一步包含一反應物電漿,該反應物電漿包含25% H2 在Ar中;使該基板側向移動通過一氣簾而至該處理腔室的一第三處理區,該氣簾清除該基板的該表面的一未反應反應物;在該處理腔室的該第三處理區中,選擇性使該基板的該表面接觸一電漿,及使該基板從該處理腔室的該第三處理區側向移動通過一氣簾,該電漿按一分子基準計包含氬與大於或等於約5%的氫;及反覆接觸該第一處理區、該第二處理區和選擇性該第三處理區,以生長具一厚度的一含矽膜,其中該含矽膜按一原子基準計包含大於約10%的碳,該含矽膜具有小於或等於約0.1的一濕蝕刻速率比率。
TW106112453A 2016-05-06 2017-04-14 具碳、氧及氮成分控制之沉積SiCON的方法 TWI733795B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662332867P 2016-05-06 2016-05-06
US62/332,867 2016-05-06
US201662441293P 2016-12-31 2016-12-31
US62/441,293 2016-12-31

Publications (2)

Publication Number Publication Date
TW201816166A true TW201816166A (zh) 2018-05-01
TWI733795B TWI733795B (zh) 2021-07-21

Family

ID=60244037

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106112453A TWI733795B (zh) 2016-05-06 2017-04-14 具碳、氧及氮成分控制之沉積SiCON的方法

Country Status (3)

Country Link
US (3) US10804094B2 (zh)
KR (2) KR102402656B1 (zh)
TW (1) TWI733795B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112824559A (zh) * 2019-11-20 2021-05-21 中国科学院微电子研究所 一种低温沉积硅碳氮氧分子薄膜的方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS
US11359281B2 (en) * 2020-01-26 2022-06-14 Applied Materials, Inc. Selective deposition of SiCON by plasma ALD
US11466038B2 (en) 2020-06-11 2022-10-11 Entegris, Inc. Vapor deposition precursor compounds and process of use
US20220002869A1 (en) * 2020-07-01 2022-01-06 Applied Materials, Inc. Vapor phase photoresists deposition

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3478607B2 (ja) * 1994-09-19 2003-12-15 株式会社資生堂 処理粉体の製造方法
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
KR100520900B1 (ko) 2003-03-13 2005-10-12 주식회사 아이피에스 Ald 박막증착방법
JP4975414B2 (ja) * 2005-11-16 2012-07-11 エーエスエム インターナショナル エヌ.ヴェー. Cvd又はaldによる膜の堆積のための方法
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US8440571B2 (en) 2010-11-03 2013-05-14 Applied Materials, Inc. Methods for deposition of silicon carbide and silicon carbonitride films
US9109754B2 (en) * 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
DE102012214411B4 (de) 2012-08-14 2022-05-25 Osram Oled Gmbh Vorrichtung und verfahren zum herstellen hermetisch dichter kavitäten
US20140141674A1 (en) * 2012-11-16 2014-05-22 Liquipel IP, LLC Apparatus and methods for plasma enhanced chemical vapor deposition of dielectric/polymer coatings
US9401273B2 (en) * 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102324630B1 (ko) 2017-03-29 2021-11-10 삼성전자주식회사 집적회로 소자의 제조 방법
US10770314B2 (en) 2017-05-31 2020-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, tool, and method of manufacturing
US10707073B2 (en) 2017-09-05 2020-07-07 Asm Ip Holding B.V. Film forming method and patterning method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
SG10201903201XA (en) 2018-04-11 2019-11-28 Versum Materials Us Llc Organoamino-functionalized cyclic oligosiloxanes for deposition of silicon-containing films
WO2020163359A1 (en) 2019-02-05 2020-08-13 Versum Materials Us, Llc Deposition of carbon doped silicon oxide
WO2021026819A1 (en) 2019-08-14 2021-02-18 Yangtze Memory Technologies Co., Ltd. Vertical memory devices
US11186909B2 (en) 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112824559A (zh) * 2019-11-20 2021-05-21 中国科学院微电子研究所 一种低温沉积硅碳氮氧分子薄膜的方法

Also Published As

Publication number Publication date
KR102528331B1 (ko) 2023-05-02
US10804094B2 (en) 2020-10-13
KR20220074838A (ko) 2022-06-03
US20210028004A1 (en) 2021-01-28
KR102402656B1 (ko) 2022-05-25
KR20170125742A (ko) 2017-11-15
US20170323775A1 (en) 2017-11-09
TWI733795B (zh) 2021-07-21
US11823893B2 (en) 2023-11-21
US20240047193A1 (en) 2024-02-08

Similar Documents

Publication Publication Date Title
JP6864086B2 (ja) 酸化ケイ素膜の堆積のための組成物及び方法
US11823893B2 (en) Methods of depositing SiCON with C, O, and N compositional control
WO2018017684A1 (en) Deposition of flowable silicon-containing films
KR20180058232A (ko) SiO 및 SiN을 포함하는 유동성 막들을 증착시키는 방법들
TW200422424A (en) Low temperature deposition of silicon oxides and oxynitrides
TW201441408A (zh) 包含氮化矽之膜的電漿輔助原子層沉積
US9685325B2 (en) Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
KR20170019668A (ko) 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
JP7433437B2 (ja) 炭素含有量が調整可能な炭窒化ケイ素間隙充填
US20170213726A1 (en) Acetylide-Based Silicon Precursors And Their Use As ALD/CVD Precursors
KR20220053635A (ko) 저-k 막들
US9957165B2 (en) Precursors suitable for high temperature atomic layer deposition of silicon-containing films
US11107674B2 (en) Methods for depositing silicon nitride
JP7472312B2 (ja) ケイ素含有膜を調製するための前駆体及び方法
JP7164789B2 (ja) 550℃以上の温度でALDを使用してSi含有膜を堆積させるための前駆体及びプロセス
TW201443274A (zh) 使用二矽氧烷先質之膜的沉積
JP2020188100A (ja) シリコン含有薄膜形成用シリコン含有化合物、及びシリコン含有薄膜の形成方法
JP7458296B2 (ja) ハロゲンアミノジシラン化合物、シリコン含有薄膜形成用組成物およびシリコン含有薄膜
TWI640651B (zh) 使用鹵化矽前驅物進行包含矽、碳及氮之膜的原子層沉積
JP7400120B2 (ja) ケイ素ヒドラジド前駆体化合物
TW202300495A (zh) 矽前驅物化合物及形成含矽膜之方法
TW202240004A (zh) 高通量沈積方法