JPH0982495A - プラズマ生成装置およびプラズマ生成方法 - Google Patents

プラズマ生成装置およびプラズマ生成方法

Info

Publication number
JPH0982495A
JPH0982495A JP7238843A JP23884395A JPH0982495A JP H0982495 A JPH0982495 A JP H0982495A JP 7238843 A JP7238843 A JP 7238843A JP 23884395 A JP23884395 A JP 23884395A JP H0982495 A JPH0982495 A JP H0982495A
Authority
JP
Japan
Prior art keywords
plasma
high frequency
coil
etching
coils
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP7238843A
Other languages
English (en)
Inventor
Kazuhiro Tomioka
和広 冨岡
Makoto Sekine
誠 関根
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP7238843A priority Critical patent/JPH0982495A/ja
Priority to US08/714,998 priority patent/US5897713A/en
Publication of JPH0982495A publication Critical patent/JPH0982495A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

(57)【要約】 【課題】サブハーフミクロンオーダに微細化が進んでも
有効なプラズマ処理装置を提供すること。 【解決手段】被処理基体11をプラズマ処理するところ
の処理チャンバ1および石英製円筒2からなる処理容器
と、この処理容器内に導入されたプラズマ源ガス第1の
高周波を供給する第1のコイル3などからなる第1の高
周波供給手段と、第1の高周波と異なる第2の高周波を
プラズマ源ガスに供給する第2のコイル4などからなる
第2の高周波供給手段とを備えている。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、プラズマを生成す
るプラズマ生成装置およびプラズマ生成方法に関する。
【0002】
【従来の技術】近年、コンピュ−タ−や通信機器の重要
部分には、多数のトランジスタや抵抗等を電気回路を達
成するようにむすびつけ、1チップ上に集積化して形成
した大規模集積回路(LSI)が多用されている。この
ため、機器全体の性能は、LSIの性能と大きく結び付
いている。そして、LSI単体の性能向上は、集積度を
高めること、つまり、素子の微細化により実現できる。
【0003】素子の微細化に有効な技術の一つとして、
反応性イオンエッチングやプラズマCVD(Chemical V
apor Deposition )法等のプラズマを用いた技術があげ
られる。例えば、反応性イオンエッチングを用いれば、
プラズマ中のイオンを被エッチング基体に垂直に照射で
きるので、エッチングに異方性を持たせることができ、
これにより、微細パターンの形成が可能となる。
【0004】しかし、LSIの中でも今後さらに集積化
が進むと予想される装置、例えば、次世代256Mbi
tないしは次世代1GbitDRAM等の半導体装置に
おいては、デザインルールはクオーターミクロン(0.
25μm)以下にもなろうとしている。このため、トラ
ンジスタを構成するゲート電極の加工、素子分離領域、
記憶容量を形成するための溝(トレンチ)溝掘り等にお
いては、微細な形状に加工したり、高いアスペクト比を
実現可能なエッチングが要求される。これまでの反応性
イオンエッチングでは、これらの要求を達成することは
困難であると考えられる。
【0005】図15は、従来の誘導結合型プラズマエッ
チング装置の概略構成を示す模式図である(特開平5−
206072)。図中、201は処理チャンバを示して
おり、この処理チャンバ201内には被処理基体206
を載置するサセプタ207が設けられている。このサセ
プタ207は高周波バイアス電源208により高周波バ
イアスが印加されるようになっている。
【0006】処理チャンバ201の上方にはプラズマを
生成するところである石英製円筒202が設けられてい
る。この石英製円筒202の外周にはコイル203が巻
かれている。これら処理チャンバ201、石英製円筒2
02は一体化されており、エッチング室を構成してい
る。
【0007】上記コイル203は、第1の可変コンデン
サー2051 および第2の可変コンデンサー2052
接続され、並列共振回路を構成している。第1の可変コ
ンデンサー2051 および第2の可変コンデンサー20
2 のそれぞれの片端は高周波電源204に接続されて
いる。この高周波電源204により高周波電力を上記並
列共振回路に供給している。
【0008】処理チャンバ201は可変コンダクタンス
バルブ211を介してターボ分子ポンプ212に接続さ
れている。また、処理チャンバ201には圧力計209
が設けられている。この圧力計209を用いて可変コン
ダクタンスバルブ211の開口度を調整することによ
り、エッチング室内の圧力を所望のレベルに設定するこ
とができる。
【0009】また、石英製円筒202の上壁にはガス導
入管が設けられており、このガス導入管にはマスフロー
メーター210が挿設されている。このマスフローメー
ター210を用いることにより、エッチング室内に反応
性ガスを所望の流量でもって導入することができる。
【0010】次に上記の如く構成されたプラズマエッチ
ング装置を用いたエッチング方法について説明する。ま
ず、マスフローメーター210を用いて、エッチング室
内にフロロカーボン系のガス(例えば、CF4 ,C2
6 ,C3 F8 ,C48 )を一定の流量でもって導入す
る。
【0011】次に圧力計209を用いて、エッチング室
内を数Pa程度の一定の圧力に保持した後、高周波電源
204で高周波電力をコイル203に供給することによ
ってエッチング室内にプラズマを発生させる。
【0012】次に高周波バイアス電源209を用いて、
サセプタ207に高周波電圧を印加することにより、プ
ラズマ中のイオンを被エッチング基体206(シリコン
酸化膜)の表面に垂直に入射して、被エッチング基体2
06を異方的にエッチングする。
【0013】しかしながら、この種の従来のプラズマエ
ッチング装置には以下のような問題があった。例えば、
シリコン酸化膜に微細なコンタクトホール(シリコン酸
化膜上の配線とシリコン酸化膜下のシリコン基板とを接
続する孔)を開孔する場合において、エッチング速度を
速めるために、より大きい高周波電力を印加してイオン
照射密度を高くしようとすると、逆にエッチング速度が
低下したり、シリコン酸化膜とシリコン基板とのエッチ
ング選択比が低下する現象が発生することがある。
【0014】さらに、コンタクトホールの穴径によって
エッチング速度が変化したり、サブハーフミクロンオー
ダの穴径の場合にはエッチングが停止する現象が発生す
ることがある。
【0015】これは、以下の様な機構から起こると思わ
れる。すなわち、高周波電力の増加によって電子密度は
上昇するが、電子密度の上昇によって気相中のフロロカ
ーボンの解離が進み、低弗素価数のフロロカーボンのイ
オンが被処理基体206に入射し表面に付着するように
なる。これにより、シリコン酸化膜のエッチング速度が
低下し、一方では反対にシリコンのエッチング速度が上
昇するからである。
【0016】さらにまた、長期に渡り使用し、例えば、
CF4 を用いて100時間以上のプラズマ放電を行なう
と、石英製円筒202のうちコイル203の位置にある
部分の石英が削られるという(SiO2 のエロージョ
ン)問題が生じる。削られた石英はSi,SiOx,O
等のかたちでプラズマ中に放出される。さらに、長時間
プラズマ放電を続けると、石英製円筒202が破断する
という問題が生じる。
【0017】この原因は、コイル203端に印加される
高周波電力の電圧ピーク値が他の部分に比べて高く、そ
の部分に高周波電界が形成されることにある。そして、
この高周波電界により、プラズマと石英製円筒202と
の間のシース付近の電界(シース電界)が高くなる結
果、プラズマ中の高エネルギーのイオンが石英製円筒2
02の内壁表面に引き込まれ、エロージョンが発生す
る。
【0018】この現象は、石英製円筒202の壁厚が薄
くなると、シース部分がさらに高電界領域に入り込むた
めに、エロージョンの進み方が速くなり、石英製円筒2
02の破断は加速度に進行することとなる。
【0019】
【発明が解決しようとする課題】上述の如く、従来のプ
ラズマエッチング装置を用いて、シリコン酸化膜にサブ
ハーフミクロンオーダのコンタクトホールを形成する場
合には、シリコン酸化膜の途中でエッチングが停止し、
コンタクトホールを形成できないという問題があった。
また、長期に渡り使用すると、石英製円筒がプラズマに
よりエッチングされ、エロージョンが発生するという問
題があった。
【0020】本発明は、上記事情を考慮してなされたも
ので、その目的とするところは、生成する化学種やイオ
ンを所望の種類および量に制御し、また、処理容器のエ
ロージョン等を防ぐことで所望のプラズマを生成が可能
なプラズマ生成装置およびプラズマ生成方法を提供する
ことにある。
【0021】
【課題を解決するための手段】
[概要]上記目的を達成するために、本発明に係るプラ
ズマ生成装置(請求項1)は、プラズマが生成される生
成容器と、この生成容器の周囲または内部に設けられた
2HMHz以上の高周波が供給される複数のコイルと、
これら複数コイルの少なくとも一のコイルに他のコイル
に供給する高周波とは異なる高周波を供給する高周波供
給手段とを備えたことを特徴とする。
【0022】また、本発明に係る他のプラズマ生成装置
(請求項2)は、上記プラズマ生成装置(請求項1)に
おいて、前記高周波供給手段が、インダクタンス体とリ
アクタンス体とからなる並列共振器と、この並列共振器
に接続された高周波電源とからなることを特徴とする。
【0023】また、本発明に係る他のプラズマ生成装置
(請求項3)は、上記プラズマ生成装置(請求項1)に
おいて、前記複数のコイルの少なくとも2以上のコイル
が同軸状に配置されていることを特徴とする。
【0024】また、本発明に係る他のプラズマ生成装置
(請求項4)は、上記プラズマ生成装置(請求項1)に
おいて、前記各コイルと前記被処理基体との間の距離が
それぞれ異なっていることを特徴とする。
【0025】また、本発明に係る他のプラズマ生成装置
(請求項5)は、上記プラズマ処理装置(請求項1)
に、前記生成容器内に磁場を形成する手段を設けたこと
を特徴する。
【0026】また、本発明に係る他のプラズマ生成方法
(請求項6)は、プラズマ生成容器にガスを導入する工
程と、前記プラズマ生成容器の周囲に設けられた複数の
コイルに2MHz以上の高周波を供給し、前記複数のコ
イルの少なくとも一のコイルに他のコイルとは独立に制
御した高周波を供給してプラズマを生成する工程とを有
することを特徴とする。
【0027】本発明において、少なくとも一のコイルに
供給される高周波は、その周波数、位相または電力が他
のコイルに供給される高周波の周波数、位相または電力
とは異なることが好ましい。
【0028】また、本発明において、各コイルに異なる
高周波を供給し、プラズマにビートを発生させることが
特に好ましい。また、本発明において、複数の並列共振
回路を設け、かつ少なくとも2個の並列共振回路の結合
度を変化させる手段を設けることが好ましい。
【0029】[作用]本発明(請求項1〜請求項5)に
よれば、他のコイルとは独立に制御された高周波を少な
くとも一のコイルに供給する高周波供給手段により、周
波数や電力等のパラメータが異なる2種類の高周波をプ
ラズマ源ガスに与えることにより、被処理基体に入射す
るイオン種、イオン密度、イオンエネルギー、イオンエ
ネルギーの分散、化学種、化学種の量等を従来よりも容
易に再現性良く最適化できるようになる。
【0030】また、従来では一つのコイルに発生する電
位差を複数のコイルに分散して発生させることにより、
個々のコイルに発生する電位差を小さくできるので、エ
ロージョンの発生を防止できるようになる。
【0031】
【発明の実施の形態】以下、図面を参照しながら本発明
の実施の形態(実施形態)を説明する。 (第1の実施形態)図1は、本発明の第1の実施形態に
係るプラズマ処置装置の概略構成を示す模式図である。
【0032】図中、1は処理チャンバを示しており、こ
の処理チャンバ1内には被処理基体11を載置するサセ
プタ12が設けられている。サセプタ12は高周波バイ
アス電源13により高周波バイアスが印加されるように
なっている。
【0033】処理チャンバ1の上部には外径80mmの
石英製円筒2が設けられ、この石英製円筒2の外周には
第1のコイル3および第2のコイル4が巻かれている。
これら処理チャンバ1、石英製円筒2は一体化されてお
り、プラズマ処理室を構成している。第1のコイル3お
よび第2のコイル4はほぼ同じインダクタンスを有して
いる。
【0034】第1のコイル3は、第1の可変コンデンサ
ー5および第2の可変コンデンサー6に接続され、第1
の並列共振回路を構成している。第1の可変コンデンサ
ー5および第2の可変コンデンサー6のそれぞれの片端
は第1の高周波電源7に接続されている。この第1の高
周波電源7により高周波電力を上記第1の並列共振回路
に供給している。これらによって第1の高周波供給手段
が構成されている。
【0035】同様に、第2のコイル4は、第3の可変コ
ンデンサー8および第4の可変コンデンサー9に接続さ
れ、第2の並列共振回路を構成している。第3の可変コ
ンデンサー8および第4の可変コンデンサー9のそれぞ
れの片端は第2の高周波電源10に接続されている。こ
の第2の高周波電源10により高周波電力を第2の並列
共振回路に供給している。これらによって第2の高周波
供給手段が構成されている。
【0036】また、第1の高周波電源7の出力インピー
ダンスは50Ωであって、第1の高周波電源7と第1の
並列共振回路とのインピーダンス整合は、可変コンデン
サー5と第2のコンデンサー6の容量を変化させること
により行なわれる。
【0037】同様に、第2の高周波電源10の出力イン
ピーダンスは50Ωであって、第2の高周波電源10と
第2の並列共振回路とのインピーダンス整合は、第3の
可変コンデンサー8および第4のコンデンサー9の容量
を変化させることにより行なわれる。
【0038】処理チャンバ1は可変コンダクタンスバル
ブ15を介してターボ分子ポンプ16に接続されてい
る。また、処理チャンバ1には圧力計17が設けられて
おり、この圧力計17を用いて可変コンダクタンスバル
ブ15の開口度を調整することにより、プラズマ処理室
内の圧力を所望のレベルに設定することができる。
【0039】また、石英製円筒2の上壁にはガス導入管
が設けられており、このガス導入管には第1のマスフロ
ーメーター18および第2のマスフローメーター19が
挿設されている。これらマスフローメーター18,19
を用いることにより、それぞれ、C48 ガス、CO2
ガスをプラズマ処理室内に所望の流量でもって導入する
ことができる。
【0040】第1の高周波電源7、第2の高周波電源1
0および高周波バイアス電源13の周波数、位相、電力
は、高周波電源コントローラー14によって制御できる
ようになっている。
【0041】次に上記の如きに構成されたプラズマ処理
装置を用いたエッチング方法について説明する。このエ
ッチング方法の特徴は、第1、第2のコイル3,4等に
よりプラズマ中のイオンの種類、分布等を制御してエッ
チング特性を改善することにある。
【0042】まず、C48 ガスおよびCO2 ガスを第
1のマスフローメーター18および第2のマスフローメ
ーター19を用いてそれぞれ10sccmおよび300
sccmの流量でプラズマ処理室内に導入する。このと
き、圧力計17を用いて、可変コンダクタンスバルブ1
5の開口度を調整して、プラズマ処理室内の圧力を0.
5Paに保持する。
【0043】次に第1の高周波電源7で周波数13.5
6MHz、電力1.4KWの第1の高周波電力を第1の
コイル3に印加し、続いて、第2の高周波電源10で周
波数13.56MHzでかつ第1の高周波電力と同位相
で電力1.4KWの第2の高周波電力を第2のコイル4
に印加することにより、プラズマ処理室内にプラズマを
発生させる。そして、このプラズマ発生時に、バイアス
電源13を用いてサセプター12に周波数400KH
z、電力800Wの高周波バイアスを印加して、異方性
エッチングを行なう。
【0044】以上の第1の高周波電源7、第2の高周波
電源10およびバイアス電源13の周波数、位相、電力
は高周波電源コントローラー14によって制御するる。
図2に、上記条件(第1、第2の高周波電源7の周波数
が伴に13.56MHz)で、全面がシリコン酸化膜で
被われた円形の被処理基体11をエッチングした場合の
エッチング速度の分布を白丸印で示す。
【0045】具体的には、図3(a)に示すように、シ
リコン基板20上のシリコン酸化膜21をレジスト22
に沿ってエッチングし、小孔径のコンタクトホールを形
成することを試みた。
【0046】しかし、実際には、図3(b)に示すよう
に、コンタクトホールの底部および側壁にエッチング堆
積物23が厚く堆積し、シリコン酸化膜21の途中でエ
ッチングは停止し、シリコン基板20に達するコンタク
トホールを形成することができなかった。
【0047】そこで、第2の高周波電源10の周波数を
13.56MHzから減少する方向にわずかにずらし、
具体的には13.21MHzに設定しなおして、小孔径
のコンタクトホールを形成することを試みた。なお、他
の条件は同じである。
【0048】図2に、この条件(第1の高周波電源7の
周波数が13.56MHz、第2の高周波電源7の周波
数が13.21MHz)で、全面がシリコン酸化膜で被
われた円形の被処理基体11をエッチングした場合のエ
ッチング速度の分布を黒丸印で示す。図2から、特に基
板中央部のエッチング速度が速くなることにより、エッ
チング速度の分布が改善されることが分かる。
【0049】この場合、図3(c)に示すように、コン
タクトホールの底部および側壁にエッチング堆積物23
が薄く堆積し、シリコン酸化膜21の途中でエッチング
は停止することはなく、シリコン基板20に達する小孔
径のコンタクトホールを形成することができた。具体的
には、基板全面にわたって穴径0.25μm、アスペク
ト8のコンタクトホールを形成できた。
【0050】このような結果となった理由は以下のよう
に考えられる。第1の高周波電源7の周波数と第2の高
周波電源10の周波数とを僅かにずらすことにより、プ
ラズマに印加される2つの高周波電力の周波数差Δf
(350kHz)のビート(唸り)により新たな電界が
発生する。
【0051】この結果、この電界にイオンが追従して運
動することにより、生成されるイオンの種類、エネルギ
ーが変化し、エッチングに最適なプラズマが発生したか
らだと考えられる。すなわち、周波数差Δfを変化させ
ることにより、エッチングに最適なプラズマを発生する
ことが可能となった。
【0052】なお、本実施形態では、第1の高周波電源
7で発生する高周波の周波数は13.56MHz、第2
の高周波電源10で発生する高周波の周波数は13.2
1MHzで、周波数差Δfは350kHzであるが、処
理チャンバ1および石英製円筒2の大きさ、第1のコイ
ル3および第2のコイル4のそれぞれの直径、ピッチお
よび巻き数に応じて、第1の高周波電源7および第2の
高周波電源10の周波数差Δfを変化させて良い。ただ
し、上記二つの周波数はともに約2MHz以上であるこ
とが好ましい。 (第2の実施形態)図4は、本発明の第2の実施形態に
係るプラズマ処置装置の概略構成を示す模式図である。
また、図5は、図4のプラズマ処置装置を上から見た場
合の模式図である。なお、図1のプラズマ処置装置と対
応する部分には図1と同一符号を付してあり、詳細な説
明は省略する。
【0053】本実施形態では、石英製円筒2の代わりに
石英板31を用いて偏平なプラズマ処理室を構成し、第
1のコイル3および第2のコイル4に対応する第1の螺
旋状コイル32および第2の螺旋状コイル33をプラズ
マ処理室の上方に設置してある。
【0054】また、第1の螺旋状コイル32と第2の螺
旋状コイル33の途中に設けられたタップに第5の可変
コンデンサー34が設置されており、この第5の可変コ
ンデンサー34により、第1の並列共振回路と第2の並
列共振回路との結合度を変化させることが可能となって
いる。
【0055】次に上記の如きに構成されたプラズマ処理
装置を用いたエッチング方法について説明する。具体的
には、図6に示すように、0.25μmのデザインルー
ルで、フォトレジストパターン35をマスクにして、A
l−Si(1%)−Cu(0.5%)合金膜36をエッ
チングして、微細パターンの配線を形成する場合につい
て説明する。なお、図6において、38はシリコン基
板、37はシリコン酸化膜を示している。
【0056】まず、Cl2 ガスおよびBCl3 ガスを第
1のマスフローメーター18および第2のマスフローメ
ーター19を用いてそれぞれ50sccmおよび25s
ccmの流量でプラズマ処理室内に導入する。このと
き、圧力計17を用いて、可変コンダクタンスバルブ1
5の開口度を調整して、プラズマ処理室内の圧力を0.
3Paに保持する。
【0057】次に第1の高周波電源7で周波数13.5
6MHz、電力300Wの第1の高周波電力を第1のコ
イル3に印加し、続いて、第2の高周波電源10で周波
数13.56MHzでかつ第1の高周波電力と同位相で
電力300KWの第2の高周波電力を第2のコイルに印
加することにより、プラズマ処理室内にプラズマを発生
させる。そして、このプラズマ発生時に、バイアス電源
13を用いてサセプター12に周波数2MHz、電力3
00Wの高周波バイアスを印加して、異方性エッチング
を行なう。
【0058】以上の第1の高周波電源7、第2の高周波
電源10およびバイアス電源13の周波数、位相、電力
は高周波電源コントローラー14によって制御する。図
7に、上記条件(第1、第2の高周波電源7の周波数が
伴に13.56MHz)で、エッチングを行なった場合
の合金膜36のエッチング速度の分布を白丸印で、フォ
トレジストパターン35のエッチング速度の分布を白三
角印で示す。
【0059】図7から、合金膜36のエッチング速度分
布はばらつきが大きく、基板中央部で対レジスト選択比
(合金膜36のエッチング速度/フォトレジストパター
ン35のエッチング速度)が2以下になり、合金膜36
が厚い場合には、微細パターンの配線を形成することは
困難であることが分かる。
【0060】そこで、第2の高周波電源10の周波数を
13.56MHzから減少する方向にわずかにずらし、
具体的には13.21MHzに設定しなおし、300W
の電力を発生させ第2のコイル4に印加して、微細パタ
ーンの配線を形成することを試みた。なお、第5の可変
コンデンサー34の容量は約4PFに設定した。
【0061】図7に、この条件(第1の高周波電源7の
周波数が13.56MHz、第2の高周波電源7の周波
数が13.21MHz)で、エッチングを行なった場合
の合金膜36のエッチング速度の分布を黒丸印で、フォ
トレジストパターン35のエッチング速度の分布を黒三
角印で示す。
【0062】図7から、合金膜36のエッチング速度分
布の均一性が改善されていることが分かる。また、対レ
ジスト選択比も基板全面に渡って3以上を確保できるこ
とが分かる。
【0063】なお、本実施形態では、第1の高周波電源
7で発生する高周波の周波数は13.56MHz、第2
の高周波電源10で発生する高周波の周波数は13.2
1MHzとしたが、上記二つの周波数は約2MHz以上
であれば良く、また、周波数差Δfも適宜変化させて良
い。
【0064】なお、上記第1、第2の実施形態では、サ
セプター12に印加するバイアスの周波数はそれぞれ4
00KHz、2MHzとしたが、イオンが追従できる
か、負の自己バイアスが発生する周波数範囲である約1
00KHzから150MHzの範囲の周波数であれば良
い。
【0065】また、上記第1、第2の実施形態では、プ
ラズマ処理としてエッチングを例にあげて説明したが、
本発明は、プラズマCVD法や、プラズマによる表面改
質、表面洗浄など他のプラズマ処理にも適用できる。 (第3の実施形態)図8は、本発明の第3の実施形態に
係るプラズマ処置装置の概略構成を示す模式図である。
【0066】図中、51はプラズマ処理室を示してお
り、このプラズマ処理室51は石英製円筒52と処理チ
ャンバ62とから構成されている。処理チャンバ62内
には被処理基体59を載置するサセプタ58が設けられ
ている。プラズマ処理室51はゲートバルブ53を介し
てターボ分子ポンプ54で真空排気されるようになって
いる。
【0067】プラズマ処理室51の外周には第1のコイ
ル551 と第2のコイル552 が巻かれている。これら
二つのコイル551 ,552 は同軸状に上下に配置され
ている。
【0068】高周波発振器56で得られた高周波電力
(周波数は例えば2MHz)は、第1の高周波電力増幅
器571 によって1.5KWまで増幅され、インピーダ
ンス整合器641 を介して第1のコイル551 に印加さ
れる。
【0069】また、高周波発振器56で得られた高周波
電力は、第2の高周波電力増幅器572 によって高周波
電力1.5KWまで増幅され、インピーダンス整合器6
2を介して第2のコイル552 にも印加される。
【0070】サセプター58はインピーダンス整合器6
3を介してバイアス発生器60に接続しており、これに
より、サセプター58に13.56MHzの高周波バイ
アスを印加できるようになっている。
【0071】被処理基体59は温度制御されたサセプタ
ー58上に図示していない静電チャック機構によりに固
定される。上記温度制御は、例えば、被処理基体59
(最表面は静電チャック電極)とサセプタとの間に熱伝
導率を高めるためのヘリウムガス等のガスを圧力10T
orr程度まで導入することにより行なわれる。
【0072】石英製円筒52の上壁には反応性ガスを導
入するためのガス導入管61が設けられている。上記反
応性ガスは、図示しないマスフローコントローラおよび
圧力測定ゲージにより、一定流量、一定圧力でもってプ
ラズマ処理室内に導入されるようになっている。
【0073】なお、図中、65は周辺リング、66は絶
縁部材、68はロードロック室、69はゲートバルブ、
89はシャワーヘッドを示している。次に上記の如きに
構成されたプラズマ処理装置を用いたエッチング方法に
ついて説明する。
【0074】まず、被処理基板59として、図9(a)
に示すように、8インチ径のシリコン基板71上に、厚
さ8nmの薄いゲート酸化膜73、薄いn+ 型多結晶シ
リコン膜74、薄いWSi膜75が順次形成されたもの
を用いる。
【0075】そして、WSi膜75、n+ 型多結晶シリ
コン膜74からなる薄い積層膜をレジストパターン76
をマスクにエッチングする。なお、図中、71は素子分
離絶縁膜を示している。
【0076】被エッチング薄膜は2層構造の積層膜であ
るため、場合によっては、それぞの膜の性質によりエッ
チング条件をそれぞれの膜に合わせて途中で変更する必
要がある。また、下地のゲート酸化膜73が薄いため
に、n+ 型多結晶シリコン膜74とゲート酸化膜73と
の間のエッチング選択比は高い値が要求される。
【0077】そこで、本実施形態では、上層のWSi膜
75をエッチング加工する条件を、Cl2 =20scc
m、O2 =10sccm、ガス圧力3mTorr、第1
および第2のコイル551 ,552 に印加する高周波の
電力1kW、高周波バイアスの電力150W、サセプタ
58の温度40℃とした。
【0078】この条件でのWSi膜75のエッチング速
度は約0.45μm/分であり、側面の垂直なエッチン
グ形状を達成できた(図9(b))。同一の条件での下
層のn+ 型多結晶シリコン膜74のエッチング速度は約
0.3μm/分であった。すなわち、n+ 型多結晶シリ
コン膜74に対するWSi膜75のエッチング選択比は
約1.5であった。
【0079】次に下層のn+ 型多結晶シリコン膜74を
エッチング加工する条件を、HBr=50sccm、ガ
ス圧力1Pa、第1のコイル551 に印加する高周波の
電力1kW、第2のコイル552 に印加する高周波の電
力0.4kWとした。
【0080】ここで、第2のコイル552 への高周波電
力を下げたのは、ゲート酸化膜73のエッチング速度を
遅くするためである。すなわち、n+ 型多結晶シリコン
膜74をオーバエッチングした際に、露出したゲート酸
化膜73がエッチングされるのを極力抑えるためであ
る。
【0081】n+ 型多結晶シリコン膜74のエッチング
では多くのラジカル種(ここではBr原子)が必要であ
り、その主な生成を第1のコイル551 により行なう。
比較的寿命の長いラジカルはシリコン基板71から離れ
たところで生成しても充分な量が拡散、あるいはガスの
流れによってシリコン基板71に供給される。
【0082】一方、イオン電流量が増加するとゲート酸
化膜73のエッチング速度が上昇するため、シリコン基
板71にはn+ 型多結晶シリコン膜74のエッチングに
必要以上にはイオンを供給する必要はない。
【0083】イオンの寿命は短く、シリコン基板71に
到達するイオンは基板付近で生成されたものが主になる
ため、その量はシリコン基板71に近いコイル、つま
り、第2のコイル552 により制御することができる。
【0084】本実施形態によれば、第2のコイル552
の高周波電力を下げることにより、n+ 型多結晶シリコ
ン膜74のエッチング速度を下げずに、ゲート酸化膜7
3に対するエッチング選択比を上げることができる。
【0085】また、高周波バイアス電力は100W、サ
セプタ温度40℃である。この条件でのn+ 型多結晶シ
リコン膜74のエッチング速度は約0.35μm/分、
ゲート酸化膜73に対するエッチング選択比は約95で
あった。
【0086】エッチング形状は図9(c)に示すよう
に、垂直な側面を持った良好なものであり、いわゆる、
寸法変換差(Critical Dimension Loss )が極めて少な
いことが分かる。
【0087】また、本実施形態によれば、石英製円筒5
2の内面に発生する電界を従来に比べ低減できる。これ
は、従来は一つのコイルに2kW印加して行なっていた
プロセスを第1、第2のコイル551 ,552 にそれぞ
れに1kWづつ印加して行なうことにより、第1、第2
のコイル551 ,552 にかかる高周波電力の電圧振幅
のピーク値を、本実施形態と同様の構成の単一コイル型
に比べて十分に低くできるからである。具体的には、従
来では1.4kWであったものが、本実施形態では0.
67kVまで下げられることが分かった。
【0088】実際に、プラズマ放電を繰り返し行ない、
石英製円筒52の内側のエロージョンの様子を調べたと
ころ、累計放電時間100時間を経過した後でも従来見
られたようなコイルに沿ったエロージョンの痕跡は発見
できなかった。
【0089】また、石英製円筒52の内面が削れて、そ
のエッチング生成物の一部がプラズマ処理室51の金属
部分内面に付着して堆積膜となるような現象も認められ
なかった。
【0090】さらに、長時間のプラズマ放電後も上記エ
ッチング特性は殆ど変化せず、安定なエッチングが可能
であることが分かった。このような効果は先に述べた機
構と同一の機構により第1および第2の実施形態におい
ても得られる。 (第4の実施形態)図10は、本発明の第4の実施形態
に係るプラズマ処置装置の概略構成を示す模式図であ
る。なお、図8のプラズマ処置装置と対応する部分には
図8と同一符号を付してあり、詳細な説明は省略する。
【0091】本実施形態では、三つのコイル551 〜5
3 を用いている。第1のコイル551 は第3の実施形
態のそれと同じである。第2のコイル552 と第3のコ
イル553 とは重ねて巻かれている。この点が第3の実
施形態と異なっている。この第3のコイル553 はイン
ピーダンス整合器643 を介して高周波電力増幅器57
3 に接続されている。
【0092】さらに、本実施形態では、高周波発振器5
6の出力信号を高周波位相制御器(フェイズシフター)
85により位相制御して各高周波電力増幅器571 〜5
3に供給している。
【0093】また、第2のガス導入管86とシャワーヘ
ッド87を通して、第1のコイル551 と第2のコイル
552 との間の位置から第2のガスを導入することがで
きるようになっている。
【0094】シャワーヘッド87の各ガス吹き出し口は
それぞれ被処理基体59の方向を向くように形成されて
おり、被処理基体59に向けて均一にガスを供給できる
ようになっている。
【0095】また、本実施形態では、第1のコイル55
1 が巻かれた石英製円筒52の内壁付近に抵抗率の高い
(不純物の少ない)シリコン板80を配置してある。次
に上記の如きに構成されたプラズマ処理装置を用いた成
膜方法について説明する。この成膜方法は、図11の断
面図に示された構造のプロセスの一部に使用される。
【0096】図中、91はトランジスタ等の素子(不図
示)が形成された8インチ径のシリコン基板を示してい
る。シリコン基板91の表面には素子分離絶縁膜92が
形成され、この素子分離絶縁膜92上には第1の金属配
線93が形成されている。さらに、表面が露出したシリ
コン基板91、素子分離絶縁膜92および第1の金属配
線上には第1の層間絶縁膜94が形成されている。そし
て、その上に第2の金属配線95が形成されている。こ
れらの上には第2、第3の層間絶縁膜96,97が形成
され、これら層間絶縁膜96,97に形成されたヴィア
ホールを介して第3の金属配線98が第2の金属配線9
5に接続している。
【0097】このように、金属(現在多くの場合はAl
合金を使用している)配線を何層か積み上げることによ
り、素子の集積化を進めることが、最先端の半導体装置
では行なわれている。
【0098】このような微細で同一層の金属配線間が狭
い構造の場合は、層間絶縁膜94,96,97の誘電率
が金属配線の応答速度を決める重要な要素となり、誘電
率が低いほどデバイスの動作速度は速くなる。
【0099】そのために、最近では通常の層間絶縁膜
(酸化膜)にFを数%添加して低誘電率化を図る試みが
なされている(例えば、宮島、他、第16回ドライプロ
セスシンポジウム予稿集、p.133、1994年、電
気学会)。
【0100】ここでは、このようなFが添加された低誘
電率の層間絶縁膜の生成プロセスを例に上げ説明する。
まず、第1のガス導入管88とシャワーヘッド89を通
してCF4 (流量15sccm)とO2 (流量20sc
cm)の混合ガスを、第2のガス導入管86とシャワー
ヘッド87を通してTEOS(テトラ・エトキシ・シラ
ン、流量15sccm)とO2 (流量30sccm)の
混合ガスを導入し、ガス圧力を7.5mTorrとす
る。
【0101】次に第1〜第3のコイル551 〜553
それぞれ周波数13.56MHzで高周波電力1.5k
W,0.7kW,1.5kWの高周波を印加する。被処
理基板59はセラミクス製の静電チャックによりサセプ
タ58に固定し、サセプタ温度を400℃に維持する。
サセプタ58に印加した高周波バイアスは周波数400
kHz、電力100Wである。
【0102】この条件で成膜した絶縁薄膜の誘電率は
3.2であった。さらに、この絶縁薄膜の組成分析をF
T−IR(フーリェ変換赤外分光)法で測定したとこ
ろ、フッ素の含有率を表わすSi−F/Si−Oは約5
%、不純物であるOH基に起因した赤外吸収ピークは検
出限界以下という良好な結果を得た。OH基はデバイス
を長期に亘り動作させるときの信頼性の劣化原因とな
る。OH基は成膜後、大気に晒されて大気中の水分が膜
に吸収されても増加する。
【0103】本実施形態に従って成膜された絶縁薄膜を
一周間クリーンルームの雰囲気に放置したがOH基に起
因した吸収ピーク強度の増加は認められなかった。ま
た、石英製円筒52の内面のエロージョンも全く認めら
れず、石英壁からの放出物による成膜への影響は数十時
間の放電では皆無であった。
【0104】このような優れた成膜が可能になった理由
は以下のように考えられる。まず、ガス導入管88から
導入されたCF4 とO2 の混合ガスは、第1のコイル5
1 で生成されたプラズマにより分解され、これによ
り、F,CFx (x=1〜3),O,CO,COF,…
等の活性種やそれぞれのイオンが生成される。
【0105】このとき、抵抗値の高いシリコン基板91
はある程度の高周波を吸収し、いわゆる高周波加熱で約
250℃以上に加熱される。高温となったシリコン基板
91は容易にFやCFx 等のFの化合物と反応を起こ
す。
【0106】さらに、第1のコイル551 端には高電圧
が発生するために、プラズマ処理室内の内面、つまり、
シリコン基板91の表面付近でも高電界が発生し、イオ
ン種がシリコン基板91に引き込まれシリコンのエッチ
ングが起こる。
【0107】これらのエッチング反応により、SiFx
(x=1〜4)、あるいはSiOF1 orSiOF2
生成する。次に第2のガス導入管86より導入されたT
EOSとO2 との混合ガスは、第1のコイル551 で生
成されたプラズマにより分解生成された活性種と出会
い、さらに、第2、第3のコイル552 ,553 により
高密度のプラズマ中で分解が進む。
【0108】ここで、第2のコイル552 は第3のコイ
ル553 より幅が大きく、第2のコイル552 だけで囲
まれている領域81の内部では、その上方よりプラズマ
密度が低下し、この領域81を上記の活性種が輸送され
るときに反応が進み、堆積膜の前駆体(プリカーサ)と
なる堆積種が生成されると考えられる。
【0109】さらに、上記領域81で生成されたイオン
がシリコン基板91の表面まで到達し、表面に吸着した
上記堆積種の反応を促進し、高品質膜の形成を助ける。
ここでの反応とは、上記堆積種がさらにO原子やF原子
を取り込み、結合の弱いHを放出するとともに、膜とし
てのネットワーク形成を促進していく反応である。
【0110】このようにして、プラズマ放電の空間にお
けるプラズマ密度等のプラズマ特性を制御でき、F添加
のシリコン酸化膜を形成するのに適したプラズマを形成
できるようになる。
【0111】また、第2、第3のコイル552 ,553
が重畳した極めて高密度のプラズマを形成する部分があ
っても、大電力を複数のコイルに分散させて供給するこ
とにより、石英製円筒52の内面のエロージョンを起こ
すことなく、長期に亘って安定したプラズマ表面処理
(ここでは成膜)が可能となる。
【0112】また、第1のコイル551 の上部では逆に
単体のコイルに高電力を供給し、意図的に内壁表面に高
電界部分を形成し、壁材料(本実施形態ではシリコン)
をエッチングすることにより、そのエッチング生成物を
成膜材料として利用することも可能になる。
【0113】なお、上記プロセスで各コイル551 〜5
3 に供給する高周波電力の位相については、まず、第
1のコイル551 と第2のコイル552 を同位相に設定
し、第3のコイル553 の高周波位相を徐々に変化さ
せ、プラズマ密度が最大になるように設定する。
【0114】プラズマ処理室内にプローブ等を入れて、
プラズマ密度を直接測定することは、石英製円筒52の
外周にコイルが巻かれているので困難である。しかし、
コイルの隙間から漏れるプラズマの発光を測定すること
により、プラズマ密度を測定することができる。このよ
うな発光に基づいたプラズマ密度の測定を利用してプラ
ズマ密度が最大になるようにする。なお、第2、第3の
コイル552 ,553の位相のずれは、それぞれのコイ
ルの長さやピッチ等に依存するため、実際のコイルに応
じて調整する必要がある。
【0115】次に第2、第3のコイル552 ,553
高周波電力の位相関係を変えずに、第1のコイル551
の高周波電力の位相をずらしていく。このときもやはり
プラズマ密度は変化していくが、ここではプラズマ密度
を高くすることが目的ではなく、適宜、成膜を行ないな
がら、所望の膜特性(フッ素や水素、炭素等の含有量、
誘電率、吸湿性など)を満たす条件に設定する。
【0116】本実施形態では、第1のコイル551 の位
相が0の時、第2のコイル552 の位相を0°、第3の
コイル553 の位相を120°とした。ここで、第1、
第2のコイル552 ,553 の位相を等しくしたのは、
本実施形態では、ガス導入管86側のコイル端を接地電
位としたため、ここでの位相のずれはプロセスに影響を
与えないからである。(第5の実施形態)図12は、本
発明の第5の実施形態に係るプラズマ処置装置の概略構
成を示す模式図である。なお、図8、図10のプラズマ
処置装置と対応する部分には図8、図10と同一符号を
付してあり、詳細な説明は省略する。
【0117】本実施形態では、四つのコイル551 〜5
4 を使用している。第1、第2のコイル551 ,55
2 は第3の実施形態のそれと同じである。第3のコイル
553 はスパイラル状に誘電体板122上に配置され、
第4のコイル554 は誘電体円筒123の側面に巻かれ
ている。
【0118】各コイル551 〜554 はそれぞれインピ
ーダンス整合器641 〜644 を介して高周波電源57
1 〜574 に接続され、高周波電力が供給されるように
なっている。
【0119】また、本実施形態では、サセプタ58を高
パルス電圧を発生する高電圧電源130に接続してい
る。この高電圧電源130により、サセプタ58に不純
物のイオン注入に必要な数kVの高電圧を瞬間的に印加
できる。
【0120】次に上記の如く構成されたプラズマ処理装
置を用いたイオン注入について説明する。プラズマ処理
室51内にBF3 ガスを導入するとともに、第1、第2
のコイル551 ,552 に高周波電力0.8kWを印加
してプラズマを生成し、BF3 ガスを分解し、B+ イオ
ンを生成する。このB+ イオンをサセプタ58に高パル
ス電圧を印加して、被処理基体59に不純物としてのB
を注入する。
【0121】注入深さはサセプタ58の印加電圧(被処
理基体59の電位)で決まり、注入量はプラズマから引
き出せるイオン電流量で決まる。このイオン電流量はプ
ラズマ密度と印加電圧の関数となる。
【0122】そこで、被処理基体59近くのプラズマ密
度を制御するために、第3、第4のコイル553 ,55
4 を利用する。すなわち、第3のコイル553 には0.
5〜1.2kWまでの電力を供給し、第4のコイル55
4 には第3のコイル553 と連動して0.2〜1kWの
範囲の電力を印加して、被処理基体59の外周部分でプ
ラズマ密度が低下するのを防止する。
【0123】かくして本実施形態によれば、被処理基体
59のプラズマ密度を主に第2のコイル552 に印加す
る高周波電力で制御し、所望の濃度に不純物をイオン注
入することが可能になる。また、複数のコイル551
554 を使用しているため、石英製円筒52、誘電体板
122、誘電体円筒123等の誘電体部材の表面で発生
する電界強度を従来装置よりも低くでき、エロージョン
の発生を防止できる。 (第6の実施形態)図13は、本発明の第6の実施形態
に係るプラズマ処置装置の概略構成を示す模式図であ
る。なお、図8のプラズマ処置装置と対応する部分には
図8と同一符号を付してあり、詳細な説明は省略する。
【0124】本実施形態の特徴は、磁界を利用してプラ
ズマ密度の均一性を改善することにより、さらに石英製
円筒52の内壁のエロージョンを低減することにある。
図中、150,151はソレノイドコイルで構成された
第1、第2の電磁石を示しており、これら第1、第2の
電磁石150,151の内側には縦方向の磁界が形成さ
れる。第1、第2の電磁石150,151はそれぞれ第
1、第2のコイル551 ,552 の外側に配置されてい
る。
【0125】次に上記の如く構成されたプラズマ処理装
置を用いたエッチング方法について説明する。具体的に
は、シリコン基板の表面にトレンチ溝を形成する場合の
エッチング方法である。
【0126】エッチングガスとして、HBr(150s
ccm)とNF3 (12sccm)とO2 (7scc
m)との混合ガスを使用する。ガス圧力は5mTorr
に設定する。
【0127】次に高周波電源571 により周波数13.
56MHz、電力2KWの高周波を第1のコイル551
に印加し、高周波電源572 により周波数40.68M
Hz、電力2.5KWの高周波を第2のコイル552
印加する。また、バイアス発生器60によりサセプタ5
8には周波数500KHz、電力800Wの高周波バイ
アスを印加する。なお、被処理基体59(ここではシリ
コン基板)は図示していない冷却機構により約30℃に
制御されている。
【0128】本実施形態の目的のためには石英製円筒5
2の内壁付近に強い磁界を形成することが望ましい。し
たがって、本実施形態では、第1の電磁石150の中央
付近の石英製円筒52の内壁で約200G、第2の電磁
石151の中央付近の石英製円筒52の内壁で約250
Gとなるように磁界強度を設定した。
【0129】この場合、石英製円筒52の中心軸付近で
の磁界強度はそれぞれ約115G,150Gであった。
また、被処理基体59の表面では中央付近で90G、周
辺部で約120Gであった。なお、プラズマ密度の均一
性をさらに高くするには、望ましくは被処理基体59の
表面付近の磁界を(磁気ベクトルの方向を含めて)均一
にする必要がある。
【0130】このような条件で、シリコン基板上に形成
した酸化膜をマスクにしてシリコン基板をエッチングし
てトレンチ溝を形成した結果、エッチング速度2.3μ
m/分、シリコン基板に対する上記酸化膜に対する選択
比は35であり、穴径0.3μm、深さ9μmの深いト
レンチ溝を形成することができた。
【0131】さらに、石英製円筒52の内壁のエロージ
ョンは全く認められず、わずかな堆積膜が観察された。
これはエッチング反応による生成物が付着したものと考
えられる。
【0132】本実施形態の場合、第2のコイル552
与える高周波の周波数を第1のコイル551 のそれより
も高め、さらに電力も高めることにより、プラズマ密度
を基板付近で高めることができる。
【0133】このような高電力を与えても、本実施形態
のように、複数のコイル551 ,552 を使用して、さ
らに誘電体管とほぼ平行な磁界を供給することにより、
長期間に亘り安定なエッチングプロセスが可能となる。 (第7の実施形態)図14は、本発明の第7の実施形態
に係るプラズマ処置装置(エッチング装置)の概略構成
を示す模式図である。なお、図8のプラズマ処置装置と
対応する部分には図8と同一符号を付してあり、詳細な
説明は省略する。
【0134】図中、134,135,136,137は
同軸状に配置された第1〜第4のアンテナコイルを示し
ており、コイル134〜137は金属製のコイル本体1
44を石英等のモールド材143で封止した構成になっ
ている。これはコイル本体144が直接プラズマに晒さ
れてエッチングされるのを防ぐためであり、本実施形態
においてコイル本体144を封止しているモールド材1
44の外面が、石英製円筒52の内壁に相当する。した
がって、本実施形態ではこのモールド材144のエロー
ジョン防止が目的となる。
【0135】次にこのように構成されたプラズマ処理装
置を用いた酸化膜のエッチング方法について説明する。
まず、エッチングガスとしてはC48 (流量10sc
cm)とCO(流量200sccm)との混合ガスを使
用し、ガス圧力は10mTorrに設定する。
【0136】第1〜第4のアンテナコイル134〜13
7にそれぞれ電力100W,150W,200Wの高周
波を印加する。周波数は2MHzで共通である。第1か
ら第4までのコイルはそれぞれ100W,150W,2
00Wとした。さらに、コイル138には800Wを印
加する。なお、このコイル138とアンテナコイル13
4〜137は同軸状に配置されている。
【0137】また、サセプタ58には380kHzの低
周波電力を印加してプラズマで生成されたイオンを被処
理基体59に引き込みエッチング反応を促進させる。こ
の方法によれば、各コイル134〜138の間の空間に
高い密度のプラズマを容易に生成される。さらに、プラ
ズマ処理室51の側面のコイル52により、プラズマ処
理室51の側面付近においても比較的高いプラズマ密度
のプラズマが生成されるために、被処理基体49の周辺
部でのプラズマ密度の低下がなく、極めて均一性良くエ
ッチング加工できる。
【0138】なお、上記第3〜第7の実施形態では、複
数のコイルを同軸状に配置したが、これは円形の均一な
プラズマ密度を生成し、円形の被処理基体を均一にプラ
ズマ処理することを想定したからであって、被処理基体
の形状等の条件が変わればそれに合わせて適宜配置形態
を変えると良い。また、複数のコイル間で周波数をずら
したり、高周波の位相を調整したりすることにより、種
々プロセス的にも変形が可能となる。また、一部のコイ
ルに印加する高周波を数kHzから数百kHzで変調す
ることにより、活性種生成やプラズマの空間分布の均一
性を高めることができる。
【0139】また、上記第3〜第7の実施形態では、プ
ラズマ処理としてエッチング等を例にあげて説明した
が、本発明は、プラズマCVD法や、プラズマによる表
面改質、表面洗浄など他のプラズマ処理にも適用でき
る。いずれの用途の場合においても、プロセス特性、装
置の生産性、耐久性などを著しく改善できる。
【0140】
【発明の効果】以上詳述したように本発明(請求項1〜
請求項3)によれば、第1の高周波供給手段および第2
の高周波供給手段により、周波数等が異なる2種類の高
周波をプラズマ源ガスに与えることにより、被処理基体
に入射するイオン種等を従来よりも容易に最適化でき、
微細化が進んでも、良好なプラズマ処理が可能となる。
【0141】また、本発明(請求項4〜請求項7)によ
れば、従来一つのコイルに印加して電力を複数のコイル
に分散して印加することにより、個々のコイルに印加す
る電力を小さくできるので、エロージョンの発生を防止
できるようになる。
【図面の簡単な説明】
【図1】本発明の第1の実施形態に係るプラズマ処置装
置の概略構成を示す模式図
【図2】本発明の効果を示す被処理基体上におけるエッ
チング速度の分布図
【図3】図1のプラズマ処理装置を用いたエッチング方
法を示す断面図
【図4】本発明の第2の実施形態に係るプラズマ処置装
置の概略構成を示す模式図
【図5】図4のプラズマ処置装置を上から見た模式図
【図6】図4のプラズマ処理装置を用いたエッチング方
法を示す断面図
【図7】本発明の効果を示す被処理基体上におけるエッ
チング速度の分布図
【図8】本発明の第3の実施形態に係るプラズマ処置装
置の概略構成を示す模式図
【図9】図8のプラズマ処置装置を用いたエッチング方
法を示す断面図
【図10】本発明の第4の実施形態に係るプラズマ処置
装置の概略構成を示す模式図
【図11】図10のプラズマ処置装置を用いて形成する
デバイスの構造を示す断面図
【図12】本発明の第5の実施形態に係るプラズマ処置
装置の概略構成を示す模式図
【図13】本発明の第6の実施形態に係るプラズマ処置
装置の概略構成を示す模式図
【図14】本発明の第7の実施形態に係るプラズマ処置
装置の概略構成を示す模式図
【図15】従来の誘導結合型プラズマエッチング装置の
概略構成を示す模式図
【符号の説明】
1…処理チャンバ 2…石英製円筒 3…第1のコイル 4…第2のコイル 5…第1の可変コンデンサ 6…第2の可変コンデンサ 7…第1の高周波電源 8…第3の可変コンデンサ 9…第4の可変コンデンサ 10…第2の高周波電源 11…被処理基体 12…サセプタ 13…高周波バイアス電源 14…高周波電源コントローラ 15…可変コンダクタンスバルブ 16…ターボ分子ポンプ 17…圧力計 18…第1のマスフローメーター 19…第2のマスフローメーター 51…プラズマ処理室 52…石英製円筒 53…ゲートバルブ 54…ターボ分子ポンプ 551 …第1のコイル 552 …第2のコイル 56…高周波発振器 571 …第1の高周波電力増幅器 572 …第2の高周波電力増幅器 58…サセプタ 59…被処理基体 60…バイアス発生器 61…ガス導入管 62…処理チャンバ

Claims (6)

    【特許請求の範囲】
  1. 【請求項1】プラズマが生成される生成容器と、 この生成容器の周囲または内部に設けられた2HMHz
    以上の高周波が供給される複数のコイルと、 これら複数コイルの少なくとも一のコイルに他のコイル
    に供給する高周波とは異なる高周波を供給する高周波供
    給手段とを具備してなることを特徴とするプラズマ生成
    装置。
  2. 【請求項2】前記高周波供給手段は、インダクタンス体
    とリアクタンス体とからなる並列共振器と、この並列共
    振器に接続された高周波電源とからなることを特徴とす
    る請求項1に記載のプラズマ生成装置。
  3. 【請求項3】前記複数のコイルの少なくとも2以上のコ
    イルが同軸状に配置されていることを特徴とする請求項
    1に記載のプラズマ生成装置。
  4. 【請求項4】前記各コイルと前記被処理基体との間の距
    離はそれぞれ異なっていることを特徴とする請求項1に
    記載のプラズマ処理装置。
  5. 【請求項5】前記生成容器内に磁場を形成する手段を有
    することを特徴する請求項1に記載のプラズマ生成装
    置。
  6. 【請求項6】プラズマ生成容器にガスを導入する工程
    と、 前記プラズマ生成容器の周囲に設けられた複数のコイル
    に2MHz以上の高周波を供給し、前記複数のコイルの
    少なくとも一のコイルに他のコイルとは独立に制御した
    高周波を供給してプラズマを生成する工程とを有するこ
    とを特徴とするプラズマ生成方法。
JP7238843A 1995-09-18 1995-09-18 プラズマ生成装置およびプラズマ生成方法 Pending JPH0982495A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP7238843A JPH0982495A (ja) 1995-09-18 1995-09-18 プラズマ生成装置およびプラズマ生成方法
US08/714,998 US5897713A (en) 1995-09-18 1996-09-17 Plasma generating apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP7238843A JPH0982495A (ja) 1995-09-18 1995-09-18 プラズマ生成装置およびプラズマ生成方法

Publications (1)

Publication Number Publication Date
JPH0982495A true JPH0982495A (ja) 1997-03-28

Family

ID=17036104

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7238843A Pending JPH0982495A (ja) 1995-09-18 1995-09-18 プラズマ生成装置およびプラズマ生成方法

Country Status (2)

Country Link
US (1) US5897713A (ja)
JP (1) JPH0982495A (ja)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1154490A (ja) * 1997-07-25 1999-02-26 Samsung Electron Co Ltd 半導体装置の金属膜エッチング方法
JPH11135488A (ja) * 1997-08-07 1999-05-21 Robert Bosch Gmbh プラズマにより基板の異方性エッチングを行なう方法及び装置、誘導連結されたプラズマ源から発生されるプラズマを均一化する装置ならびにプラズマ処理装置
JP2003027246A (ja) * 2001-07-18 2003-01-29 Canon Inc プラズマ処理方法、半導体装置の製造方法および半導体装置
US6762129B2 (en) 2000-04-19 2004-07-13 Matsushita Electric Industrial Co., Ltd. Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
WO2004089822A1 (ja) * 2003-04-07 2004-10-21 Ideal Star Inc. ガス原子内包フラーレンの製造装置及び製造方法並びにガス原子内包フラーレン
JP2006278219A (ja) * 2005-03-30 2006-10-12 Utec:Kk Icp回路、プラズマ処理装置及びプラズマ処理方法
JP2006299331A (ja) * 2005-04-19 2006-11-02 Mitsubishi Shoji Plast Kk プラズマcvd成膜装置及びガスバリア性を有するプラスチック容器の製造方法
KR100823808B1 (ko) * 1999-09-13 2008-04-21 동경 엘렉트론 주식회사 기판의 플라즈마 처리에서 손상을 제거하기 위한 플라즈마처리 방법 및 장치
JP2008544480A (ja) * 2005-05-09 2008-12-04 アプライド マテリアルズ インコーポレイテッド 2周波のrf信号を用いたプラズマの生成及び制御
JP2010501123A (ja) 2006-08-28 2010-01-14 北京北方▲微▼▲電▼子基地▲設▼▲備▼工▲芸▼研究中心有限▲責▼任公司 誘導結合コイルおよび該誘導結合コイルを用いた誘導結合プラズマ装置
JP2010519693A (ja) * 2007-02-26 2010-06-03 ドクトル・ラウレ・プラスマテヒノロギー・ゲゼルシャフト・ミト・ベシュレンクテル・ハフツング 体積の大きな構成部品にプラズマ支援によるコーティングおよび表面処理を施す装置および方法
JP2010135298A (ja) * 2008-10-27 2010-06-17 Tokyo Electron Ltd 誘導結合プラズマ処理装置、プラズマ処理方法及び記憶媒体
CN104412717A (zh) * 2012-07-20 2015-03-11 应用材料公司 具有对称流腔室的对称电感式耦合等离子体源
JP2015053172A (ja) * 2013-09-06 2015-03-19 株式会社日立ハイテクノロジーズ プラズマ処理装置
WO2016114232A1 (ja) * 2015-01-16 2016-07-21 株式会社アルバック プラズマ処理装置
JPWO2017126662A1 (ja) * 2016-01-22 2018-08-02 Sppテクノロジーズ株式会社 プラズマ制御装置
JP2022043052A (ja) * 2016-11-03 2022-03-15 エンツーコア テクノロジー,インコーポレーテッド 誘導コイル構造体及び誘導結合プラズマ発生装置
WO2023095374A1 (ja) * 2021-11-26 2023-06-01 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及び基板処理方法

Families Citing this family (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US6071372A (en) * 1997-06-05 2000-06-06 Applied Materials, Inc. RF plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
US6158384A (en) * 1997-06-05 2000-12-12 Applied Materials, Inc. Plasma reactor with multiple small internal inductive antennas
KR100583262B1 (ko) * 1997-12-15 2006-05-25 폭스바겐 악티엔 게젤샤프트 플라즈마 붕화 처리 방법 및 장치
JPH11317299A (ja) * 1998-02-17 1999-11-16 Toshiba Corp 高周波放電方法及びその装置並びに高周波処理装置
WO1999046810A1 (fr) * 1998-03-12 1999-09-16 Hitachi, Ltd. Procede permettant de traiter la surface d'un echantillon
US6287687B1 (en) 1998-05-08 2001-09-11 Asten, Inc. Structures and components thereof having a desired surface characteristic together with methods and apparatuses for producing the same
US6146462A (en) * 1998-05-08 2000-11-14 Astenjohnson, Inc. Structures and components thereof having a desired surface characteristic together with methods and apparatuses for producing the same
US6237526B1 (en) 1999-03-26 2001-05-29 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
US6474258B2 (en) 1999-03-26 2002-11-05 Tokyo Electron Limited Apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
US6319355B1 (en) * 1999-06-30 2001-11-20 Lam Research Corporation Plasma processor with coil responsive to variable amplitude rf envelope
JP3385528B2 (ja) * 1999-07-06 2003-03-10 日本電気株式会社 ドライエッチング装置とドライエッチング方法
US6320320B1 (en) 1999-11-15 2001-11-20 Lam Research Corporation Method and apparatus for producing uniform process rates
JP2001168086A (ja) 1999-12-09 2001-06-22 Kawasaki Steel Corp 半導体装置の製造方法および製造装置
US6730313B2 (en) * 2000-01-25 2004-05-04 Edwards Lifesciences Corporation Delivery systems for periadventitial delivery for treatment of restenosis and anastomotic intimal hyperplasia
TW578448B (en) * 2000-02-15 2004-03-01 Tokyo Electron Ltd Active control of electron temperature in an electrostatically shielded radio frequency plasma source
US20030079983A1 (en) * 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
JP5184730B2 (ja) * 2000-03-01 2013-04-17 東京エレクトロン株式会社 プラズマの均一性を電気的に制御可能なプラズマ発生装置
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
TW454429B (en) * 2000-05-31 2001-09-11 Nanya Technology Corp Plasma generator
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7094670B2 (en) * 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US6494998B1 (en) 2000-08-30 2002-12-17 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma using an internal inductive element
KR100444189B1 (ko) * 2001-03-19 2004-08-18 주성엔지니어링(주) 유도결합 플라즈마 소스의 임피던스 정합 회로
US7096819B2 (en) * 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6674241B2 (en) * 2001-07-24 2004-01-06 Tokyo Electron Limited Plasma processing apparatus and method of controlling chemistry
US6570333B1 (en) * 2002-01-31 2003-05-27 Sandia Corporation Method for generating surface plasma
JP4205939B2 (ja) * 2002-12-13 2009-01-07 日本パーカライジング株式会社 金属の表面処理方法
US6876155B2 (en) * 2002-12-31 2005-04-05 Lam Research Corporation Plasma processor apparatus and method, and antenna
JP3839038B2 (ja) * 2003-06-02 2006-11-01 株式会社シンクロン 薄膜形成装置
US20050106873A1 (en) * 2003-08-15 2005-05-19 Hoffman Daniel J. Plasma chamber having multiple RF source frequencies
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7425512B2 (en) * 2003-11-25 2008-09-16 Texas Instruments Incorporated Method for etching a substrate and a device formed using the method
US20050205211A1 (en) * 2004-03-22 2005-09-22 Vikram Singh Plasma immersion ion implantion apparatus and method
US7169256B2 (en) * 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
TWI256083B (en) * 2004-06-02 2006-06-01 Lam Res Co Ltd Seasoning method for etch chamber
US20060040499A1 (en) * 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
US20070170867A1 (en) * 2006-01-24 2007-07-26 Varian Semiconductor Equipment Associates, Inc. Plasma Immersion Ion Source With Low Effective Antenna Voltage
DE102006028614B4 (de) * 2006-06-22 2014-02-13 Deutsches Zentrum für Luft- und Raumfahrt e.V. Verfahren zum Betrieb eines Strömungsflächenelements mit Plasmaerzeugungseinrichtungen
JP5297048B2 (ja) * 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
KR100999583B1 (ko) * 2008-02-22 2010-12-08 주식회사 유진테크 기판처리장치 및 기판처리방법
US9016236B2 (en) 2008-08-04 2015-04-28 International Business Machines Corporation Method and apparatus for angular high density plasma chemical vapor deposition
KR101037917B1 (ko) * 2008-11-03 2011-05-31 주식회사 유진테크 플라즈마 처리장치 및 플라즈마 안테나
CN102428545B (zh) * 2009-08-25 2014-05-07 佳能安内华股份有限公司 等离子体处理装置以及器件的制造方法
US10249470B2 (en) * 2012-07-20 2019-04-02 Applied Materials, Inc. Symmetrical inductively coupled plasma source with coaxial RF feed and coaxial shielding
US10170279B2 (en) * 2012-07-20 2019-01-01 Applied Materials, Inc. Multiple coil inductively coupled plasma source with offset frequencies and double-walled shielding
US9928987B2 (en) * 2012-07-20 2018-03-27 Applied Materials, Inc. Inductively coupled plasma source with symmetrical RF feed
US9449794B2 (en) 2012-07-20 2016-09-20 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and spiral coil antenna
US9082590B2 (en) 2012-07-20 2015-07-14 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates
US9082589B2 (en) * 2012-10-09 2015-07-14 Novellus Systems, Inc. Hybrid impedance matching for inductively coupled plasma system
US20140102641A1 (en) * 2012-10-11 2014-04-17 Smatek Co., Ltd Field enhanced inductively coupled plasma processing apparatus and plasma forming method
KR20140089458A (ko) * 2013-01-04 2014-07-15 피에스케이 주식회사 플라즈마 챔버 및 기판 처리 장치
WO2014149200A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc. Plasma reactor with highly symmetrical four-fold gas injection
US10083818B2 (en) * 2014-09-24 2018-09-25 Applied Materials, Inc. Auto frequency tuned remote plasma source
US20170133202A1 (en) * 2015-11-09 2017-05-11 Lam Research Corporation Computer addressable plasma density modification for etch and deposition processes
JP6371354B2 (ja) * 2016-09-30 2018-08-08 本田技研工業株式会社 被膜形成装置
US10541114B2 (en) * 2016-11-03 2020-01-21 En2Core Technology, Inc. Inductive coil structure and inductively coupled plasma generation system
US10896806B2 (en) * 2016-11-03 2021-01-19 En2Core Technology, Inc. Inductive coil structure and inductively coupled plasma generation system
US10903046B2 (en) * 2016-11-03 2021-01-26 En2Core Technology, Inc. Inductive coil structure and inductively coupled plasma generation system
US10790119B2 (en) 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
US11201036B2 (en) 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
US20180358206A1 (en) * 2017-06-09 2018-12-13 Mattson Technology, Inc. Plasma Processing Apparatus
US20200013591A1 (en) * 2018-02-15 2020-01-09 Yield Engineering Systems, Inc. Plasma Spreading Apparatus And System, And Method Of Spreading Plasma In Process Ovens
US20200234920A1 (en) * 2019-01-22 2020-07-23 Lam Research Corporation Coil and window for plasma processing system
KR20210129081A (ko) * 2019-03-01 2021-10-27 고쿠리츠다이가쿠호진 카나자와다이가쿠 미립자의 제조 장치 및 미립자의 제조 방법
WO2021113387A1 (en) 2019-12-02 2021-06-10 Lam Research Corporation Impedance transformation in radio-frequency-assisted plasma generation
US11994542B2 (en) 2020-03-27 2024-05-28 Lam Research Corporation RF signal parameter measurement in an integrated circuit fabrication chamber

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3942964A1 (de) * 1989-12-23 1991-06-27 Leybold Ag Einrichtung fuer die erzeugung eines plasmas
DE4021182A1 (de) * 1990-07-03 1992-01-16 Plasma Technik Ag Vorrichtung zur beschichtung der oberflaeche von gegenstaenden
TW249313B (ja) * 1993-03-06 1995-06-11 Tokyo Electron Co
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
EP0673186A1 (en) * 1994-03-17 1995-09-20 Fuji Electric Co., Ltd. Method and apparatus for generating induced plasma

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1154490A (ja) * 1997-07-25 1999-02-26 Samsung Electron Co Ltd 半導体装置の金属膜エッチング方法
JPH11135488A (ja) * 1997-08-07 1999-05-21 Robert Bosch Gmbh プラズマにより基板の異方性エッチングを行なう方法及び装置、誘導連結されたプラズマ源から発生されるプラズマを均一化する装置ならびにプラズマ処理装置
KR100823808B1 (ko) * 1999-09-13 2008-04-21 동경 엘렉트론 주식회사 기판의 플라즈마 처리에서 손상을 제거하기 위한 플라즈마처리 방법 및 장치
US6762129B2 (en) 2000-04-19 2004-07-13 Matsushita Electric Industrial Co., Ltd. Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
US7148151B2 (en) 2000-04-19 2006-12-12 Matsushita Electric Industrial Co., Ltd. Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
US7341922B2 (en) 2000-04-19 2008-03-11 Matsushita Electric Industrial Co., Ltd. Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
US7402527B2 (en) 2000-04-19 2008-07-22 Matsushita Electric Industrial Co., Ltd. Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
JP2003027246A (ja) * 2001-07-18 2003-01-29 Canon Inc プラズマ処理方法、半導体装置の製造方法および半導体装置
WO2004089822A1 (ja) * 2003-04-07 2004-10-21 Ideal Star Inc. ガス原子内包フラーレンの製造装置及び製造方法並びにガス原子内包フラーレン
JP2006278219A (ja) * 2005-03-30 2006-10-12 Utec:Kk Icp回路、プラズマ処理装置及びプラズマ処理方法
JP2006299331A (ja) * 2005-04-19 2006-11-02 Mitsubishi Shoji Plast Kk プラズマcvd成膜装置及びガスバリア性を有するプラスチック容器の製造方法
JP2008544480A (ja) * 2005-05-09 2008-12-04 アプライド マテリアルズ インコーポレイテッド 2周波のrf信号を用いたプラズマの生成及び制御
JP2010501123A (ja) 2006-08-28 2010-01-14 北京北方▲微▼▲電▼子基地▲設▼▲備▼工▲芸▼研究中心有限▲責▼任公司 誘導結合コイルおよび該誘導結合コイルを用いた誘導結合プラズマ装置
JP2010519693A (ja) * 2007-02-26 2010-06-03 ドクトル・ラウレ・プラスマテヒノロギー・ゲゼルシャフト・ミト・ベシュレンクテル・ハフツング 体積の大きな構成部品にプラズマ支援によるコーティングおよび表面処理を施す装置および方法
JP2010135298A (ja) * 2008-10-27 2010-06-17 Tokyo Electron Ltd 誘導結合プラズマ処理装置、プラズマ処理方法及び記憶媒体
JP2013201134A (ja) * 2008-10-27 2013-10-03 Tokyo Electron Ltd 誘導結合プラズマ処理装置、プラズマ処理方法及び記憶媒体
CN104412717A (zh) * 2012-07-20 2015-03-11 应用材料公司 具有对称流腔室的对称电感式耦合等离子体源
JP2015053172A (ja) * 2013-09-06 2015-03-19 株式会社日立ハイテクノロジーズ プラズマ処理装置
US10796884B2 (en) 2013-09-06 2020-10-06 Hitachi High-Tech Corporation Plasma processing apparatus
CN106463393B (zh) * 2015-01-16 2018-04-13 株式会社爱发科 等离子体处理装置
CN106463393A (zh) * 2015-01-16 2017-02-22 株式会社爱发科 等离子体处理装置
JP6013666B1 (ja) * 2015-01-16 2016-10-25 株式会社アルバック プラズマ処理装置
US10079133B2 (en) 2015-01-16 2018-09-18 Ulvac, Inc. Plasma processing device
TWI683341B (zh) * 2015-01-16 2020-01-21 日商愛發科股份有限公司 電漿處理裝置
WO2016114232A1 (ja) * 2015-01-16 2016-07-21 株式会社アルバック プラズマ処理装置
JPWO2017126662A1 (ja) * 2016-01-22 2018-08-02 Sppテクノロジーズ株式会社 プラズマ制御装置
JP2022043052A (ja) * 2016-11-03 2022-03-15 エンツーコア テクノロジー,インコーポレーテッド 誘導コイル構造体及び誘導結合プラズマ発生装置
WO2023095374A1 (ja) * 2021-11-26 2023-06-01 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及び基板処理方法

Also Published As

Publication number Publication date
US5897713A (en) 1999-04-27

Similar Documents

Publication Publication Date Title
JPH0982495A (ja) プラズマ生成装置およびプラズマ生成方法
US5824158A (en) Chemical vapor deposition using inductively coupled plasma and system therefor
EP0601468B1 (en) Process and electromagnetically coupled planar plasma apparatus for etching oxides
US5811357A (en) Process of etching an oxide layer
GB2260339A (en) Ecr plasma cvd method and apparatus for preparing a silicon oxide film
US20150099366A1 (en) Plasma etching method
WO2013047464A1 (ja) エッチング方法及び装置
JP2003023000A (ja) 半導体装置の製造方法
JP3429171B2 (ja) プラズマ処理方法及び半導体デバイスの製造方法
JP3469761B2 (ja) 半導体デバイスの製造方法
US20050126711A1 (en) Plasma processing apparatus
JP3739325B2 (ja) 有機絶縁膜のエッチング方法
US20050103441A1 (en) Etching method and plasma etching apparatus
JP2019121685A (ja) エッチング方法
JP4478352B2 (ja) プラズマ処理装置及びプラズマ処理方法並びに構造体の製造方法
US6492279B1 (en) Plasma etching methods
JP4203996B2 (ja) エッチング方法及びプラズマエッチング装置
JP4141021B2 (ja) プラズマ成膜方法
EP0512677B1 (en) Plasma treatment method and apparatus
JP3086234B2 (ja) 表面処理方法
JP3172340B2 (ja) プラズマ処理装置
EP1045433B1 (en) Boron containing fluorocarbon film and method for forming the same
JPH11162960A (ja) プラズマ成膜方法
JP3516741B2 (ja) プラズマ処理方法
JPH03109728A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20040106