TWI544546B - Plasma processing device and plasma processing method - Google Patents

Plasma processing device and plasma processing method Download PDF

Info

Publication number
TWI544546B
TWI544546B TW103139546A TW103139546A TWI544546B TW I544546 B TWI544546 B TW I544546B TW 103139546 A TW103139546 A TW 103139546A TW 103139546 A TW103139546 A TW 103139546A TW I544546 B TWI544546 B TW I544546B
Authority
TW
Taiwan
Prior art keywords
electrode
plasma
power source
frequency power
voltage
Prior art date
Application number
TW103139546A
Other languages
English (en)
Other versions
TW201515099A (zh
Inventor
Naoki Matsumoto
Chishio Koshimizu
Akira Koshiishi
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201515099A publication Critical patent/TW201515099A/zh
Application granted granted Critical
Publication of TWI544546B publication Critical patent/TWI544546B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes

Description

電漿處理裝置及電漿處理方法
本發明是有關在半導體基板等的被處理基板施以電漿蝕刻等的電漿處理之電漿處理裝置及電漿處理方法。
例如在半導體裝置的製程中,為了在形成於被處理基板亦即半導體晶圓的所定的層形成所定的圖案,大多使用以光阻劑作為光罩藉由電漿來進行蝕刻的電漿蝕刻處理。
用以進行如此的電漿蝕刻之電漿蝕刻裝置,雖可使用各式各樣者,但其中以電容結合型平行平板電漿處理裝置為主流。
電容結合型平行平板電漿蝕刻裝置是在處理室內配置一對的平行平板電極(上部及下部電極),將處理氣體導入處理室內,且於電極的一方施加高頻,而在電極間形成高頻電場,藉由該高頻電場來形成處理氣體的電漿,而對半導體晶圓的所定層施以電漿蝕刻。
具體而言,在上部電極施加電漿形成用的高頻而形成電漿,在下部電極施加離子引入用的高頻,藉此形成適當的電漿狀態之電漿蝕刻裝置,藉此能以高選擇比來進行再 現性高的蝕刻處理。
最近隨著設計規則(Design Rule)的微細化,電漿處理被要求低壓化的高密度電漿,在電容結合型電漿處理裝置中會被施加比以往更高的50MHz以上的高頻率的高頻電力(例如專利文獻1)。
但,若施加於上部電極的高頻的頻率變高,則從高頻電源經由給電棒來施加於電極背面的高頻會藉由表皮效果而傳至電極表面,集中於電極下面(電漿接觸面)的中心部,電極下面的中心部的電場強度會形成比外周部的電場強度更高,而所生成的電漿密度也是電極中心部要比電極外周部更高,蝕刻處理會形成中心優先。
為了解除此問題,在專利文獻2中所提案的技術是以高電阻構件來構成上部電極的下面中央部,於是以更多的高頻電力作為焦耳熱來消費,藉此使上部電極的下面(電漿接觸面)的電場強度在電極中心部要比在電極外周部更相對的降低。
但,就專利文獻2的技術而言,會有利用焦耳熱之高頻電力的消費(能量損失)變多等的問題。
〔專利文獻1〕特開2000-173993號公報
〔專利文獻2〕特開2000-323456號公報
本發明是有鑑於上述情事而研發者,其目的是在於提 供一種不拘電漿的狀態,可容易確保電漿的均一性之電漿處理裝置及電漿處理方法。
又,以提供一種能夠實現任意的電漿分布之電漿處理裝置及電漿處理方法為目的。
為了解決上述課題,本發明的第1觀點係提供一種電漿處理裝置,具備:可真空排氣的處理容器,其係收容有被處理基板;第1電極及第2電極,其係對向於處理容器內而配置;高頻電源,其係施加高頻電力至上述第1電極;直流電源,其係施加直流電壓至上述第1電極;及處理氣體供給手段,其係供給處理氣體至上述處理容器內;在上述第1電極及上述第2電極之間生成處理氣體的電漿,而於被處理基板施以電漿處理;其特徵為:上述第1電極係以其外側部分實質上不會有來自上述直流電源的電流流動之方式構成。
本發明的第2觀點係提供一種電漿處理裝置,具備:可真空排氣的處理容器,其係收容有被處理基板;第1電極及第2電極,其係對向於處理容器內而配置; 高頻電源,其係施加高頻電力至上述第1電極;直流電源,其係施加直流電壓至上述第1電極;及處理氣體供給手段,其係供給處理氣體至上述處理容器內;在上述第1電極及上述第2電極之間生成處理氣體的電漿,而於被處理基板施以電漿處理;其特徵為:上述第1電極係具有外側部分及內側部分,以來自上述高頻電源的高頻電流流至上述外側部分及上述內側部分的雙方,來自上述直流電源的直流電流流至上述內側部分,實質上不流至上述外側部分之方式所構成。
在上述本發明的第1觀點或第2觀點的電漿處理裝置中,上述第1電極係具有電極支持構件,上述外側部分與內側部分係被支持於上述電極支持構件,上述高頻電源及上述直流電源係連接至上述電極支持構件,在上述電極支持構件與上述外側部分之間介在一來自上述直流電源的直流會遮斷,但來自上述高頻電源的高頻會通過之介電質膜。
又,在上述本發明的第1觀點或第2觀點的電漿處理裝置中,上述第1電極的上述外側部分係以具有來自上述直流電源的直流會遮斷,但來自上述高頻電源的高頻會通過的電阻值之材料所構成。
又,上述本發明的第2觀點的電漿處理裝置中,上述第1電極的上述外側部分與上述內側部分係分離,具有: 從上述高頻電源來供給高頻電流至上述外側部分的第1給電構件、及從上述高頻電源來供給高頻電流至上述內側部分的第2給電構件、及從上述直流電源來供給直流電流至上述內側部分的直流給電構件;在上述第1給電構件與上述外側構件之間介在一來自上述直流電源的直流會遮斷,但來自上述高頻電源的高頻會通過之絕緣膜。
本發明的第3觀點係提供一種電漿處理裝置,具備:可真空排氣的處理容器,其係收容有被處理基板;第1電極及第2電極,其係對向於處理容器內而配置;高頻電源,其係施加高頻電力至上述第1電極;直流電源,其係施加直流電壓至上述第1電極;高頻給電部,其係從上述高頻電源供電給上述第1電極;直流給電部,其係從上述直流電源供電給上述第1電極;及處理氣體供給手段,其係供給處理氣體至上述處理容器內;在上述第1電極及上述第2電極之間生成處理氣體的電漿,而於被處理基板施以電漿處理;其特徵為:上述第1電極係分離成外側部分與內側部分,該等係分別被絕緣; 上述高頻給電部係具有:將來自上述高頻電源的高頻電流供給至上述外側部分的第1給電構件、及將來自上述高頻電源的高頻電流供給至上述內側部分的第2給電構件、及設置於上述第2給電構件的電容器;上述直流給電部具有將來自上述直流電源的直流電流供給至上述內側部分的直流給電構件。
在上述本發明的第3觀點的電漿處理裝置中,上述電容器較理想是電容可變的可變電容器。
本發明的第4觀點係提供一種電漿處理裝置,其特徵係具備:可真空排氣的處理容器,其係收容有被處理基板;第1電極及第2電極,其係對向於處理容器內而配置;第1內側電極,其係設置於上述第1電極的內側;第1外側電極,其係設置於上述第2電極的外側;直流電源,其係施加直流電壓至上述第1內側電極;高頻電源,其係施加高頻電力至上述第1外側電極;直流給電部,其係從上述直流電源供電給上述第1內側電極;高頻給電部,其係從上述高頻電源供電給上述第1外側電極;及處理氣體供給手段,其係供給處理氣體至上述處理容器內;在上述第1電極及上述第2電極之間生成處理氣體的 電漿,而於被處理基板施以電漿處理。
在上述本發明的第1觀點~第4觀點的其中任一電漿處理裝置中,可更具備控制裝置,其係控制來自上述直流電源的施加電壓或施加電流或施加電力。又,可在上述電漿處理時施加來自上述直流電源的直流電壓,在洗滌時停止來自上述直流電源的直流電壓的施加。
在上述本發明的第1觀點~第4觀點的其中任一電漿處理裝置中,上述第1電極為上部電極,上述第2電極為載置被處理基板的下部電極。此情況,可更具備離子引入用高頻電源,其係施加離子引入用的高頻電力至上述第2電極。
本發明的第5觀點係提供一種電漿處理裝置,具備:可真空排氣的處理容器,其係收容有被處理基板;第1電極及第2電極,其係對向於處理容器內而配置;高頻電源,其係施加高頻電力至上述第1電極;直流電源,其係施加直流電壓至上述第1電極;及處理氣體供給手段,其係供給處理氣體至上述處理容器內;在上述第1電極及上述第2電極之間生成處理氣體的電漿,而於被處理基板施以電漿處理;其特徵為:上述第1電極係於面內方向形成有所定的電阻分布,藉由施加來自上述高頻電源的高頻電力及來自上述直流電 源的直流電壓,於上述第1電極的表面形成所定的直流電場及高頻電場的分布。
本發明的第6觀點係提供一種電漿處理方法,係使用電漿處理裝置,在上述第1電極及上述第2電極之間生成處理氣體的電漿,而於被處理基板施以電漿處理,該電漿處理裝置係具備:可真空排氣的處理容器,其係收容有被處理基板;第1電極及第2電極,其係對向於處理容器內而配置;高頻電源,其係施加高頻電力至上述第1電極;直流電源,其係施加直流電壓至上述第1電極;及處理氣體供給手段,其係供給處理氣體至上述處理容器內;其特徵為:在供給直流電壓至上述第1電極時,使其外側部分實質上不會有來自上述直流電源的電流流動。
本發明的第7觀點係提供一種電漿處理方法,係使用電漿處理裝置,在上述第1電極及上述第2電極之間生成處理氣體的電漿,而於被處理基板施以電漿處理,該電漿處理裝置係具備:可真空排氣的處理容器,其係收容有被處理基板;第1電極及第2電極,其係對向於處理容器內而配置;高頻電源,其係施加高頻電力至上述第1電極; 直流電源,其係施加直流電壓至上述第1電極;及處理氣體供給手段,其係供給處理氣體至上述處理容器內;其特徵為:使上述第1電極分離成外側部分及內側部分,當直流電壓及高頻電力被施加於上述第1電極時,使來自上述高頻電源的高頻電流流至上述外側部分及上述內側部分的雙方,來自上述直流電源的直流電流流至上述內側部分,實質不會流至上述外側部分。
本發明的第8觀點係提供一種電漿處理方法,其特徵係使用電漿處理裝置,在上述第1電極及上述第2電極之間生成處理氣體的電漿,而於被處理基板施以電漿處理,該電漿處理裝置係具備:可真空排氣的處理容器,其係收容有被處理基板;第1電極及第2電極,其係對向於處理容器內而配置;第1內側電極,其係設置於上述第1電極的內側;第1外側電極,其係設置於上述第2電極的外側;直流電源,其係施加直流電壓至上述第1內側電極;高頻電源,其係施加高頻電力至上述第1外側電極;直流給電部,其係從上述直流電源供電給上述第1內側電極;高頻給電部,其係從上述高頻電源供電給上述第2外側電極;及 處理氣體供給手段,其係供給處理氣體至上述處理容器內。
在本發明的第6觀點~第8觀點的其中任一電漿處理方法中,可在上述電漿處理時施加來自上述直流電源的直流電壓,在洗滌時停止來自上述直流電源的直流電壓的施加。
若利用本發明,則因為對向於處理容器內而配置第1電極及第2電極,使來自高頻電源的高頻電力及來自直流電源的直流電壓施加於第1電極,且使直流電流不會流至第1電極的外側部分,所以可在對應於第1電極的外側部分的部分形成高頻所支配性的電漿,在對應於內側部分的部分形成由高頻及直流所構成的電漿。因此,可藉由控制高頻電源及直流電源來謀求電漿的均一化,且可進行電漿的分布控制。
又,由於上述第1電極為使用在面內方向具有所定的電阻分布者,藉由施加來自上述高頻電源的高頻電力及來自上述直流電源的直流電壓,在上述第1電極的表面形成有所定的直流電場及高頻電場的分布,因此藉由按照所被形成之電漿的特性來調整第1電極的電阻分布,可謀求電漿的均一化,且可進行電漿的分布控制。
又,由於使在第1電極的外側部分施加來自高頻電源的高頻電力,在第1電極的內側部分施加來自直流電源的 直流電壓,因此可在對應於第1電極的外側部分的部分形成藉由高頻所產生的電漿,在對應於內側部分的部分形成藉由直流所產生的電漿。所以可藉由控制高頻電源及直流電源,使電漿的均一化及分布控制更控制性佳地進行。
10‧‧‧處理室(處理容器)
16‧‧‧基座(下部電極)
34,34',134,234,334,434‧‧‧上部電極
36a,136a‧‧‧外側電極板
36b,136b‧‧‧內側電極板
39,39a,139‧‧‧介電質膜
46,88‧‧‧整合器
48‧‧‧第1高頻電源
50‧‧‧可變直流電源
51‧‧‧控制器
66‧‧‧處理氣體供給源
78‧‧‧可變電容器
84‧‧‧排氣裝置
90‧‧‧第2高頻電源
136,436,536‧‧‧電極板
236a‧‧‧外側部分
236b‧‧‧內側部分
W‧‧‧半導體晶圓(被處理基板)
圖1是表示本發明的第1實施形態之電漿蝕刻裝置的概略剖面圖。
圖2是用以說明圖1的電漿蝕刻裝置的上部電極構造的模式圖。
圖3是表示在圖1的電漿蝕刻裝置中,用以在往上部電極施加直流電壓時抑止異常放電的波形圖。
圖4是表示本發明的第2實施形態之電漿蝕刻裝置的概略剖面圖。
圖5是用以說明圖4的電漿蝕刻裝置的上部電極構造的模式圖。
圖6是表示本發明的第3實施形態的電漿蝕刻裝置的概略剖面圖。
圖7是用以說明圖6的電漿蝕刻裝置的上部電極構造的模式圖。
圖8是用以說明本發明的第4實施形態的電漿蝕刻裝置的上部電極構造的模式圖。
圖9是用以說明本發明的第5實施形態的電漿蝕刻裝置的上部電極構造的模式圖。
圖10是表示使用圖6的電漿蝕刻裝置來使可變直流電源的電壓變化時的電子密度分布圖。
圖11是表示使用圖6的電漿蝕刻裝置來使可變直流電源的直流電壓變化時、及不施加直流電壓來使可變電容器的電容變化時的電子密度分布圖。
圖12是表示使用圖6的電漿蝕刻裝置以無Ar的氣體組成來從可變直流電源施加直流電壓而進行電漿蝕刻時的電子密度分布圖。
圖13是表示本發明的第6實施形態之電漿蝕刻裝置的上部電極構造的模式圖。
圖14是表示本發明的第6實施形態之電漿蝕刻裝置的上部電極構造的變形例的模式圖。
圖15是表示本發明的第7實施形態之電漿蝕刻裝置的上部電極構造的模式圖。
圖16是表示本發明的第8實施形態之電漿蝕刻裝置的上部電極構造的模式圖。
圖17是表示上部電極形狀的變形例模式圖。
以下,參照圖面來具體說明有關將本發明適用於電漿蝕刻裝置的實施形態。
首先,說明有關本發明的第1實施形態。
圖1是表示本發明的第1實施形態之電漿蝕刻裝置的概略剖面圖。
此電漿蝕刻裝置是作為電容結合型平行平板電漿蝕刻裝置構成,具有例如表面被陽極氧化處理之鋁所構成的略圓筒狀的處理室(處理容器)10。此處理室10是被安全接地。
在處理室10的底部,經由由陶瓷等所構成的絕緣板12來配置有圓柱狀的基座支持台14,在此基座支持台14上設有例如由鋁所構成的基座16。
在基座16的上面設有以靜電力來吸著保持被處理基板亦即半導體晶圓W的靜電夾頭18,在此靜電夾頭18上載置半導體晶圓W。此靜電夾頭18是具有以一對的絕緣層或絕緣薄板來夾著由導電膜所構成的電極20之構造者,在電極20電性連接有直流電源22。然後,藉由庫倫力等的靜電力(藉由來自直流電源22的直流電壓所產生),半導體晶圓W會被吸著保持於靜電夾頭18。
在靜電夾頭18(半導體晶圓W)的周圍,基座16的上面,配置有用以使蝕刻的均一性提升之例如由矽所構成的導電性的聚焦環(補正環)24。在基座16及基座支持台14的側面設有例如由石英所構成的圓筒狀的內壁構件26。
在基座支持台14的內部設有冷媒室28。在此冷媒室中,藉由設置於外部的冷卻單元(未圖示),經由配管30a,30b來循環供給所定溫度的冷媒、例如冷卻水,可藉由冷媒的溫度來控制基座上的半導體晶圓W的處理溫度。
又,來自傳熱氣體供給機構(未圖示)的傳熱氣體、例如He氣體會經由氣體供給線32來供給至靜電夾頭18的上面與半導體晶圓W的背面之間。
在隔著下部電極亦即基座16的空間之上方,以能夠和基座16對向之方式平行設有上部電極34。然後,上部及下部電極34,16間的空間會成為電漿生成空間。上部電極34是與下部電極亦即基座16上的半導體晶圓W對向而形成與電漿生成空間接觸的面,亦即對向面。
該上部電極34是經由絕緣性遮蔽構件42來被支持於處理室10的上部,構成與基座16對向的面且具有多數個吐出孔37,由設成環狀的外側電極板36a、及設於該外側電極板36a的內側之形成圓盤狀的內側電極板36b、及水冷構造的電極支持體38來構成,該電極支持體38是可自由裝卸地支持該等外側電極板36a及內側電極板36b,由導電性材料、例如表面被陽極氧化處理的鋁所構成。在外側電極板36a與內側電極板36b之間形成有間隙。並且,在外側電極板36a與電極支持體38之間介在由鋁的陽極氧化皮膜等所構成的薄介電質膜39(絕緣膜)。外側電極板36a及內側電極板36b是以焦耳熱少的低電阻的導電體或半導體、例如矽或SiC所構成。在電極支持體38的內部設有氣體擴散室40,從此氣體擴散室40連通至氣體吐出孔37的多數個氣體通流孔41會延伸至下方。
在電極支持體38形成有往氣體擴散室40導入處理氣體的氣體導入口62,在此氣體導入口62連接有氣體供給 管64,在氣體供給管64連接有處理氣體供給源66。在氣體供給管64從上游側依次設有質量流控制器(MFC)68及開閉閥70。然後,從處理氣體供給源66,蝕刻用的處理氣體,例如C4F8氣體之類的氟碳氣體(CxFy)會從氣體供給管64來到氣體擴散室40,經由氣體通流孔41及氣體吐出孔37來淋浴狀地吐出至電漿生成空間。亦即,上部電極34是具有作為用以供給處理氣體的蓮蓬頭(shower head)的機能。
在上部電極34經由整合器46及給電棒44來電性連接有第1高頻電源48。第1高頻電源48會輸出13.56MHz以上的頻率、例如60MHz的高頻電力。整合器46是使負荷阻抗整合於第1高頻電源48的內部(或輸出)阻抗者,在處理室10內生成電漿時使第1高頻電源48的輸出阻抗與負荷阻抗能夠看起來形成一致。整合器46的輸出端子是連接至給電棒44的上端。
另一方面,在上述上部電極34除了第1高頻電源48以外,電性連接有可變直流電源50。可變直流電源50較理想是以雙極電源來構成。具體而言,此可變直流電源50是經由低通過濾器(LPF)58來連接至上部電極34的電極支持體38,可藉由繼電器電路52來進行給電的開啟.關閉。藉由低通過濾器(LPF)58採集來自第1高頻電源48的高頻電力及來自後述第2高頻電源的高頻電力。可變直流電源50的極性及電流.電壓以及繼電器電路52的開啟.關閉可藉由控制器51來控制。
來自可變直流電源50的直流電流是從電極支持體38來流至內側電極板36b,但藉由上述介電質膜39的存在,不會流至外側電極板36a。介電質膜39是薄薄地形成,來自第1高頻電源48的高頻電流會流至外側電極板36a。又,介電質膜39是以來自可變直流電源50的直流電流實質上不會流動,來自第1高頻電源48的高頻電流會流動之方式來調整材質及厚度。
從處理室10的側壁,以能夠比上部電極34的高度位置更延伸至上方的方式來設置圓筒狀的接地導體10a,此圓筒狀接地導體10a的頂壁部分是藉由筒狀的絕緣構件44a來從上部給電棒44電性絕緣。
在下部電極的基座16經由整合器88來電性連接有第2高頻電源90。由此第2高頻電源90來對下部電極16供給高頻電力,藉此離子會被引入半導體晶圓W側。第2高頻電源90會輸出2~27MHz範圍內的頻率、例如2MHz的高頻電力。整合器88是使負荷阻抗整合於第2高頻電源90的內部(或輸出)阻抗者,在處理室10內生成電漿時使第2高頻電源90的內部阻抗與負荷阻抗看起來能夠形成一致。
在上部電極34電性連接有低通濾波器(LPF)92,其係用以使來自第1高頻電源48的高頻電力(60MHz)不通過,使來自第2高頻電源90的高頻電力(2MHz)通至接地。此低通過濾器(LPF)92較理想是以LR濾波器或LC濾波器來構成,但即使是1條導線,照樣能夠對來自 第1高頻電源48的高頻電力(60MHz)賦予充分大的電抗,因此亦可如此達成。另一方面,在下部電極亦即基座16電性連接有高通濾波器(HPF)94,其係用以使來自第1高頻電源48的高頻電力(60MHz)通至接地。
在處理室10的底部設有排氣口80,在此排氣口80經由排氣管82來連接排氣裝置84。此排氣裝置84具有渦輪分子泵等的真空泵,可使處理室10內減壓至所望的真空度。並且,在處理室10的側壁設有半導體晶圓W的搬出入口85,此搬出入口85可藉由閘式閥86來形成開閉。而且,用以防止蝕刻副產物(附著物)附著於處理室10的附著物屏蔽11會沿著處理室10的內壁而自由裝卸地設置。亦即,附著物屏蔽11構成處理室壁。又,附著物屏蔽11亦設置於內壁構件26的外周。在處理室10的底部的處理室壁側的附著物屏蔽11與內壁構件26側的附著物屏蔽11之間設有排氣板83。附著物屏蔽11及排氣板83可使用鋁材被覆Y2O3等的陶瓷者。
在構成附著物屏蔽11的處理室內壁的部分之與晶圓W大致同高的部分設有DC性地連接至接地的導電性構件(GND區塊)91,藉此發揮後述的異常放電防止效果。
電漿蝕刻裝置的各構成部是形成連接至控制部(製程控制器)95而控制的構成。並且,在控制部95連接有使用者介面96,該使用者介面96是由工程管理者為了管理電漿蝕刻裝置而進行指令的輸入操作等的鍵盤、及使電漿處理裝置的作動狀況可視化顯示的顯示器等所構成。
又,控制部95連接有記憶部97,該記憶部97儲存有用以藉由控制部95的控制來實現電漿蝕刻裝置所執行的各種處理之控制程式、或用以按照處理條件來使處理執行於電漿蝕刻裝置的各構成部之程式亦即方法。方法可記憶於硬碟或半導體記憶體、或者在收容於CDROM、DVD等可藉由可攜式電腦來讀取的記憶媒體的狀態下設置於記憶部97的所定位置。
然後,因應所需,以來自使用者介面96的指示等,從記憶部97叫出任意的方法,而使執行於控制部95,藉此在控制部95的控制下,在電漿蝕刻裝置執行所望的處理。
在如此構成的電漿蝕刻裝置中進行蝕刻處理時,首先使閘式閥86成為開狀態,經由搬出入口85來將蝕刻對象的半導體晶圓W搬入處理室10內,載置於基座16上。然後,以所定的流量來從處理氣體供給源66將蝕刻用的處理氣體供給至氣體擴散室40,一方面經由氣體通流孔41及氣體吐出孔37來供給至處理室10內,一方面藉由排氣裝置84來對處理室10內進行排氣,使其中的壓力例如成為0.1~150Pa的範圍內的設定值。在此,處理氣體可採用以往所被使用的各種類者,例如可適用C4F8氣體之類的氟碳氣體(CxFy)含有鹵元素的氣體。又,亦可包含Ar氣體或O2氣體等的其他氣體。
在如此導入蝕刻氣體於處理室10內的狀態下,以所定的功率來從第1高頻電源48將電漿生成用的高頻電力 (60MHz)施加於上部電極34,而點著.生成電漿,且以所定的功率由第2高頻電源90來將離子引入用的高頻電力(2MHz)施加於下部電極亦即基座16。然後,從可變直流電源50來將所定的直流電壓施加於上部電極34。又,由靜電夾頭18用的直流電源22來將直流電壓施加於靜電夾頭18的電極20,而將半導體晶圓W固定於基座16。
從形成於上部電極34的內側電極板36b的氣體吐出孔37吐出的處理氣體是在上部電極34與下部電極亦即基座16間的輝光放電中電漿化,藉由以該電漿所生成的游離基或離子來蝕刻半導體晶圓W的被處理面。
在本實施形態中,如圖2的模式所示,在電極支持體38連接第1高頻電源48及可變直流電源50,將被支持於電極支持體38的電極板分離成外側電極板36a及內側電極板36b,在外側電極板36a與電極支持體38之間形成介電質膜39。此介電質膜39是以來自可變直流電源50的直流會遮斷,但來自第1高頻電源48的高頻會通過之方式構成,因此高頻電流會流至外側電極板36a及內側電極板36b雙方,但直流電流不會流至外側電極板36a,僅流至內側電極板36b。
此情況,在被施加直流電力的內側電極板36b,藉由直流電壓在上部電極34側形成有厚的DC鞘層(sheath),藉此鞘層電容,高頻電力難以進入,直流電力所支配性的電漿會被形成。另一方面,在直流電流未流 動的外側電極板36a,DC鞘層不會被形成,高頻電力所支配性的電漿會被形成。如此,自我整合地,對應於內側電極板36b的部分是形成直流電漿所支配,對應於外側電極板36a的部分是形成高頻電漿所支配。
直流電漿在所被生成的階段雖均一性高,但只能在靜電場形成電漿,因此在對向電極之間的空間形成有電漿,但在對向電極的外側部分不會形成有電漿。因此,在對向電極周邊部會有往外側的損失,所以電極中央部的電漿密度會有變高的傾向。相對的,高頻電漿即使在對向電極的外側空間也容易擴展,在對向電極的外側也可形成高密度的電漿。本實施形態是在對應於內側電極板36b的部分主要形成直流電漿,在對應於外側電極板36a的部分主要形成高頻電漿,因此在半導體晶圓的蝕刻時,以能夠實現均一性高的電漿之直流電力來控制晶圓的中央部分的電漿密度(電子密度),以能夠實現容易擴展於對向電極的外側的電漿之高頻電力來控制外周部分的電漿密度(電子密度)。藉此,藉由控制第1高頻電源48及可變直流電源50的功率,無論在哪種情況時皆可取得均一性極高的電漿。具體而言,例如在不導入Ar氣體來形成電漿時,就以往的高頻電漿而言,會有中央部的電漿密度降低的傾向,無法使電漿密度充分地形成均一,但藉由本實施形態,即使在如此的情況時,照樣能夠提高電漿密度的均一性。又,亦可藉由控制第1高頻電源48及可變直流電源50的功率來形成所望的電漿密度分布。
在本實施形態中,從第1高頻電源48施加於上部電極34的高頻電力為供給高頻率領域(離子無法追從的10MHz以上)者,因此可使蝕刻氣體成為蝕刻佳的狀態亦即激勵狀態,且可高密度化,即使在更低壓的條件下,照樣能夠形成高密度電漿。
並且,從可變直流電源50所供給的直流電力會生成電子溫度高的電漿,可使蝕刻氣體成為蝕刻佳的狀態亦即離子化狀態,實現高電漿密度。
而且,藉由在對應於內側電極板36b的部分形成直流所支配性的電漿,可取得以下那樣的效果。
可加深上部電極34表面的自偏壓電壓Vdc,即使在上部電極34有聚合物附著時,還是可藉濺射作用來使上部電極34的表面清淨化。並且,在半導體晶圓W上供給最適量的聚合物來解除光阻劑膜的表面粗糙。而且,亦可藉由濺射上部電極34本身將電極材料本身供給至半導體晶圓W表面,藉此強化光阻劑膜。如上述,形成於上部電極34側的電漿鞘層的厚度會變大,僅該部份電漿會被扁平化。藉此,半導體晶圓W上的實效停留時間會增加,且電漿會集中於晶圓W上而抑止擴散,解離空間會減少,其結果,氟碳系的處理氣體的解離會被抑止,可使光阻劑膜難以被蝕刻。
但,若於上部電極34施加直流電壓,則電子會積存於上部電極34,恐會有在與處理室10的內壁之間等發生異常放電之虞。為了抑止如此的異常放電,在本實施形態 中是將DC性接地的部份亦即GND區塊91設置於處理室壁側的附著物屏蔽11。此GND區塊91是露出於電漿面,電性連接至附著物屏蔽11的內部的導電部,從可變直流電源50施加於上部電極34的直流電壓電流是經處理空間來到達GND區塊91,經由附著物屏蔽11來接地。GND區塊91為導電體,最好為Si,SiC等的矽含有物質。C亦可適用。藉此GND區塊91,可使積存於上述上部電極34的電子逃離,可防止異常放電。GND區塊91的突出長度,較理想是10mm以上。上述GND區塊91只要設置於電漿形成領域即可,其位置並非限於圖1的位置。
又,上述GND區塊91只要設置於電漿形成領域即可,其位置並非限於圖1,例如設置於基座16的周圍等、設置於基座16側,或環狀設置於上部電極34的外側等、設置於上部電極34附近。
又,為了防止異常放電,在上部電極34施加直流電壓時,藉由適當的手段重疊於直流電壓而週期性地賦予圖3所示那樣極短的逆極性的脈衝來中和電子的方法亦有效。
其次,說明有關本發明的第2實施形態。
圖4是表示本發明的第2實施形態之電漿蝕刻裝置的概略剖面圖。並且,在圖4中,與圖1相同者賦予同樣的符號,而省略其說明。
在本實施形態中,是設置具有以下構造的上部電極 34'來取代實施形態1的上部電極34。上部電極34'是由和基座16取所定的間隔來對向配置的環狀或甜甜圈狀的外側上部電極34a、及被絕緣於該外側上部電極34a的半徑方向內側的狀態下配置的圓板狀的內側上部電極34b所構成。在外側上部電極34a與內側上部電極34b之間形成有例如0.25~2.0mm的環狀間隙,在此間隙中設有例如由石英所構成的介電質72。在外側上部電極34a與處理室10的側壁之間,例如由氧化鋁(Al2O3)所構成的環形狀的絕緣性遮蔽構件42會被氣密安裝。
外側上部電極34a是具有:電極板136a、及可裝卸支持該電極板136a的導電材料、例如表面被陽極氧化處理之由鋁所構成的電極支持體38a、及介在於電極支持體38a及電極板136a之間的鋁的陽極氧化皮膜等所構成的薄介電質膜39a。電極板136a較理想是以焦耳熱少的低電阻的導電體或半導體、例如以矽或SiC所構成。在外側上部電極34a經由和實施形態1同樣的整合器46、上部給電棒74、連接器98及給電筒100來電性連接和實施形態1同樣的第1高頻電源48。整合器46的輸出端子是被連接至上部給電棒74的上端。
給電筒100是由圓筒狀或圓錐狀或接近該等的形狀的導電板例如鋁板或銅板所構成,下端會在旋轉方向連續地連接於外側上部電極34a,上端會藉由連接器98來電性連接於上部給電棒74的下端部。在給電筒100的外側,處理室10的側壁會延伸至比上部電極34'的高度位置更上 方而構成圓筒狀的接地導體10a。此圓筒狀接地導體10a的上端部是藉由筒狀的絕緣構件74a來從上部給電棒74電性絕緣。在該構成中,由連接器98來看的負荷電路中,是藉由給電筒100及外側上部電極34a及圓筒狀接地導體10a來形成以給電筒100及外側上部電極34a作為導波路的同軸線路。
內側上部電極34b是具有:具有多數個氣體的氣體吐出孔37b的電極板136b、及可裝卸支持該電極板136b的導電材料、例如表面被陽極氧化處理之由鋁所構成的電極支持體38b。在電極支持體38b的內部設有以例如由O型環所構成的環狀隔壁構件43來分割的中心氣體擴散室40a及周邊氣體擴散室40b。從中心氣體擴散室40a及周邊氣體擴散室40b,連通至氣體吐出孔37b的多數個氣體通流孔41b會延伸至下方。然後,以中心氣體擴散室40a及設置於其下面的多數個氣體通流孔41b及連通至該等的多數個氣體吐出孔37b來構成中心淋浴頭,以周邊氣體擴散室40b及設置於其下面的多數個氣體通流孔41b及連通至該等的多數個氣體吐出孔37b來構成周邊淋浴頭。
在2個氣體擴散室40a,40b,由共通的處理氣體供給源66以所望的流量比來供給處理氣體。亦即,來自處理氣體供給源66的氣體供給管64會在途中分歧成2個分岐管64a,64b,連接至形成於電極支持體38b的氣體導入口62a,62b,來自氣體導入口62a,62b的處理氣體會到達氣體導入室40a、40b。在各個分岐管64a,64b設有 流量控制閥71a,71b,由於從處理氣體供給源66到氣體擴散室40a、40b的流路的電導相等,因此可藉由流量控制閥71a,71b來任意調整供給至中心氣體導入室40a及周邊氣體導入室40b的處理氣體的流量比。在氣體供給管64設有和實施形態1同樣質量流控制器(MFC)68及開閉閥70。如此,藉由調整導入中心氣體擴散室40a及周邊氣體擴散室40b的處理氣體的流量比,可任意調整自中心淋浴頭吐出的氣體的流量FC與自周邊淋浴頭吐出的氣體的流量FE的比率(FC/FE)。又,亦可使由中心淋浴頭及周邊淋浴頭所分別吐出的處理氣體的每單位面積的流量相異。又,亦可獨立或個別選定由中心淋浴頭及周邊淋浴頭所分別吐出的處理氣體的氣體種類或氣體混合比。
在內側上部電極34b的電極支持體38b經由整合器46、上部給電棒74、連接器98及下部給電棒76而電性連接有和實施形態1同樣的第1高頻電源48。
另一方面,在上述上部電極34'和第1實施形態同樣連接有可變直流電源50。具體而言,可變直流電源50會經由低通過濾器(LPF)58來連接至內側上部電極34b。可變直流電源50的極性、電壓、電流及繼電器電路52的開啟.關閉是和實施形態1同樣藉由控制器51來控制。
在如此構成的電漿蝕刻裝置中進行蝕刻處理時與第1實施形態同樣,首先將蝕刻對象的半導體晶圓W搬入處理室10內,載置於基座16上。然後,以所定的流量及流量比來從處理氣體供給源66將蝕刻用的處理氣體供給至 中心氣體擴散室40a及周邊氣體擴散室40b,經由氣體吐出孔37b來使吐出至處理室10內,且和第1實施形態同樣地藉由排氣裝置84來對處理室10內進行排氣而維持於設定壓力。
如此在處理室10內導入蝕刻氣體的狀態下,以所定的功率來從第1高頻電源48將電漿生成用的高頻電力(60MHz)施加於上部電極34'而點著.生成電漿,且以所定的功率由第2高頻電源90來將離子引入用的高頻電力(2MHz)施加於下部電極亦即基座16。然後,從可變直流電源50來將所定的電壓施加於內側上部電極34b。又,從直流電源22來將直流電壓施加於靜電夾頭18的電極20,而使半導體晶圓W固定於基座16。
從內側上部電極34b的氣體吐出孔37b吐出的蝕刻氣體是在藉由高頻電力而產生之上部電極34'與下部電極亦即基座16間的輝光放電中電漿化,藉由此電漿所生成的游離基或離子來蝕刻半導體晶圓W的被處理面。
在本實施形態中,如圖5的模式所示,將上部電極34'分離成外側上部電極34a及內側上部電極34b,藉由上部給電棒74及下部給電棒76及給電筒100的存在,將第1高頻電源48連接至外側上部電極34a及內側上部電極34b,將可變直流電源50只連接至內側上部電極34b。然後,在外側上部電極34a的外側電極板136a與電極支持體38a之間形成介電質膜39a,此介電質膜39a是以來自可變直流電源50的直流會遮斷,但來自第1高頻電源48 的高頻會通過之方式構成,因此高頻電流會流至外側電極板136a及內側電極板136b的雙方,但直流電流不會流至外側電極板136a,僅流至內側電極板136b。
此情況,在被施加直流電力的內側電極板136b的表面附近,藉由直流電壓來形成有厚的DC鞘層,藉此鞘層電容,高頻電力難以進入,直流電力所支配性的電漿會被形成。另一方面,在直流電流未流動的外側電極板136a,DC鞘層不會被形成,高頻電力所支配性的電漿會被形成。如此,自我整合地,對應於內側電極板136b的部分是形成直流電漿所支配,對應於外側電極板136a的部分是形成高頻電漿所支配。
因此,可藉由與第1實施形態同樣的機構來謀求電漿密度的均一化。又,亦可形成所望的電漿密度分布。
並且,在上部電極34'中,由於可將與半導體晶圓W正對向的內側上部電極34b當作淋浴頭兼用型,以中心淋浴頭和周邊淋浴頭來任意調整氣體吐出流量的比率,因此可於徑方向控制氣體分子或游離基的密度之空間分布,任意控制利用游離基之蝕刻特性的空間性的分布特性。
其次,說明有關本發明的第3實施形態。
圖6是表示本發明的第3實施形態之電漿蝕刻裝置的概略剖面圖。另外,圖6的裝置基本構造是與圖4的裝置相同,與圖4相同者賦予同樣的符號,而省略其說明。
在本實施形態中,在下部給電棒76的途中,設有可調變電容的可變電容器78的點、及在外側上部電極34a 中,在外側電極板136a與電極支持體38a之間未設有介電質膜的點與第2實施形態相異。該可變電容器78如後述,具有調整外側電場強度與內側電場強度的平衡之機能。
在本實施形態中,基本上是與上述第1及第2實施形態同樣地進行電漿蝕刻,但如圖7的模式所示,將上部電極34'分離成外側上部電極34a及內側上部電極34b,藉由上部給電棒74及下部給電棒76及給電筒100的存在來將第1高頻電源48連接至外側上部電極34a及內側上部電極34b,將可變直流電源50只連接至內側上部電極34b,在下部給電棒76設置可變電容器78,因此從高頻電源48及可變直流電源50給電時,高頻電流會流至外側電極板136a及內側電極板136b的雙方,但直流電流會藉可變電容器78遮斷而不會流至外側電極板136a,僅流至內側電極板136b。
此情況,在被施加直流電力的內側電極板136b的表面附近,同樣地藉由直流電壓來形成有厚的DC鞘層,藉此鞘層電容,高頻電力難以進入,直流電力所支配性的電漿會被形成。另一方面,在直流電流未流動的外側電極板136a,DC鞘層不會被形成,高頻電力所支配性的電漿會被形成。如此,對應於內側電極板136b的部分是形成直流電漿所支配,對應於外側電極板136a的部分是形成高頻電漿所支配。
因此,可藉由與第1實施形態同樣的機構來謀求電漿 密度的均一化。又,亦可形成所望的電漿密度分布。
本實施形態的情況,由於在內側電極板136b的表面附近形成有厚的DC鞘層,因此通常高頻電力幾乎會流至外側電極板136a,但來自可變直流電源50的直流電力較小時,可藉由使可變電容器78的電容變化,來某程度調整高頻電力的外側電極板136a與內側電極板137b的分配比。亦即,藉由使可變電容器78的電容變化,可使往內側電極板136b之給電線的阻抗或電抗增減,藉此可改變往外側電極板136a之給電線的電壓降下及往內側電極板136b之給電線的電壓降下的相對比率,進而能夠調整往外側電極板136a之投入高頻電力及往內側電極板136b之投入高頻電力的比率。
其次,說明有關本發明的第4實施形態。
本實施形態的電漿蝕刻裝置是其基本構成與圖1所示之第1實施形態的電漿蝕刻裝置相同,如圖8的模式所示,具有一體的電極板136被支持於電極支持體138的構造,且具備以電阻高的材料來構成電極板136的外側部分236a,以電阻低的材料來構成內側部分236b之上部電極134的點相異。然後,與第1實施形態同樣,在上部電極134的電極支持體138電性連接有第1高頻電源48及可變直流電源50。
電極板136的外側部分236a是來自可變直流電源50的直流電流實質上不會流動程度的高電阻,電極板136的內側部分236b是來自可變直流電源50的直流電流會充分 流動的低電阻。在形成如此的電阻差時,可適用摻雜技術、異種材料的貼合等各種的方法。
在本實施形態中,基本上是與上述第1~第3實施形態同樣進行電漿蝕刻,如圖8的模式所示,在電極支持體138連接第1高頻電源48及可變直流電源50,被支持於電極支持體138的電極板136為使用外側部分236a實質上不會有直流電流流動程度的電阻,內側部分236b充分流動有直流電流程度的低電阻者,因此高頻電流會流動至外側電極板236a及內側電極板236b的雙方,但直流電流不會流至外側電極板236a,僅流至內側電極板236b。
此情況,在被施加直流電力的電極板136的內側部分236b的表面附近,同樣地藉由直流電壓來形成有厚的DC鞘層,藉此鞘層電容,高頻電力難以進入,直流電力所支配性的電漿會被形成。另一方面,在直流電流未流動的外側部分236a,DC鞘層不會被形成,高頻電力所支配性的電漿會被形成。如此,對應於內側部分236b的部分是形成直流電漿所支配,對應於外側部分236a的部分是形成高頻電漿所支配。
因此,可藉由與第1實施形態同樣的機構來謀求電漿密度的均一化。又,亦可形成所望的電漿密度分布。
其次,說明有關本發明的第5實施形態。
圖9所示之本實施形態的電漿蝕刻裝置,其基本構成是與圖6、7所示之第3實施形態的電漿蝕刻裝置幾乎相同,僅未設置下部給電棒76及可變電容器78的點與第3 實施形態相異。因此,在圖9中賦予和圖6、7相同者同樣的符號,而省略說明。
本實施形態是將上部電極34'分離成外側上部電極34a及內側上部電極34b,藉由上部給電棒74及給電筒100的存在,將第1高頻電源48只連接至外側上部電極34a,將可變直流電源50只連接至內側上部電極34b。來自第1高頻電源48的高頻電流是僅流至外側電極板136a,來自可變直流電源50的直流電流是僅流至內側電極板136b。
此情況,在被施加直流電力的內側電極板136b的表面附近,形成有藉由直流電力所產生的電漿,另一方面,在被施加高頻電力的外側電極板136a的表面附近,形成有藉由高頻電力所產生的電漿。因此,可藉由與第1實施形態同樣的機構來謀求電漿密度的均一化。又,亦可形成所望的電漿密度分布。並且,在外側電極板的表面附近形成有只藉由高頻電力所產生的電漿,在內側電極板的表面附近形成有只藉由直流電力所產生的電漿,因此與上述第1~4實施形態相較之下,可進行控制性更佳之電漿密度的均一化,及所望的電漿密度分布的形成。
在以上的第1~第5實施形態中,可使用大致同樣的機構來謀求電漿密度的均一化,以下說明有關以圖6所示的第3實施形態的電漿蝕刻裝置來實際進行如此的電漿密度控制的結果。
在此,於圖6的裝置中蝕刻條件是將半導體晶圓裝入 處理室內而載置於基座上,處理氣體是分別以8mL/min,1000mL/min,150mL/min的流量來將C4F8氣體,Ar氣體,N2氣體導入處理室內,處理室內的壓力為6.7Pa,以60MHz來施加1000W的高頻電力於上部電極,以2MHz來施加3000W的高頻電力於下部電極亦即基座,使可變直流電源的電壓。可變電容器(CPI)的級(step)數為一定的136。測定此刻半導體晶圓上方的電漿的電子密度(電漿密度)的分布。將其結果顯示於圖10。圖10的直流電壓(DC)的值是以絶對值來表示,後述圖11、圖12的直流電壓(DC)的值也是以絶對值來表示。如圖10所示,半導體晶圓的中央部的電子密度會按照所施加的直流電壓的值而變化,直流電壓為300、450V程度時電子密度的均一性會特別變高。
其次,使用圖6的裝置,以相同的處理氣體組成,在同樣的壓力下,以60MHz來施加1200W的高頻電力至上部電極,以2MHz來施加2000W的高頻電力至下部電極亦即基座,而進行電漿蝕刻時,在可變電容器(CPI)的級數為一定的136,使可變直流電源的電壓變化時、及不從可變直流電源施加電壓下使可變電容器(CPI)的級數變化時測定電漿密度的分布。圖11是表示其結果。圖11的(a)是使可變直流電源的電壓變化時,(b)是使可變電容器(CPI)的級數變化時,兩者的電子密度的均一性同等,但利用直流電壓之電子密度的控制寬度大,電漿密度分布的自由度高。
其次,同樣使用圖6的裝置,在C4F8氣體為8mL/min、Ar氣體為0mL/min、N2氣體為150mL/min之無Ar的條件下,處理室內的壓力為6.7Pa,以60MHz來施加1000W的高頻電力至上部電極,以2MHz來施加3000W的高頻電力至下部電極亦即基座,而使可變直流電源的電壓變化成600V、900V。可變電容器(CPI)的級數為一定的136。測定此刻半導體晶圓上方的電漿的電子密度(電漿密度)的分布。將其結果顯示於圖12。如圖12所示,可在直流電壓為900V時取得大致均一的電子密度。在此氣體組成未施加直流電壓下僅可變電容器(CPI)無法取得電漿的均一性。
另外,在上述第1~5實施形態中,電漿蝕刻時,是從第1高頻電源48來將高頻電力施加於上部電極,從第2高頻電源90來將高頻電力施加於基座16,且將來自可變直流電源50的直流電壓施加於上部電極。在此,於洗滌處理室內部時,是從第1高頻電源48來將高頻電力施加於上部電極,從第2高頻電源90來將高頻電力施加於基座16,來自可變直流電源50的直流電壓是不施加於上部電極為理想。洗滌時,較理想是關閉繼電器電路52。
其次,說明有關本發明的第6實施形態。
在此實施形態的電漿蝕刻裝置中,如圖13所示,上部電極234是具有在電極支持體238之下經由高頻電流會通過但直流電流不會通過程度的厚度之介電質膜139來支持環上的外側電極板336a及其內側圓盤狀的內側電極板 336b之構成,直流電壓可從可變直流電源50來施加至外側電極板336a及內側電極板336b,可藉由開關241及242來開啟.關閉往該等的直流電壓。亦即,可自由選擇施加直流電壓至外側電極板336a及內側電極板336b的其中任何一個,或皆施加直流電壓,或皆不施加直流電壓。其他的構成則與圖1完全相同。
若利用如此的構成,則電漿蝕刻時可從可變直流電源50施加直流電壓至外側電極板336a以及內側電極板336b,且獨立開啟.關閉,因此可明顯提高電漿密度分布的控制性,可提高電漿密度分布的自由度。
如圖14所示,在上部電極234的外側更設置環狀的輔助電極235,在此輔助電極235連接可變直流電源50,而可藉由開關243來開啟.關閉,藉此如上述除了可提高電漿密度分布的自由度以外,藉由施加直流電壓至輔助電極235,使輔助電極235作為上部電極的部份機能,藉此更能提高電漿密度的均一性。
其次,說明有關本發明的第7實施形態。
在此實施形態的電漿蝕刻裝置中,如圖15所示,上部電極334是具有在電極支持體338之下形成具有電阻相異的部位之電極板436的構造。具體而言,在電極支持體338的最外側及中央部分別配置有成環狀及圓板狀的低電阻部分436a、436b,該等之間配置有高電阻部分436c。低電阻部分436a、436b是來自可變直流電源50的直流電流會充分流動程度的低電阻,高電阻部分436c是來自第 1高頻電源48的高頻電流會流動,但來自可變直流電源50的直流電流實質上不會流動程度的高電阻。其他的構成則與圖1完全相同。
若利用如此的構成,則電漿蝕刻時可從可變直流電源50來對最外側及中央部分施加直流電壓,在上部電極334的下面形成比第4實施形態時更細的高頻電場及直流電場的分布,更提高電漿密度分布的控制性。
其次,說明有關本發明的第8實施形態。
在此實施形態的電漿蝕刻裝置中,如圖16所示,上部電極434是具有在電極支持體438之下形成有使電阻變化的電極板536之構造。此電極板536是如圖16的下部所示,形成有中央部為低電阻往外側漸漸地形成高電阻那樣的電阻的梯度。然後,電阻最高的外側部分是來自第1高頻電源48的高頻電流會流動,但來自可變直流電源50的直流電流實質上不會流動程度的高電阻,中央部是來自可變直流電源50的直流電源為充分流動程度的低電阻。其他的構成則是與圖1完全相同。
若利用如此的構成,則電漿蝕刻時與第4實施形態時同樣,第1高頻電源48的高頻電流是流至電極板536的全部,在高電阻的外側部分,來自可變直流電源50的直流電流實質上不會流動。並且,外側部分與中央部分之間的電阻變化的部分是按照該電阻的量的直流電流會流動。藉此,在電極板536的外側部分、中央部分、及該等之間的部分,高頻電場及直流電場的分布會被形成,比第4實 施形態時更能提高電漿密度分布的控制性。
又,本發明並非限於上述實施形態,亦可實施各種變形。例如,上部電極並非一定要水平,如圖17所示,第1實施形態的上部電極34,例如亦可形成錐狀的外側電極板36a'部分。藉此,更可提高電漿的均一性。當然,在其他的實施形態中,若將上部電極形成同樣的構造,則亦可取得同樣的效果。
又,上述實施形態中是顯示有關對上部電極施加電漿形成用的高頻電力及直流電力時,但亦可適用於將該等施加於下部電極時。
又,只要不脫離本發明的範圍,亦可適當組合上述實施形態的構成要素,或部份拿掉上述實施形態的構成要素。
97‧‧‧記憶部
95‧‧‧控制部
96‧‧‧使用者介面
92‧‧‧低通濾波器(LPF)
48‧‧‧第1高頻電源
46‧‧‧整合器
52‧‧‧繼電器電路
50‧‧‧可變直流電源
51‧‧‧控制器
10a‧‧‧接地導體
44‧‧‧電棒
58‧‧‧低通過濾器(LPF)
44a‧‧‧絕緣構件
62‧‧‧氣體導入口
64‧‧‧氣體供給管
70‧‧‧開閉閥
39‧‧‧介電質膜
38‧‧‧電極支持體
40‧‧‧氣體擴散室
41‧‧‧氣體通流孔
42‧‧‧絕緣性遮蔽構件
68‧‧‧質量流控制器(MFC)
66‧‧‧氣體供給源
10‧‧‧處理室
91‧‧‧導電性構件
24‧‧‧聚焦環
18‧‧‧靜電夾頭
36b‧‧‧內側電極板
37‧‧‧氣體吐出孔
W‧‧‧半導體晶圓
20‧‧‧電極
34‧‧‧上部電極
36a‧‧‧外側電極板
86‧‧‧閘式閥
85‧‧‧搬出入口
26‧‧‧內壁構件
11‧‧‧附著物屏蔽
28‧‧‧冷媒室
16‧‧‧基座
14‧‧‧基座支持台
80‧‧‧排氣口
82‧‧‧排氣管
30a‧‧‧配管
22‧‧‧直流電源
32‧‧‧氣體供給線
12‧‧‧絕緣板
83‧‧‧排氣板
94‧‧‧高通濾波器
30b‧‧‧配管
88‧‧‧整合器
84‧‧‧排氣裝置
90‧‧‧第2高頻電源
He‧‧‧氣體

Claims (8)

  1. 一種電漿處理裝置,係具備:可真空排氣的處理容器,其係收容有被處理基板;第1電極及第2電極,其係對向於處理容器內而配置;高頻電源,其係施加高頻電力至上述第1電極;直流電源,其係施加直流電壓至上述第1電極;及處理氣體供給手段,其係供給處理氣體至上述處理容器內;在上述第1電極及上述第2電極之間生成處理氣體的電漿,而於被處理基板施以電漿處理;其特徵為:上述第1電極為上部電極,上述第2電極為載置被處理基板的下部電極,上述第1電極係具有面臨上述電漿而配置之複數個電極板、及經由介電質膜支持上述複數個電極板之一體構造的電極支持構件,上述高頻電源係連接至上述電極支持構件,上述複數個電極板係經由分別開啟.關閉直流電壓之施加的開關連接至上述直流電源,來自上述直流電源的直流電壓係施加至上述複數個電極板之至少一個或皆不施加。
  2. 如申請專利範圍第1項之電漿處理裝置,其中上述介電質膜係來自上述直流電源的直流電流會遮斷,來自上 述高頻電源的高頻會通過。
  3. 如申請專利範圍第1項之電漿處理裝置,其中可更具備環狀的輔助電極,其係設於上述複數個電極板之外側,上述輔助電極係經由開關連接於上述直流電源。
  4. 如申請專利範圍第1項之電漿處理裝置,其中可更具備控制裝置,其係控制來自上述直流電源的施加電壓或施加電流或施加電力。
  5. 如申請專利範圍第4項之電漿處理裝置,其中上述控制裝置,其係以可在上述電漿處理時施加上述高頻電力並且施加來自上述直流電源的直流電壓,在上述處理容器內部的洗滌時雖施加上述高頻電力但停止來自上述直流電源之直流電壓的施加之方式來予以控制。
  6. 如申請專利範圍第1~5項的其中任一項所記載之電漿處理裝置,其中可更具備離子引入用高頻電源,其係施加離子引入用的高頻電力至上述第2電極。
  7. 一種電漿處理方法,係使用電漿處理裝置(該電漿處理裝置係具備:可真空排氣的處理容器,其係收容有被處理基板;第1電極及第2電極,其係對向於處理容器內而配置;高頻電源,其係施加高頻電力至上述第1電極;直流電源,其係施加直流電壓至上述第1電極;及處理氣體供給手段,其係供給處理氣體至上述處理容器內;上述第1電極為上部電極,上述第2電極為載置被處理基板的下部電極,上述第1電極係具有面臨上述電漿而配置之複數個電極板、及經由介電質膜支持上述複數個電極板之一體 構造的電極支持構件,上述高頻電源係連接至上述電極支持構件,上述複數個電極板係經由分別開啟.關閉直流電壓之施加的開關連接至上述直流電源),在上述第1電極及上述第2電極之間生成處理氣體的電漿,而於被處理基板施以電漿處理,該電漿處理方法其特徵為:使來自上述直流電源的直流電壓成為施加至上述複數個電極板之至少一個或皆不施加的狀態,控制電漿密度分布。
  8. 如申請專利範圍第7項所記載之電漿處理方法,其中在上述電漿處理時施加上述高頻電力並且施加來自上述直流電源的直流電壓,在上述處理容器內部的洗滌時雖施加上述高頻電力但停止來自上述直流電源的直流電壓的施加。
TW103139546A 2005-03-31 2006-03-31 Plasma processing device and plasma processing method TWI544546B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005102953A JP4642528B2 (ja) 2005-03-31 2005-03-31 プラズマ処理装置およびプラズマ処理方法

Publications (2)

Publication Number Publication Date
TW201515099A TW201515099A (zh) 2015-04-16
TWI544546B true TWI544546B (zh) 2016-08-01

Family

ID=36617013

Family Applications (2)

Application Number Title Priority Date Filing Date
TW95111574A TWI473158B (zh) 2005-03-31 2006-03-31 Plasma processing device and plasma processing method
TW103139546A TWI544546B (zh) 2005-03-31 2006-03-31 Plasma processing device and plasma processing method

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW95111574A TWI473158B (zh) 2005-03-31 2006-03-31 Plasma processing device and plasma processing method

Country Status (5)

Country Link
EP (1) EP1708240B1 (zh)
JP (1) JP4642528B2 (zh)
KR (1) KR100886982B1 (zh)
CN (2) CN100446637C (zh)
TW (2) TWI473158B (zh)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5192209B2 (ja) * 2006-10-06 2013-05-08 東京エレクトロン株式会社 プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
JP4992389B2 (ja) * 2006-11-06 2012-08-08 東京エレクトロン株式会社 載置装置、プラズマ処理装置及びプラズマ処理方法
JP4754465B2 (ja) * 2006-11-30 2011-08-24 パナソニック株式会社 プラズマ処理装置およびそのクリーニング方法
JP2008172111A (ja) * 2007-01-15 2008-07-24 Tokyo Electron Ltd リフロー処理装置およびリフロー処理方法
US8268116B2 (en) * 2007-06-14 2012-09-18 Lam Research Corporation Methods of and apparatus for protecting a region of process exclusion adjacent to a region of process performance in a process chamber
US20080197015A1 (en) * 2007-02-16 2008-08-21 Terry Bluck Multiple-magnetron sputtering source with plasma confinement
JP5547366B2 (ja) 2007-03-29 2014-07-09 東京エレクトロン株式会社 プラズマ処理装置
JP5264238B2 (ja) * 2008-03-25 2013-08-14 東京エレクトロン株式会社 プラズマ処理装置
JP5294669B2 (ja) * 2008-03-25 2013-09-18 東京エレクトロン株式会社 プラズマ処理装置
JP2009239012A (ja) * 2008-03-27 2009-10-15 Tokyo Electron Ltd プラズマ処理装置及びプラズマエッチング方法
KR101117922B1 (ko) * 2008-03-27 2012-03-14 도쿄엘렉트론가부시키가이샤 전극 구조체 및 기판 처리 장치
JP5213496B2 (ja) 2008-03-31 2013-06-19 東京エレクトロン株式会社 プラズマエッチング方法及びコンピュータ読み取り可能な記憶媒体
WO2010004997A1 (ja) 2008-07-11 2010-01-14 東京エレクトロン株式会社 プラズマ処理装置
CN101740298B (zh) 2008-11-07 2012-07-25 东京毅力科创株式会社 等离子体处理装置及其构成部件
CN101754565B (zh) * 2008-12-03 2012-07-25 北京北方微电子基地设备工艺研究中心有限责任公司 一种电极组件及应用该电极组件的等离子体处理设备
JP5606063B2 (ja) 2009-12-28 2014-10-15 東京エレクトロン株式会社 プラズマ処理装置
JP5809396B2 (ja) * 2010-06-24 2015-11-10 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP5405504B2 (ja) * 2011-01-31 2014-02-05 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP5890609B2 (ja) * 2011-03-22 2016-03-22 東京エレクトロン株式会社 プラズマ処理装置
US10225919B2 (en) * 2011-06-30 2019-03-05 Aes Global Holdings, Pte. Ltd Projected plasma source
US20140256147A1 (en) 2011-09-26 2014-09-11 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US9184030B2 (en) 2012-07-19 2015-11-10 Lam Research Corporation Edge exclusion control with adjustable plasma exclusion zone ring
CN102958264B (zh) * 2012-11-20 2015-04-22 浙江大学 一种基于催化剂反电晕沿面击穿的等离子体发生装置及方法和应用
JP6151605B2 (ja) * 2013-08-14 2017-06-21 株式会社ディスコ プラズマエッチング装置
JP6556046B2 (ja) * 2015-12-17 2019-08-07 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
US9773643B1 (en) * 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
CH715877A1 (de) * 2019-02-26 2020-08-31 Oerlikon Surface Solutions Ag Pfaeffikon Vakuumkammer mit Elektrodenanordnung für eine Plasmaquelle zur Durchführung von Plasmabehandlungen.
JP7345382B2 (ja) 2018-12-28 2023-09-15 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
JP2021038452A (ja) * 2019-09-05 2021-03-11 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
KR102399398B1 (ko) * 2021-09-27 2022-05-18 아리온주식회사 알에프 스플리트 조정 시스템

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US684915A (en) * 1901-06-20 1901-10-22 Stephen V White Spring button-hook.
US5330606A (en) 1990-12-14 1994-07-19 Matsushita Electric Industrial Co., Ltd. Plasma source for etching
US6391147B2 (en) 1994-04-28 2002-05-21 Tokyo Electron Limited Plasma treatment method and apparatus
US6042686A (en) * 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
JPH0997783A (ja) * 1995-09-28 1997-04-08 Nec Corp プラズマ処理装置
US6500314B1 (en) * 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
JP4230029B2 (ja) * 1998-12-02 2009-02-25 東京エレクトロン株式会社 プラズマ処理装置およびエッチング方法
JP4454718B2 (ja) * 1999-05-07 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置およびそれに用いられる電極
JP3411539B2 (ja) * 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
TWI246873B (en) * 2001-07-10 2006-01-01 Tokyo Electron Ltd Plasma processing device
JP4584565B2 (ja) * 2002-11-26 2010-11-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP4456412B2 (ja) * 2004-05-27 2010-04-28 株式会社日立製作所 プラズマ処理装置

Also Published As

Publication number Publication date
JP2006286813A (ja) 2006-10-19
TW200644117A (en) 2006-12-16
EP1708240A1 (en) 2006-10-04
TWI473158B (zh) 2015-02-11
CN1842243A (zh) 2006-10-04
CN100446637C (zh) 2008-12-24
KR20060105670A (ko) 2006-10-11
EP1708240B1 (en) 2015-07-15
CN101431854B (zh) 2013-05-01
TW201515099A (zh) 2015-04-16
KR100886982B1 (ko) 2009-03-04
JP4642528B2 (ja) 2011-03-02
CN101431854A (zh) 2009-05-13

Similar Documents

Publication Publication Date Title
TWI544546B (zh) Plasma processing device and plasma processing method
US10529596B2 (en) Capacitive coupling plasma processing apparatus and method for using the same
TWI424792B (zh) Plasma processing device and plasma processing method
KR101061673B1 (ko) 플라즈마 처리 장치와 플라즈마 처리 방법 및 기억 매체
JP4704088B2 (ja) プラズマ処理装置
JP5922218B2 (ja) 電源システム及びプラズマ処理装置
TWI587384B (zh) Plasma processing device and plasma processing method (1)
JP6120527B2 (ja) プラズマ処理方法
US7692916B2 (en) Capacitive coupling plasma processing apparatus and method
KR20120067301A (ko) 플라즈마 처리 장치, 플라즈마 처리 방법 및, 기억 매체
JP5674280B2 (ja) プラズマ処理装置
JP5323303B2 (ja) プラズマ処理装置
JP2011103489A (ja) プラズマ処理装置およびプラズマ処理方法
JP5312369B2 (ja) プラズマ処理装置およびプラズマ処理方法