KR20060090745A - 플라즈마 처리 장치용 측면 rf 코일 및 측면 히터 - Google Patents

플라즈마 처리 장치용 측면 rf 코일 및 측면 히터 Download PDF

Info

Publication number
KR20060090745A
KR20060090745A KR1020060011089A KR20060011089A KR20060090745A KR 20060090745 A KR20060090745 A KR 20060090745A KR 1020060011089 A KR1020060011089 A KR 1020060011089A KR 20060011089 A KR20060011089 A KR 20060011089A KR 20060090745 A KR20060090745 A KR 20060090745A
Authority
KR
South Korea
Prior art keywords
coil
plasma
chamber
coupled
heater
Prior art date
Application number
KR1020060011089A
Other languages
English (en)
Other versions
KR101198439B1 (ko
Inventor
마올린 롱
데이비드 피. 선
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20060090745A publication Critical patent/KR20060090745A/ko
Application granted granted Critical
Publication of KR101198439B1 publication Critical patent/KR101198439B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

RF 플라즈마 발생 및 온도 제어 시스템은 플라즈마 프로세스 챔버에 유도 결합된다. 플라즈마 발생 시스템은 길게연장된 하부 가열 부재와 실질적으로 평행한 길게 연장된 상부 가열 부재를 포함하는 히터를 포함하며, 상부 및 하부 가열 부재는 상기 상부 및 하부 가열 부재와 실질적으로 수직인 하나 이상의 포스트에 의해 결합된다. 또한 상기 시스템은 포스트와의 중첩 지점에 크리스를 특징화하는 하나 이상의 RF 코일을 포함한다. 또한, RF 플라즈마 발생 시스템은 플라즈마 프로세스 챔버와 유도 결합되며, 플라즈마 발생 시스템이 챔버와 열적으로 결합된 히터를 포함하고, 하나 이상의 RF 코일은 챔버와 결합되며, RF 코일은 적어도 하나의 평탄 측면을 갖는 중공 튜브를 포함한다.

Description

플라즈마 처리 장치용 측면 RF 코일 및 측면 히터{SIDE RF COIL AND SIDE HEATER FOR PLASMA PROCESSING APPARATUS}
도 1a는 종래의 RF 측면 코일과 히터 어셈블리의 확대도;
도 1b는 종래의 RF 측면 코일과 히터 어셈블리의 조립도;
도 1c는 종래의 RF 측면 코일과 히터 어셈블리의 평면도;
도 1d는 도 1c에 도시된 3개 지점에서 취한 종래의 RF 측면 코일과 히터 어셈블리의 단면도;
도 1e는 종래의 RF 코일과 히터 어셈블리에서 히터의 전기적 접속을 나타내는 3차원도;
도 2a는 본 발명의 실시예에 따른 RF 측면 코일과 히터 어셈블리의 확대도;
도 2b는 본 발명의 실시예에 따른 RF 측면 코일과 히터 어셈블리의 조립된 측면도;
도 2c는 본 발명의 실시예에 다른 RF 측면 코일과 히터 어셈블리의 평면도;
도 2d는 도 2c에 도시된 2개 지점에서 취한 RF 코일, 및 RF 측면 코일의 단면도;
도 3a는 어셈블리의 열 팽창 및 수축에 의해 야기되는 이동 방향을 나타내는 종래의 RF 코일과 히터 어셈블리의 평면도;
도 3b는 시스템의 열 팽창 및 수축에 의해 야기되는 이동 방향을 나타내는 본 발명의 실시예에 따른 RF 코일 및 히터 시스템의 평면도;
도 4는 본 발명의 추가 실시예에 따른 RF 측면 코일 및 히터 어셈블리의 확대도;
도 5a 및 도 5b는 본 발명의 실시예에 따른 플라즈마 화학적 기상 증착 시스템의 수직, 단면도
* 도면의 주요 부호에 대한 간단한 설명*
200 : 히터 시스템 201: 하부 가열 부재
202: 히터 어셈블리 203: 상부 가열 부재
204: 접지 탭 205: 포스트
206: RF 코일 208: 크리스
211: RF 공급 입력부 213: RF 공급 출력부
215, 217: 포트 220 : 돔
반도체 장치를 제조하는 몇가지 방법은 프로세싱 챔버내에서 발생된 또는 유지된 플라즈마를 이용한다. 챔버내에서의 플라즈마 특성은 에칭 또는 화학적 기상 증착과 같은 제조 프로세스의 결과에 중요한 작용을 한다.
예를 들어, 발생된 플라즈마의 양은 다른 특징들중에서 균일성, 플라즈마 전 위, 및 전자 온도에 의해 특성화될 수 있다. 플라즈마 반응기의 형태중 하나로는 유도 결합된 플라즈마 반응기가 있다. 이러한 반응기에서 플라즈마는 통상적으로는 돔(dome)의 상부 및/또는 돔의 외부 측벽 부근에 위치된 RF 코일(또한 안테나로서 간주됨)에 의해 진공 챔버 내부에서 발생된다.
코일에서 RF 전류를 조절함으로써, 이온 밀도가 제어될 수 있다. 이온 에너지는 통상적으로 RF 바이어스라 불리며, 웨이퍼 페데스탈에 접속된 다른 RF 전력에 의해 제어된다. 그러나, 이온 에너지는 단일-에너지(mono-energetic)가 아니며, 이온 에너지 분포는 제한되지는 않지만, 바이어스 전력 및 주파수, 이온 종, 및 플라즈마 밀도를 포함하는 다양한 요인에 따라 좌우된다.
웨이퍼 상에서의 프로세스 특성에 영향을 미치는 요인중 하나는 돔 온도 변동이다. 돔 온도의 변동은 웨이퍼상에 증착되어 증착된 재료막의 재현성을 결여시키는 미립자를 발생시킬 수 있다. 또한, 돔 영역 위에서 온도의 변화는 추후에 돔 파손을 야시킬 수 있는 과도한 열적 스트레스를 발생시킬 수 있다.
플라즈마 발생 챔버의 설계자가 직면하는 또다른 문제는 RF 코일과 플라즈마 이온간의 용량성 결합이다. 코일과 플라즈마 사이의 용량성 결합 증가는 돔 표면 부근에서 상승된 플라즈마 전위를 발생시킬 수 있어, 돔 표면상에 충돌하는 이온들의 에너지를 증가시킨다. 높은 이온 에너지는 RF 코일에 인접한 돔 벽상에 과도한 이온 충돌을 야기시켜, 오염 미립자의 수 및 세라믹 돔의 온도를 증가시킨다.
용량성 결합을 감소시키기 위해 다수의 기술들이 단독으로 또는 조합하여 사용될 수 있다. 이러한 방안으로, RF 코일과 발생된 플라즈마 사이에 패러데이 실 드(Faraday Shield)가 위치될 수 있다. 패러데이 실드는 RF 코일의 와인딩(winding)에 직교하는 주축(major axis)을 갖는 폭이좁고 길게연장된 개구부들을 포함하는 접지된 도전층이다. 이들 개구부들은 챔버로 진입하는 자속이 플라즈마를 형성하고 유지하게 하며, 패러데이 실드에 유도되는 성향이 있는 임의의 와전류를 억제하는 작용을 한다. 패러데이 실드의 도전성 및 전기적으로 접지된 평면은 코일의 높은 RF 전압으로부터 플라즈마의 용량성 결합을 감소시킨다.
반도체 장치의 제조에서 플라즈마-기반 프로세스의 중요성과 관련하여, 플라즈마-기반 제조 장치의 강화된 보존성 및 신뢰성을 허용하는 방법 및 구조물이 요구된다.
본 발명의 목적은 플라즈마-기반 제조 장치의 보전성 및 신뢰성을 강화시키는 것이다.
본 발명의 실시예들은 유도 결합된 플라즈마 프로세스 챔버에 대해 RF 플라즈마 발생 및 온도 제어 시스템을 포함한다. 플라즈마 발생 시스템은 길게연장된 하부 가열 부재에 실질적으로 평행한 길게연장된 상부 가열 부재를 갖는 히터를 포함하며, 상기 상부 및 하부 가열 부재는 상부 및 하부 가열 부재에 실질적으로 수직인 하나 이상의 포스트(post)에 의해 결합된다. 또한 상기 시스템은 포스트와 중첩되는 지점에서 크리스(crease)로 특징화되는 하나 이상의 RF 코일을 포함한다.
본 발명의 실시예들은 유도 결합된 플라즈마 프로세스 챔버에 대해 RF 플라 즈마 발생 및 온도 제어 시스템을 포함한다. 플라즈마 발생 시스템은 챔버에 열적으로 결합된 히터, 및 챔버에 결합된 하나 이상의 코일을 포함하며, RF 코일은 적어도 하나의 평탄 측면을 갖는 중공 튜브를 포함한다.
또한 본 발명의 실시예들은 기판을 처리하기 위해 플라즈마 화학적 기상 증착 시스템을 포함한다. 상기 시스템은 플라즈마 처리 영역에 대해 상부 경계를 한정하는 돔을 갖는 챔버를 포함하며, 상기 처리 영역에 대한 하부 경계는 기판의 상부 표면에 의해 한정된다. 또한 상기 시스템은 돔의 측면부에 부착된 히터를 포함한다. 히터는 길게연장된 하부 가열 부재와 실질적으로 평행한 길게연장된 상부 가열 부재를 포함하며, 상기 상부 및 하부 가열 부재는 상부 및 하부 가열 부재에 실질적으로 수직인 하나 이상의 포스트에 의해 결합된다. 또한, 시스템은 돔의 상부에 결합된 상부 코일을 갖는 소스 플라즈마 시스템, 및 상부 및 하부 가열 부재 사이에 위치된 적어도 하나의 RF 코일을 갖지며 포스트와 중첩되는 지점에서 크리스로 특성화되는 측면 코일을 포함한다.
본 발명의 또다른 실시예들은 플라즈마 챔버의 온도를 제어하는 방법을 제공한다. 상기 방법은 챔버 측벽 부근에, 길게연장된 제 2 가열 부재와 실질적으로 평행한 길게연장된 제 1 가열 부재를 포함하는 히터를 배치시키는 단계를 포함한다. 제 1 및 제 2 가열 부재는 가열 부재들과 실질적으로 수직인 하나 이상의 포스트에 의해 결합될 수 있다. 또한 상기 방법은 제 1 가열 부재와 제 2 가열 부재 사이의 챔버 측벽 부근에 냉각 부재를 배치하는 단계를 포함한다. 냉각 부재는 포스트와 중첩하는 지점에서 크리스를 포함할 수 있고, 냉각 부재와 제 1 및 제 2 가 열 부재는 챔버와의 물리적 접촉을 방지하고 챔버와의 열적 상호작용을 최대화시킨다.
본 발명에 따른 실시예들에 대한 추가적 이해는 첨부되는 도면을 참조로 상세한 설명을 통해 이루어질 수 있다.
도 1a 및 도 1b는 종래의 측면 RF 코일 및 히터 어셈블리(100)의 확대도 및 조립도이다. 어셈블리는 프레임(106)에 의해 동심원적으로 정렬되고 결합된 히터(102) 및 RF 코일(104)을 포함한다. 히터(102), RF 코일(104) 및 프레임(106)은 상호접속부(120) 및 어셈블리(130)에 의해 결합될 수도 있다.
도 1c는 종래의 측면 RF 코일 및 히터 어셈블리(100)의 평면도이다. 상기 도면은 히터(102)와 RF 코일(104)의 동심의 공면 배열을 나타낸다. RF 코일(104)은 RF 코일(104)의 와인딩 외부로 연장되며 지지되는 프레임(106)에 의해 히터(102)를 기준으로한 위치에 고정될 수 있다. 어셈블리(100)는 플라즈마 챔버의 플라즈마 발생 공간 한정을 돕는 돔 측벽(미도시)의 외측 부근에 위치될 수 있다. 이러한 구성에서, 히터(102)는 RF 코일(104) 보다 돔 측벽에 가깝게 위치된다. 또한 히터(102)는 RF 코일(104)이 플라즈마 챔버를 냉각시키기 위한 냉각 유체를 순환시키는 경우 돔 측벽과 함께 냉각될 수 있다.
도 1d는 히터(102)와 RF 코일(104)에 대해 돔 측벽(110)의 위치를 추가로 나타낸다. 섹션 A-A는 도 1c의 라인 A에서 어셈블리(100)의 하나의 권선(turn)의 단면도를 나타낸다. 섹션 B-B는 도 1c의 라인 B에서 어셈블리(100)의 또다른 단면도를 나타낸다. 섹션 C-C는 라인 C에서 어셈블리(100)의 또다른 단면도를 나타낸다. 섹션 C-C에서, 프레임(106)은 섹션 A-A의 프레임(106)의 비교 부분보다 짧고 얇다.
도 1e는 도 1a 및 도 1b에서 어셈블리(130)의 3차원도를 나타낸다. 어셈블리(130)는 AC 전력원(미도시)의 접속을 위한 도관(122A, 122B)을 포함한다. 도시된 실시예에서, 히터(102)는 도관(122A)에 근접하게 위치된 제 1 쌍(102A) 및 도관(122B)으로부터 연장되는 제 2 쌍(102B)을 갖는 2쌍의 와이어를 포함한다. 전력원 입력부(미도시)가 폐루프 온도 제어기를 통해 제 1 및 제 2 쌍(102A, 102B)에 접속되어 세라믹 돔의 측벽의 온도를 조절한다. 도관(122A, 122B)은 개구부(126)에 수용되는 파스너(미도시)에 의해 어셈블리(100)의 위치에 고정될 수 있는 전기적 브래켓(124)에 의해 제위치에 고정된다.
도 1a-1e의 종래의 측면 RF 코일 및 히터 어셈블리는 챔버에서의 플라즈마 처리 수행에 적합하지만, 다양한 개선안이 가능하다. 예를 들어, 히터(102)의 작동에 의해 발생되는 열팽창력 및 수축력이 프레임으로 전송될 수 있다. 소정의 경우, 예를 들어 상기 힘의 크기가 큰 경우 및/또는 열팽창 및 수축이 너무 많이 반복된 이후, 금속 피로(fatigue) 및 코일 깨짐이 야기된다.
또한, 가열 부재와 냉각 부재(예를 들어, 중공의 RF 코일) 간의 동시적인 물리적 위치 및 근접한 물리적 접촉은세라믹 돔에 대한 가열 및 냉각 효율성을 감소시키며, 이는 온도 조절 응답이 느리거나 돔 온도가 주어진 시간 주기에서 설정치로 조절될 수 없다는 것을 의미한다.
따라서, 도 2a는 본 발명의 실시예에 따른 RF 측면 코일 및 히터 어셈블리(200)의 확대도를 나타낸다. 시스템(200)은 히터(202) 및 측면 RF 코일(206)을 포 함한다. 히터는 챔버의 외주변을 따라 배향된 도전 재료로 길게연장되며 실질적으로 서로 평행한 한쌍의 히터 세그먼트(201, 203)를 포함한다. 2개의 히터 부재는 평행하게 길게연장된 가열 부재(201, 203)와 실질적으로 수직인 포트스(205)에 의해 결합된다. 포스트(205)는 플라즈마 챔버(미도시)를 향해 방사상 안쪽방향으로 면하는 챔버 측면 및 RF 코일(206)을 향해 방사상 바깥방향으로 면하는 맞은편 측면(즉, 코일 측면)을 포함한다.
도시된 실시예에서, 측면 RF 코일(206)은 가열 부재(201, 203) 사이에 위치된 2개의 권선(207, 209)을 포함하며 포스트가 권선(207, 209)과 교차하는 지점에서 크리스(208)를 나타낸다. 크리스(208)는 RF 코일(206)이 포스트(205)를 수용하기 위한 추가적 공간을 형성하게 한다. 소정의 실시예에서, 유전체(예를 들어, 세라믹 또는 Vespel) 스페이서는 히터 포스트(205)와 중첩 코일 사이에 전기적 절연을 제공한다.
크리스(208)는 RF 코일(206)의 논-크리스드(non-creased) 부분이 플라즈마 챔버(미도시)의 벽에 근접하도록 허용한다. 벽에 대한 코일(206)의 근접함은 코일(206)을 통해 순환하는 냉각 유체에 의해 챔버의 신속하고 보다 집중된 냉각을 허용한다. 소정의 실시예에서, 코일(206)의 논-크리스드 부분은 플라즈마 챔버의 돔 측벽과 물리적으로 접촉할 수 있다. 이들 논-크리스드 코일 부분은 히터(202)와의 물리적 접촉을 방지하여 돔 측벽의 냉각 및 열적 상호 작용을 최대화시킨다.
RF 코일(206)의 권선(207, 209)은 권선간(inter-turn) 접속부(212)를 통해 전기적으로 결합될 수 있다. 이러한 구성에서, RF 전력원(미도시)은 상부 권선 (207)의 한쪽 단부에 전기적으로 결합되는 RF 공급 입력부(211)와 결합된다. 전기적 전력은 출력 단부에 도달할 때까지 상부 권선(207)의 입력 단부로부터의 경로를 따르며, 권선간 접속부(212)를 통해 하부 권선(209)에서 교차된다. 다음 하부 권선(209)의 시작 단부로부터 하부 출력 단부로 경로가 진행되어, RF 공급 출력(213)에 전기적으로 접속된다. RF 공급 출력(213)은 RF 전력원(미도시) 상의 복귀 단자, 또는 접지 노드와 결합된다.
권선간 접속부(212)는 제 1 및 제 2 권선(207, 209)이 코일 상에 과도한 스트레스가 야기되지 않고 온도 변화에 따라 팽창 및 수축되도록 플랙시블한 도전 재료로 구성될 수 있다. 이는 코일(206) 깨짐을 유도할 수 있는 금속 피로를 감소시킨다.
또한 권선(207, 209)은 코일이 세라믹 돔을 냉각시키는 수단으로서 작용하도록, 냉각 유체의 순환을 위한 포트(215, 217)를 포함할 수도 있다. 포트(215, 217)는 각각 냉각 유체용 입구 및 출구를 포함한다. 입구는 유체 공급 도관(미도시)에 접속되는 반면, 출구는 유체 복귀 도관(미도시)에 접속될 수 있다. 냉각 유체는 통상적으로 탈이온수이지만, 다른 냉각 유체가 사용될 수도 있다. 냉각 유체는 실온(예를 들어 약 19℃ 내지 약 23℃)에서 코일(207, 209)에 진입하고 예를 들어 약 50℃ 내지 약 60℃에서 코일을 벗어난다.
앞서 주목한 바와 같이, RF 코일(206)의 상당부는 냉각 유체의 실시간/온라인 유속 제어를 이용함으로써 보다 빠르고 보다 효과적인 챔버 냉각을 허용하는, 세라믹 돔의 측벽과 물리적으로 직접 접촉할 수 있다(예를 들어, 냉각 유체의 유속 은 돔을 가열하는 동안 감소되며 냉각 유체의 유속은 돔을 냉각하는 동안 증가된다).
히터(202)는 하부 부분(201), 상부 부분(203), 및 다수의 수직 포트스(205)를 포함한다. 도 2a에 도시된 실시예에서, 하부 가열 부재(201)는 상기 부재(201)에서 제 1 및 제 2 단부를 형성하는 단일 브레이크(single break)를 갖는다. 이런 방식으로, 히터 어셈브리(202)의 금속 하우징은 폐루프를 형성하지 않고 AC 전력원에 대한 접속부는 내부 가열 부재가 하우징에서 U자-권선 형상으로 배열되는 경우 하우징의 한쪽 단부로부터 연장될 수 있다. 히터의 하우징 내부의 가열 부재에 흐르는 AC 전류에 의해 열이 발생되며, 가열 부재는 텅스텐 와이어와 금속 하우징 사이의 유전체층에 의해 금속 하우징으로부터 전기적으로 절연될 수 있는 텅스텐 와이어로 구성될 수 있다.
하부 부재(201)는 전기적으로 히터(202)를 접지시키기 위한 경로를 제공하는 하나 이상의 접지 탭(204)에 전기적으로 접속된다. 접지 탭(204)은 챔버 뚜껑과 같은 접지된 챔버의 일부(미도시), 또는 접지시키기 위한 다른 소정의 도체에 부착될 수 있다. 선택적으로, 접지 탭(204)은 하부 부재(201)에 접속되지 않아, 히터(202)는 전기적으로 플로팅된다.
상부 부재(203)는 다수가 이격된 상부 부재 세그먼트로 나뉜다. 다수의 세그먼트로의 상기 부재 분할은 RF 코일(미도시)에 의해 방출되는 RF 전력에 의해 부재(203)에 발생된 유도 전류의 양을 감소시킨다. 부재(203)는 2개 이상의 상부 부재 세그먼트(예를 들어, 2 내지 50개의 세그먼트)로 나뉘며, 각각의 상부 부재 세 그먼트는 수직 포스트(205)에 의해 하부 부재(201)에 결합된다.
도 2b는 본 발명의 실시예에 따른 RF 측면 코일 및 히터 어셈블리(200)의 조립된 측면도이다. 상기 도면은 플라즈마 챔버 내주(222)의 상부 영역 한정을 돕는 돔(220)의 측벽 부근의 RF 발생기(206) 및 히터(202)의 배향을 나타낸다. 돔 측벽(220)과 직접 접촉하는 가열 부재(201, 203) 및 포스트(205)의 표면적은 종래의 히터에 비해 일반적으로 작다(예를 들어, 종래의 히터에 대해 직접 접촉 표면적 보다 약 0.6배 이하). RF 발생기(206)의 코일(207, 209)은 하부 및 상부 히터 부재(201, 203) 사이에 위치된다. 가열 부재(201, 203)는 RF 코일(207, 209)과 방사상 공면이 아니기 때문에, 코일(207, 209)에 의해 방출된 RF 전력에 의해 부재(201, 203)에 발생된 유도 전류의 양이 감소된다.
도 2c는 RF 측면 코일 및 히터 어셈블리(200)의 평면도이다. 권선(207, 209)에서의 크리스(208)와 히터(202)의 포스트(205) 사이에 형성된 갭(219)은 탄성 재료, 또는 선택적으로 히터(202)와 RF 발생기(206)와 함께 결합되는 에너지 흡수 플라스틱(예를 들어, VESPEL
Figure 112006008574903-PAT00001
과 같은 폴리머)으로 채워질 수 있다. 상기 재료는 히터(202)가 열팽창 및 수축을 받게 됨에 따라 변형되어, 코일 권선(207, 209) 부근에서 응력 및 피로를 감소시킨다.
도 2d는 본 발명의 실시예에 다른 예시적인 RF 코일(225)의 단면도이다. RF 코일(225)은 실질적으로 평탄한 적어도 하나의 측면을 갖는다. 특히, 코일(225)은 직사각형 형상으로 4개의 측면상에 평탄 표면을 포함하며, 이들 측면중 하나는 히터 포스트 및 플라즈마 발생 챔버를 면하고 있다. 다른 실시예들은 D자-형 상(미도시)인 코일을 포함하며 포스트와 챔버를 면하는 평탄 측면을 포함하는 반면, 굽은 측면은 반대 방향을 면하고 있다. 적어도 하나의 평탄 측면을 갖는 코일의 내부 단면 영역은 일반적으로 실린더형 튜브의 내부 단면 영역보다 크다(예를 들어, 실린더형 튜브의 단면 영역의 적어도 약 1.5배).
코일(225)의 평탄 표면은 표면으로부터 RF 전력의 보다 단방향성 방출을 제공하여, 챔버의 플라즈마 발생 영역으로 향하는 RF 전력의 양을 증가시키고 챔버의 측벽과 평행하게 연장되는 RF 전력을 감소시킨다. RF 전력의 보다 단방향성 방출은 보다 효율적인 플라즈마 발생 시스템(즉, 동일한 플라즈마 밀도를 발생시키기 위해 RF 전력을 덜 요구하거나, 또는 대략 동일한 RF 전력으로부터 발생되는 보다 높은 밀도를 허용)을 형성하며 중심부가 높고 에지부가 낮은 개선된 프로파일을 갖는 플라즈마 밀도 프로파일을 제공하여, 보다 일정한 중심부-대-에지부 갭충진 결과를 제공한다. 또한, 보다 단방향성인 전력은 측벽 가열, 플라즈마 미스디렉션(misdirection), 및 플라즈마 챔버의 돔 측벽에 평행하게 연장되는 RF 전력 성분에 의해 야기되는 플라즈마 아킹을 감소시킨다.
도 2d는 도 2c의 라인 A 및 B에서 취한 RF 측면 코일과 히터 시스템(200)의 단면도를 나타낸다. 단면 A-A는 돔(220)의 측벽과 직접 접촉하는 코일(207, 209)의 평탄 표면을 나타낸다. 코일(207, 209)로부터 돔(220)으로의 평균 RF 결합 간격은 종래의 측면 RF 어셈블리에서 보다 짧다(예를 들어, 종래의 측면 RF 어셈블리에 대해 RF 결합 간격은 약 0.85배 이하임). 또한, 코일(207, 209)은 하부 가열 부재(201) 위에 위치된다. 상부 가열 부재는 상부 가열 부재의 세그먼트들 사이의 갭이 교차하는 섹션 A-A에 도시되지 않는다. 코일(207, 209)의 크리스(208)의 정점에서 취한 단면 B-B는 공간(219)을 채우는 탄성 재료 또는 에너지 흡수 플라스틱에 의해 포스트(205)와 결합된 평탄한 표면의 코일(207, 209)을 나타낸다. 히터 및 RF 발생기의 열팽창 및 수축이 포스트(205)와 코일(207, 209)이 서로를 밀고 당기게 야기시킴으로써 탄성 재료가 연장 및 압축될 수 있다.
도 3a 및 도 3b는 종래의 RF 코일 및 히터 어셈블리(300), 및 본 발명의 실시예에 따른 RF 코일 및 히터 어셈블리의 열팽창 및 수축에 의해 야기되는 이동을 나타내는 평면도이다. 도 3a는 히터 및 브래켓(302, 306)에 의해 야기되는 열적 변화가 RF 발생기(304)의 코일상에서 방사상 유도된 힘(308)에 가해지는 것을 나타낸다. 또한 도 3a는 열팽창 및 수축이 원형 어셈블리의 외주변에 따라 유도된 접선힘(310)을 어떻게 발생시키는지를 나타낸다. 접선힘(310)은 상호접속 영역(312)에서 응력을 야기시킬 수 있고 돔을 파손시킬 수 있다.
대조적으로, 도 3b는 본 발명의 실시예에 따른 시스템(320)의 열팽창 및 수축에 발생되는 힘을 나타낸다. 이러한 팽창 및 수축은 크리스의 존재에 의해 쉽게 수용되어 접선힘(322)을 발생시킨다. 상호접속 영역(324)에 결합된 RF 코일(326)의 단부에서 야기되는 응력은 코일(326) 상에 과도한 응력을 유도하지 않고 코일 단부가 이동할 수 있게 플랙시블한 도전 재료로 접속부를 형성함으로써 완화될 수 있다. 이는 열팽창 및 수축에 의해 야기되는 코일(326)의 피로 및 응력 손상을 감소시킬 수 있다.
시스템(320)에서, 히터(328)와 RF 코일(326) 사의의 탄성 결합은 작동시 히 터(328)의 열팽창에 의해 야기되는 RF 코일(326)상의 스트레인(strain)을 감소시킨다. 히터(328)의 팽창에 의해 발생된 방사상 유도된 힘(미도시)은 크리스(330)의 탄성 재료 또는 에너지 흡수 플라스틱에 대해 가압된다. 이들 재료는 이동 히터(328)에 의해 야기된 스트레인의 적어도 일부를 흡수한다. 스트레인의 적어도 일부는 크리스의 재료에 의해 RF 코일(326)로부터 멀리 전송되기 때문에, RF 코일(326)을 상당 간격으로 이동시키기 위해 남아있는 힘이 충분치 않아, 코일의 피로 및 깨짐이 감소된다.
도 4는 본 발명의 추가 실시예에 따른 RF 측면 코일 및 히터 시스템(400)의 확대도를 나타낸다. 시스템(400)에서 히터(402)는 다수의 가열 부재 세그먼트로 나뉜 하부 가열 부재(401)와 상부 가열 부재(403)를 포함한다. 다수의 세그먼트로 가열 부재(401, 403)를 분리함으로써 RF 코일(미도시)에 의해 방출된 RF 전력에 의해 부재에 발생된 원치않는 유도 전류의 양이 추가로 감소된다.
도체(예를 들어, 와이어)는 가열 부재(401, 403)를 통해 회로를 형성하기 위해 부재 세그먼트의 인접한 단부들이 전기적으로 접속된다. 전력원은 부재 세그먼트중 하나의 단부에 전기적으로 결합될 수 있고 전력 복귀 단자(또는 접지)는 인접한 부재 세그먼트의 단부에 접속될 수 있다. 히터(402)는 접지되거나 또는 전기적으로 플로팅된다. 또한 시스템(400)은 가열 부재(401, 403) 사이에 위치된 RF 발생기를 포함한다.
본 발명의 플라즈마 발생 시스템은 플라즈마 챔버의 정상상태 정전기장을 감소시키기 위해 패러데이 실드를 선택적으로 포함할 수 있다. 본 발명에 대해, 히 터(202, 402)는 돔 벽 및 RF 코일을 에워싸며 접지되고, 축방으로 연장되는 포스트 또는 바 등의 실린더형 어레이를 포함하는 "단일" 패러데이 실드를 형성하도록 접지된다. 패러데이 실드(즉, E-실드)로서 작용하는 접지된 히터는 플라즈마 속으로 측면 코일의 용량성 RF 결합을 감소시킨다.
이러한 감소된 용량성 결합은 플라즈마-유도 웨이퍼 손상 가능성 및 마이크로 챔버 아킹의 기회를 감소시키는 플라즈마 전위를 감소시킨다. 또한 측면 코일의 용량성 결합에 의한 제어불가능한 바이어싱 효과가 감소되며 기판 척에서 RF 바이어스 제어를 보다 허용한다. 감소된 용량성 결합은 돔의 내부 측벽상의 충돌 세기르 감소시켜, 돔상에서 측면 온도를 비간접적으로 감소시킨다.
실드 없이, RF 코일을 따르는 가변 전압은 용량성 변위 전류 결합에 대한 맥스웰의 방정식을 따라 플라즈마에 결합된다. 이는 기판에 대해 플라즈마 밀도 및 에너지의 비균일성 및 변화를 유도할 수 있으며 공정 불균일성 및 고에너지로 하전된 입자를 야기할 수 있다. 적분 형태로 표현되는 패러데이의 법칙은 표면에 대한 자기장 변화가 상기 표면에서 폐루프 전기장(closed electric filed)을 야기시키는 것을 요구한다. 미분 형태로 현상(phenomenon)을 표현하는 맥스웰의 방정식은 유도된 전기장의 컬(curl)이 자기장의 네거티브 시간 변화 비율에 비례하는 것을 나타낸다. 정현파 여자(sinusoidal excitation)에 대해, 유도된 E의 컬은 가변 B 필드의 라디안 주파수(radiant frequency) 및 피크 진폭에 비례한다.
간략하게, 불연속 또는 슬릿(slitted) 또는 섹션(sectioned) 패러데이 실드는 코일로부터 가변하는 EM 필드상에서 실드의 단락 작용(shorting effect)을 최소 화시키며, 와전류 손실을 감소시키고, 무선주파수의 축방향으로 향하는 프린징 자기장이 플라즈마를 발생시키는 폐루프 전기장을 유도하도록 플라즈마에 결합되는 것을 허용하나, 전기장(코일에 따라 가변)이 플라즈마와 직접 결합하는 것을 방지하여, 임의의 관련된 플라즈마 균일성 손실 및 고에너지로 하전된 입자에 대한 공정 불균일성을 방지한다.
본 발명의 실시예는 한쪽 실드의 포스트가 다른쪽 실드의 갭과 중첩하도록(이와 반대로도 허용됨) 이격된 동심 실드의 쌍을 포함하는 "풀(full)" 패러데이 실드를 제공한다. 포스트의 중첩은 실드를 통해 전기장 라인에 대한 경로 시선을 배제하여 정전계를 차단한다. 패러데이 실드의 추가적인 예는 "Plasma Reactor Using Inductive RF Coupling and Processes"란 명칭으로 1995년 6월 6일자로 출원된 공동 양도된 US 특허 출원 No. 6,545,420호에 개시되며, 이는 본 명세서에 참조된다.
예시적인 플라즈마 화학적 기상 증착 시스템
도 2a-2d 및 도 4에 도시된 구성들은 예를 들어, 200mm 및 300mm 기판 상에서의 증착을 위한 HDPVD 시스템을 포함하는 플라즈마 CVD 시스템의 광범위한 변형에 이용될 수 있다. 이들 플라즈마 CVD 시스템의 실시예들을 보다 상세히 설명한다.
도 5a는 본 발명의 실시예에 따라 소스 플라즈마 시스템이 통합되는 고밀도 플라즈마 화학적 기상 증착(HDP-CVD) 시스템(10)의 실시예를 나타낸다. 시스템 (10)은 챔버(13), 진공 시스템(70), 소스 플라즈마 시스템(80A), 바이어스 플라즈마 시스템(80B), 가스 전달 시스템(33), 및 원격 플라즈마 세정 시스템(50)을 포함한다.
챔버(13)의 상부 부분은 알루미늄 산화물 또는 알루미늄 질화물과 같은 세라믹 유전체 재료로 구성된 돔(14)을 포함한다. 돔(14)은 플라즈마 처리 영역(16)의 상부 경계를 한정한다. 플라즈마 처리 영역(16)은 기판(17)의 상부 표면 및 기판 지지 부재(18)에 의해 하부상에 경계가 정해진다.
히터(23B)는 돔(14)의 측벽에 열적으로 결합된다. 히터는 상부 가열 부재 및 하부 가열 부재를 포함하며, 이들 사이에는 돔(14) 부근에 RF 측면 코일(30)이 위치된다. 수직 포스트(미도시)는 히터(23B)의 상부 및 하부 가열 부재에 결합된다. 돔(14)의 냉각은 돔의 온도 및 발생된 플라즈마를 감소시키기 위해 냉각 유체(예를 들어, 물)가 순환될 수 있도록 RF 측면 코일(30)에 의해 제공될 수 있다. 또한 시스템(10)은 돔(14)의 상부 부분에 결합된 상부 히터 플레이트(23A) 뿐만 아니라 냉각 플레이트(24)를 포함한다. 가열 및 냉각 부재는 다양한 공정을 위해 돔 온도를 최적화시킨다. 예를 들어, 증착 공정을 위해서 보다는 세정 또는 에칭 고엉을 위해 보다 높은 온도에서 돔을 유지하는 것이 바람직하다. 또한 돔 온도의 정확한 제어는 챔버의 박편 또는 미립자 수를 감소시키며 증착된 층과 기판 사이에 접착력을 개선시킨다.
챔버(13)의 하부 부분은 진공 시스템과 챔버과 결합된 몸체 부재(22)를 포함한다. 기판 지지 부재(18)의 베이스부(21)는 몸체 부재(22)상에 장착되며, 몸체 부재(22)와 연속하는 내부 표면을 형성한다. 기판은 챔버(13)의 측면에 있는 삽입/제거 개구부(미도시)를 통해 로봇 블레이드(미도시)에 의해 챔버(13) 안팎으로 이송된다. 리프트 핀(도 2에 도시됨)은 모터(미도시)의 제어하에 상승 및 하강되어 챔버(13)내에서 상이한 위치로 기판을 이동시킨다. 리프트 핀은 상부 로딩 위치(57)에서 로봇 블레이드로부터 하기에 보다 상세히 설명되는 바와 같이, 기판이 처리를 위해 마련되는 예비-처리 위치로 기판을 이동시키도록 구성될 수 있다. 순차적으로, 리프트 핀은 기판 지지 부재(18)의 기판 수용부(19)상에 기판이 위치되는 하부 처리 위치(56)로 기판을 이동시킨다. 기판 수용부(19)는 기판 처리과정 동안 기판을 기판 지지 부재(18)에 고정하는 정전기 척(20)을 포함한다. 바람직한 실시예에서, 기판 지지 부재(18)는 알루미늄 산화물 또는 알루미늄 세라믹 재료로 구성된다.
진공 시스템(70)은 트윈-블레이트 트로틀 밸브(26)를 수용하며 게이트 밸브(27) 및 터보-분자형 펌프(28)에 부착된 트로틀 몸체(25)를 포함한다. 트로틀 몸체(25)는 가스 흐름에 대한 방해를 최소화시키며, 대칭 펌핑을 허용한다. 게이트 밸브(27)는 트로틀 몸체(25)와 펌프(28)를 절연시킬 수 있고, 또한 트로틀 밸브(26)가 완전히 개방되는 경우 배기 흐름 용량을 제한함으로써 챔버 압력을 제어할 수 있다. 트로틀 밸브, 게이트 밸브, 및 터보-분자형 펌프의 배열은 약 1 밀리토르 내지 약 2 토르 사이로 챔버 압력을 정확히 안정하게 제어할 수 있다.
소스 플라즈마 시스템(80A)은 돔(14) 상에 장착된 상부 RF 코일(29), 및 돔(14) 부근에 장착된 측면 RF 코일(30)을 포함한다. 패러데이 실드(미도시)는 상부 및 측면 코일(29, 30)과 돔(14) 사이에 제공될 수 있다. 상부 코일(29)은 상부 소스 RF(SRF) 소스(31A)에 의해 전력이 제공되며, 측면 코일(30)은 측면 SRF 소스(31B)에 의해 전력이 제공되어, 각각의 코일에 대해 독립적인 전력 레벨 및 동작 주파수가 허용된다. 듀얼 코일 시스템은 챔버(13)내에 방사상 이온 밀도의 강화된 제어를 제공하여 플라즈마 균일성을 개선시킨다. 측면 코일(30) 및 상부 코일(29)은 유도적으로 구동될 수 있어, 상보형 전극에 대한 요구조건을 소거시킨다. 상부 소스 RF 서플라이(31A)는 공칭적으로 2MHz에서 5,000와트의 RF 전력을 제공하며 측면 소스 RF 서플라이(31B)는 공칭적으로 2MHz에서 5,000와트의 RF 전력을 제공한다. 상부 및 측면 RF 서플라이의 동작 주파수는 플라즈마-발생 효율성을 강화시키기 위해 공칭 동작 주파수(예를 들어, 각각 1.7-1.9 MHz 및 1.9-2.1MHz)로부터 상쇄된다.
바이어스 플라즈마 시스템(80B)는 바이어스 RF("BRF") 서플라이(31C) 및 바이어스 매칭 네트워크(32C)를 포함한다. 바이어스 플라즈마 시스템(80B)은 몸체 부재(22)와 기판부(17)를 용량성 결합시켜, 상보형 전극으로서 작용한다. 바이어스 플라즈마 시스템(80B)은 기판의 표면으로 소스 플라즈마 시스템(80A)에 의해 발생된 플라즈마 종(예를 들어, 이온)의 이송을 강화시킨다. 특정 실시예에서, 바이어스 RF 발생기는 13.56MHz에서 5,000 와트의 전력을 제공한다.
RF 서플라이(31A, 31B)는 디지털방식으로 제어되는 신시사이저를 포함하며 약 1.8 내지 약 2.1MHz 사이의 주파수 범위에 걸쳐 동작한다. 각각의 서플라이는 당업자에 의해 인식될 수 있는 바와 같이, 챔버 및 코일로부터 서플라이로 다시 반 사되는 전력을 측정하고 동작 주파수를 조절하여 최저 반사 전력을 얻는 RF 제어 회로(미도시)를 포함한다. RF 서플라이들은 통상적으로 50 옴의 특성 임피던스를 갖는 로드로 동작하도록 설계된다. RF 전력은 서플라이보다는 상이한 특성 임피던스를 갖는 로드로부터 반사된다. 이는 로드로 전달되는 전력을 감소시킨다. 부가적으로, 로드로부터 서프라이로 다시 반사된 전력은 서플라이에 과부하를 인가하여 손상시킬 수 있다. 플라즈마의 임피던스는 요인들중 특히 플라즈마 이온 밀도에 따라 5 옴 미만 내지 900 옴 이상의 범위이기 때문에, 그리고 반사된 전력이 주파수의 함수이기 때문에, 반사된 전력에 따른 서플라이 주파수 조절은 RF 서플라이로부터 플라즈마로 전송된 전력을 증가시키고 서플라이를 보호한다. 반사된 전력을 감소시키고 효율성을 개선시키는 또다른 방법은 매칭 네트워크를 이용하는 것이다.
매칭 네트워크(32A, 32B)는 이들 각각의 코일(29, 30)과 서플라이들(31A, 31B)의 출력 임피던스를 매칭시킨다. RF 제어 회로는 로드 변화에 따라 로드와 서플라이를 매칭시키기 위해 매칭 네트워크내에서 캐패시터의 값을 변화시킴으로써 양쪽 매칭 네트워크를 동조시킬 수 있다. RF 제어 회로는 로드로부터 서플라이로 다시 반사되는 전력이 소정의 제한치를 초과하는 경우 매칭 네트워크를 동조시킬 수 있다. 일정한 매칭을 제공하고, 매칭 네트워크의 동조로부터 RF 제어 회로를 효과적으로 중단시키기 위한 방법으로, 반사된 전력의 임의의 예상된 값 이상으로 반사된 전력 제한치를 설정하는 것이다. 이는 가장 최근 조건에서 매칭 네트워크를 일정하게 보유함으로써 소정의 조건하에서 플라즈마 안정화를 보조할 수 있다.
또한 다른 방안이 플라즈마 안정화를 도울 수 있다. 예를 들어, RF 제어 회 로가 로드(플라즈마)에 전달된 전력을 검출하기 위해 사용될 수 있고 층을 증착하는 동안 실질적으로 일정하게 전달된 전력을 유지하기 위해 서플라이 출력 전력을 증가 또는 감소시킬 수 있다.
가스 전달 시스템(33)은 가스 전달 라인(38)(단지 몇개만이 도시됨)을 통해 기판을 처리하기 위한 챔버로 몇개의 소스(34A-34F)로부터 제공된다. 당업자가 인식하는 바와 같이, 소스(34A-34F)에 대해 사용되는 실제 소스 및 챔버(13)와 전달 라인(38)의 실제 접속은 챔버(13)내에서 수행되는 증착 및 세정 공정에 따라 가변적이다. 가스는 가스 링(37) 및/또는 상부 노즐(45)을 통해 챔버(13)에 주입된다. 도 5B는 가스 링(37)의 추가적인 상세도를 나타내는 챔버(13)의 간략화된 부분 단면도이다.
일 실시예에서, 제 1 및 제 2 가스 소스(34A, 34B), 및 제 1 및 제 2 가스 흐름 제어기(35A', 35B')는 가스 전달 라인(38)(단지 몇개만 도시됨)을 통해 가스 링(37)의 링 플래넘(36)에 가스를 전달한다. 가스 링(37)은 기판에 대해 균일한 가스 흐름을 제공하는 다수의 소스 가스 노즐(39)(도시를 목적으로 단지 한개만 도시됨)을 포함한다. 노즐 길이 및 노즐 각도는 개별 챔버내에서 특정 공정을 위해 균일한 프로파일의 테일링 및 가스 활용 효율성을 허용하도록 변할 수 있다. 바람직한 실시예에서, 가스 링(37)은 알루미늄 산화물 세라믹으로 구성된 12개의 소스 가스 노즐을 갖는다.
가스 링(37)은 바람직한 실시예에서 소스 가스 노즐(39)과 공면이며 상기 소스 가스 노즐 보다 짧고, 일 실시예에서 몸체 플레넘(41)으로부터의 가스를 수용하 는 다수의 산화제 가스 노즐(40)(단지 하나만이 도시됨)을 포함한다. 소정의 실시예에서, 챔버(13) 속으로 가스를 주입하기 이전에 소스 가스 및 산화제 가스를 혼합시키지 않는 것이 바람직하다. 다른 실시예에서, 산화제 가스 및 소스 가스는 몸체 플래넘(41)과 가스 링 플래넘(36) 사이에 어퍼쳐(미도시)를 제공함으로써 챔버(13) 속으로 가스들을 주입하기 이전에 혼합된다. 일 실시예에서, 제 3 및 제 4 가스 소스(34C, 34D) 및 제 3 및 제 4 가스 흐름 제어기(35C, 35D')는 가스 전달 라인(38)을 통해 몸체 플래넘에 가스를 제공한다. 43B와 같이, 추가의 밸브들(다른 밸브는 도시되지 않음)이 흐름 제어기로부터 챔버로의 가스를 차단할 수 있다.
가연성, 유독성 또는 부식성 가스가 사용되는 실시예에서, 증착 이후 가스 전달 라인에 남아있는 가스를 제거하는 것이 바람직하다. 이는 예를 들어, 전달 라인(38A)으로부터 챔버(13)를 절연시키기 위해 그리고 진공 포어라인(44)으로 전달 라인(38A)을 배기시키기 위해 밸브(43B)와 같은 3-웨이 밸브를 사용하여 달성될 수 있다. 도 5A에 도시된 것처럼, 43A 및 43C와 같은 다른 유사한 밸브들이 다른 가스 전달 라인상에 통합될 수 있다. 상기 3-웨이 밸브는 배기되지 않은 가스 전달 라인(3-웨이 밸브와 챔버 사이)의 체적을 최소화시키기 위해, 실질적으로 챔버(13)와 근접하게 위치될 수 있다. 부가적으로, 2-웨이(온-오프) 밸브(미도시)가 질량 흐름 제어기("MFC")와 챔버 사이 또는 소스 가스와 MFC 사이에 위치될 수 있다.
다시 도 5a를 참조로, 챔버(13)는 상부 노즐(45) 및 상부 벤트(vent)(46)를 포함한다. 상부 노즐(45) 및 상부 벤트(46)는 가스의 상부 및 측면 흐름을 독립적 으로 제어하게 허용하여, 층 균일성을 개선시키며 층의 증착 및 도핑 파라미터의 미세한 조절을 허용한다. 상부 벤트(46)는 상부 노즐(45) 부근의 환형 개구부이다. 일 실시예에서, 제 1 가스 소스(34A)는 소스 가스 노즐(39) 및 상부 노즐(45)에 공급된다. 소스 노즐 MFC(35A')은 소스 가스 노즐(39)로 전달되는 가스의 양을 제어하며 상부 노즐 MFC(35A)는 상부 가스 노즐(45)로 전달되는 가스의 양을 제어한다. 유사하게 2개의 MFC(35B, 35B')는 소스(34B)와 같은 단일의 산소 소스로부터 상부 벤트(46) 및 산화제 가스 노즐(40) 모두에서 산소 흐름을 제어하는데 사용될 수 있다. 상부 노즐(45) 및 상부 벤트(46)에 공급된 가스는 챔버(13) 속으로 가스가 흐르기 이전에 분리되어 유지되거나, 또는 가스들은 챔버(13) 속으로 흐르기 이전에 상부 플래넘(48)에서 혼합될 수 있다. 동일한 가스의 개별 소스가 사용되어 챔버에 다양한 부분에 공급될 수 있다.
원격 마이크로파-발생 플라즈마 세정 시스템(50)이 챔버 부품으로부터 증착 잔류물을 주기적으로 세정하기 위해 제공된다. 세정 시스템은 반응기 캐비티(53)에서 세정 가스 소스(34E)(예를 들어, 분자형 분소, 질소 삼불화물, 다른 불화탄소 또는 등가물)로부터 플라즈마를 발생시키는 원격 마이크로파 발생기(51)를 포함한다. 이러한 플라즈마로부터 발생되는 반응성 종은 어플리케이터 튜브(55)를 통해 세정 가스 공급 포트(54)를 거쳐 챔버(13)에 전달된다. 세정 플라즈마를 함유하는데 사용되는 재료(예를 들어, 캐비티(53) 및 어플리케이터 튜브(55))는 플라즈마에 의한 공격에 저항력이 있어야 한다. 반응기 캐비티(53)와 공급 포트(54) 사이의 간격은 실제적으로 짧게 유지되어야 하며, 이는 원하는 플라즈마 종의 농도가 반응 기 캐비티(53)로부터의 간격에 따라 감소하기 때문이다. 원격 캐비티에서 세정 플라즈마 발생은 효과적인 마이크로파 발생기의 사용을 허용하며 챔버 부품이 온도, 방사, 또는 인슈트로 형성된 플라즈마에 존재할 수 있는 글로우 방전의 충돌에 손상되지 않게 한다. 결과적으로, 정전기 척(20)과 같이 상대적으로 민감한 부품들은 더미 웨이퍼로 커버될 필요가 없거나 또는 인슈트 플라즈마 세정 프로세스가 요구됨에 따라 보호된다. 일 실시예에서, 본 세정 시스템은 원격적으로 에천트 가스의 원자를 분해시키는데 사용되며, 이는 프로세스 챔버(13)에 공급된다. 또다른 실시예에서, 에천트 가스는 프로세스 챔버(13)에 직접적으로 제공된다. 또다른 실시예에서, 다중 프로세스 챔버가 개별 챔버에서 수행되는 증착 및 에칭 단계들에 사용된다. 본 발명에서, 측벽상에서의 낮은 E 필드로 인해 돔 상에 증착물이 적어지기 때문에, 세정 시간이 짧아질 수 있다(또는 동일한 세정 시간을 유지하는 경우 보다 나은 세정이 달성될 수 있다).
시스템 제어기(60)는 시스템(10)의 동작을 제어한다. 바람직한 실시예에서, 제어기(60)는 하드 디스크 드라이브, 플로피 디스크 드라이브(미도시)와 같은 메모리, 및 프로세서(61)에 결합된 카드 랙(미도시)을 포함한다. 카드 랙은 단일-보드 컴퓨터(SBC)(미도시), 아날로그 및 디지털 입/출력 보드(미도시), 인터페이스 보드(미도시), 및 스텝퍼 모터 제어기 보드(미도시)를 포함한다. 시스템 제어기는 VME(Versa Modular European)을 따르며, 보드, 카드 케이지, 및 커넥터 디멘션 및 타입을 한정한다. 또한, VME 스탠다드는 16 비트 데이터 버스 및 24 비트 어드레스 버스를 갖는 버스 구조물을 한정한다. 시스템 제어기(31)는 이동식 디스크상에 저장된 프로그램과 같이, 다른 컴퓨터 프로그램을 통해 또는 하드 디스크상에 저장된 컴퓨터 프로그램의 제어하에 작동한다. 예를 들어, 컴퓨터 프로그램은 타이밍, 가스 혼합물, RF 전력 레벨 및 특정 프로세스의 다른 파라미터를 명령한다. 사용자와 시스템 제어기 사이의 인터페이스는 캐소드 레이 튜브(CRT)와 같은 모니터, 및 광펜을 경유한다.
본 발명의 특정 실시예의 상세한 설명을 개시하였지만, 다양한 변형, 변조, 및 대체물이 사용될 수 있다. 이들 등가물 및 대체물은 본 발명의 범주내에 포함된다. 따라서, 본 발명의 범주는 개시된 실시예로 제한되지 않으며, 하기의 청구항 및 등가물의 범주내에서 한정된다.
값의 범위가 제공되는 곳에서, 문맥상 별다른 지시가 없다면 상기 범위의 상한치와 하한치 사이에 사이 하나치 단위의 1/10로 각각의 중간값이 특정하게 개시된다. 개시된 범위에서 임의의 개시된 값 또는 중간 값과 상기 개시된 범위에서 임의의 다른 개시된 또는 중간 값 사이에서 각각 보다 작은 범위가 본 발명에 포함된다. 이들 보다 작은 범위의 상한치 및 하한치는 상기 범위에 독립적으로 포함 또는 제외될 수 있고, 하나, 둘다 아닌 또는 둘다의 제한치가 작은 범위에 포함되는 각각의 범위가 본 발명에 포함되며 개시된 범위에서 특정하게 제외된 제한치로 처리된다. 개시된 범위가 하나 또는 양쪽 제한치를 포함하면, 이들 포함된 제한치중 하나 또는 둘다를 제외한 범위가 본 발명에 포함된다.
첨부된 청구항 및 본 명세서에 사용되는 것처럼, "단수(a)", "및(and)" 및 "상기(the)"는 특정한 설명이 없다면 다수를 의미하는 것이다. 따라서, 예를 들어, "프로세스"는 이러한 다수의 프로세스를 포함하는 것이며 " 상기 전극"은 하나 이상의 전극들 및 업계에 공지된 등가물을 포함하는 것을 의미한다.
또한, 본 명세서 및 하기의 청구항에서 사용되는 "포함한다", "포함하는", "갖는다", "갖는"은 개시된 피쳐, 정수, 부품, 또는 단계들을 특정하게 표현하는 것으로, 하나 이상의 다른 피쳐, 정수들, 부품들, 단계들 또는 그룹들의 존재 또는 추가를 제외하는 것은 아니다.
본 발명에 따라 플라즈마-기반 제조 장치의 보전성 및 신뢰성이 강화된다.

Claims (31)

  1. 유도 결합된 플라즈마 프로세스 챔버에 대한 RF 플라즈마 발생 및 온도 제어 시스템으로서, 상기 플라즈마 발생 시스템은,
    길게연장된 하부 가열 부재와 실질적으로 평행한 길게연장된 상부 가열 부재를 포함하는 히터 - 상기 상부 및 하부 가열 부재는 상기 상부 및 상기 하부 가열 부재들과 실질적으로 수직인 하나 이상의 포스트에 의해 결합됨 - ; 및
    상기 상부 및 하부 가열 부재 사이에 위치되며 상기 포스트와의 중첩 지점에 크리스를 특징화하는 하나 이상의 RF 코일
    을 포함하는 플라즈마 발생 시스템.
  2. 제 1 항에 있어서,
    상기 RF 코일은 직사각형으로 형상화된 중공 튜브를 포함하는 것을 특징으로 하는 플라즈마 발생 시스템.
  3. 제 1 항에 있어서,
    상기 RF 코일은 "D"자-형상 중공 튜브를 포함하며, 상기 튜브의 평탄 표면은 상기 챔버를 면하며, 상기 튜브의 굽은 측면은 상기 챔버로부터 떨어져 면하는 것을 특징으로 하는 플라즈마 발생 시스템.
  4. 제 1 항에 있어서,
    상기 RF 코일 각각은 중공형이며 상기 코일 내부에서 냉각 유체를 순환시킬 수 있는 것을 특징으로 하는 플라즈마 발생 시스템.
  5. 제 4 항에 있어서,
    상기 냉각 유체는 물인 것을 특징으로 하는 플라즈마 발생 시스템.
  6. 제 1 항에 있어서,
    상기 RF 코일은 탄성 재료 또는 에너지 흡수 플라스틱을 포함하는 스페이서를 갖는 포스트와 결합되는 것을 특징으로 하는 플라즈마 발생 시스템.
  7. 제 1 항에 있어서,
    상기 RF 코일 각각은 다수의 권선을 포함하는 것을 특징으로 하는 플라즈마 발생 시스템.
  8. 제 7 항에 있어서,
    상기 권선 각각은 제 1 및 제 2 단부 사이에 브레이크를 갖는 불연속 루프인 것을 특징으로 하는 플라즈마 발생 시스템.
  9. 제 8 항에 있어서,
    상기 제 1 권선의 제 1 단부는 RF 공급 입력부와 전기적으로 결합되며, 최종 권선의 제 2 단부는 RF 공급 출력부와 전기적으로 결합되는 것을 특징으로 하는 플라즈마 발생 시스템.
  10. 제 8 항에 있어서,
    상기 제 1 권선의 제 2 단부는 인접한 권선의 제 1 단부와 전기적으로 결합되는 것을 특징으로 하는 플라즈마 발생 시스템.
  11. 제 8 항에 있어서,
    각각의 권선의 제 1 단부는 냉각 유체용 입력부와 결합되고 각각의 권선의 제 2 단부는 냉각 유체용 출력부와 결합되는 것을 특징으로 하는 플라즈마 발생 시스템.
  12. 제 1 항에 있어서,
    상기 길게연장된 상부 가열 부재는 다수의 상부 부재 세그먼트로 나뉘고, 상기 상부 부재 세그먼트 각각은 상기 포스트들중 하나와 결합되는 것을 특징으로 하는 플라즈마 발생 시스템.
  13. 제 12 항에 있어서,
    상기 길게연장된 하부 가열 부재는 제 1 및 제 2 단부 사이에 단일 브레이크 를 갖는 단일 하부 부재 세그먼트를 포함하는 것을 특징으로 하는 플라즈마 발생 시스템.
  14. 제 12 항에 있어서,
    상기 길게연장된 하부 가열 부재는 다수의 하부 부재 세그먼트로 나뉘고, 상기 하부 부재 세그먼트 각각의 상기 포스트들중 하나와 결합되는 것을 특징으로 하는 플라즈마 발생 시스템.
  15. 제 1 항에 있어서,
    상기 히터는 전기적으로 접지되는 것을 특징으로 하는 플라즈마 발생 시스템.
  16. 유도 결합된 플라즈마 프로세스 챔버에 대한 RF 플라즈마 발생 및 온도 제어 시스템으로서, 상기 플라즈마 발생 시스템은,
    상기 챔버에 열적으로 결합된 히터; 및
    상기 챔버에 결합되며 적어도 하나의 평탄 측면을 갖는 중공 튜브를 포함하는 RF 코일
    을 포함하는 플라즈마 발생 시스템.
  17. 제 16 항에 있어서,
    상기 중공 튜브는 직사각형 형상의 중공 튜브인 것을 특징으로 하는 플라즈마 발생 시스템.
  18. 제 16 항에 있어서,
    상기 중공 튜브는 "D"자 형상 중공 튜브인 것을 특징으로 하는 플라즈마 발생 시스템.
  19. 제 16 항에 있어서,
    상기 중공 튜브는 상기 RF 코일 내부에서 냉각 유체를 순환시킬 수 있는 것을 특징으로 하는 플라즈마 발생 시스템.
  20. 제 16 항에 있어서,
    상기 히터는 상부 가열 부재 및 하부 가열 부재를 포함하며, 상기 상부 및 하부 가열 부재는 상기 상부 및 하부 가열 부재와 수직인 하나 이상의 포스트에 의해 결합되며, 상기 포스트는 챔버와 면하는 챔버 측면, 및 상기 챔버 측면을 마주하는 코일 측면을 포함하는 것을 특징으로 하는 플라즈마 발생 시스템.
  21. 제 16 항에 있어서,
    상기 RF 코일은 상기 포스트의 존재를 허용하도록 크리스를 갖는 것을 특징으로 하는 플라즈마 발생 시스템.
  22. 제 21 항에 있어서,
    상기 RF 코일은 탄성 재료 또는 에너지 흡수 플라스틱을 포함하는 스페이서를 갖는 적어도 하나의 포스트와 물리적으로 결합되는 것을 특징으로 하는 플라즈마 발생 시스템.
  23. 기판을 처리하는 플라즈마 화학적 기상 증착 시스템으로서,
    플라즈마 처리 영역에 대한 상부 경계를 한정하는 돔을 포함하는 챔버 - 상기 처리 영역에 대한 하부 경계는 상기 기판의 상부 표면에 의해 형성됨 - ;
    상기 돔의 측면부에 부착된 히터 - 상기 히터는 길게연장된 하부 가열 부재에 실질적으로 평행한 길게연장된 상부 가열 부재를 포함하며, 상기 상부 및 하부 가열 부재는 상기 상부 및 하부 가열 부재와 실질적으로 수직인 하나 이상의 포스트에 의해 결합됨 - ; 및
    상기 돔의 상부에 결합되는 상부 코일 및 상기 상부 및 하부 가열 부재 사이에 위치되며 상기 포스트와의 중첩 지점에서 크리스를 특징화하는 하나 이상의 RF 코일을 갖는 측면 코일을 포함하는 소스 플라즈마 시스템
    을 포함하는 플라즈마 화학적 기상 증착 시스템.
  24. 제 23 항에 있어서,
    상기 RF 코일은 직사각형 형상인 중공 튜브를 포함하는 것을 특징으로 하는 플라즈마 화학적 기상 증착 시스템.
  25. 제 23 항에 있어서,
    상기 RF 코일은 탄성 재료 또는 에너지 흡수 플라스틱을 포함하는 스페이서를 갖는 포스트와 결합되는 것을 특징으로 하는 플라즈마 화학적 기상 증착 시스템.
  26. 제 23 항에 있어서,
    상기 길게연장된 상부 가열 부재는 다수의 상부 부재 세그먼트로 나뉘며, 상기 상부 부재 세그먼트 각각은 포스트들중 하나와 결합되는 것을 특징으로 하는 플라즈마 화학적 기상 증착 시스템.
  27. 제 23 항에 있어서,
    상기 소스 플라즈마 시스템은 상기 돔의 측면 부분과 상기 측면 코일 사이에 패러데이 실드를 포함하는 것을 특징으로 하는 플라즈마 화학적 기상 증착 시스템.
  28. 제 23 항에 있어서,
    상기 시스템은 고밀도 플라즈마 화학적 기상 증착 시스템인 것을 특징으로 하는 플라즈마 화학적 기상 증착 시스템.
  29. 플라즈마 챔버의 온도를 제어하는 방법으로서,
    길게연장된 제 2 가열 부재와 실질적으로 평행한 길게연장된 가열 부재를 포함하는 히터를 챔버 측벽에 인접하게 배치시키는 단계 - 상기 제 1 및 제 2 가열 부재는 상기 가열 부재들과 실질적으로 수직인 하나 이상의 포스트에 의해 결합됨 - ; 및
    상기 제 1 가열 부재와 상기 제 2 가열 부재 사이에서 상기 챔버 측벽에 인접하게 냉각 부재를 배치시키는 단계 - 상기 냉각 부재는 상기 냉각 부재 및 상기 제 1 및 제 2 가열 부재들이 상기 챔버와 물리적으로 접촉하는 것을 방지하고 상기 챔버와의 열적 상호작용을 최대화시키도록, 상기 포스트와의 중첩 지점에 크리스를 포함함 -
    를 포함하는 플라즈마 챔버의 온도 제어 방법.
  30. 제 29 항에 있어서,
    상기 냉각 부재는 냉각 유체가 흐르는 중공 튜브를 포함하는 것을 특징으로 하는 플라즈마 챔버의 온도 제어 방법.
  31. 제 30 항에 있어서,
    상기 RF 전력은 상기 중공 튜브에 인가되는 것을 특징으로 하는 플라즈마 챔버의 온도 제어 방법.
KR1020060011089A 2005-02-10 2006-02-06 플라즈마 처리 장치용 측면 rf 코일 및 측면 히터 KR101198439B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/055,191 US7776156B2 (en) 2005-02-10 2005-02-10 Side RF coil and side heater for plasma processing apparatus
US11/055,191 2005-02-10

Publications (2)

Publication Number Publication Date
KR20060090745A true KR20060090745A (ko) 2006-08-16
KR101198439B1 KR101198439B1 (ko) 2012-11-06

Family

ID=36778632

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060011089A KR101198439B1 (ko) 2005-02-10 2006-02-06 플라즈마 처리 장치용 측면 rf 코일 및 측면 히터

Country Status (4)

Country Link
US (1) US7776156B2 (ko)
KR (1) KR101198439B1 (ko)
CN (1) CN100382660C (ko)
TW (1) TWI355216B (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100984878B1 (ko) * 2007-02-02 2010-10-01 어플라이드 머티어리얼스, 인코포레이티드 유도 결합된 고밀도 플라즈마 프로세싱 챔버를 위한 내부밸런스 코일
KR20140016895A (ko) * 2011-02-24 2014-02-10 램 리써치 코포레이션 사이드 코일을 포함하는 플라즈마 프로세싱 시스템, 및 상기 플라즈마 프로세싱 시스템과 관련된 방법
KR101416977B1 (ko) * 2012-12-27 2014-07-08 주식회사 선익시스템 증발원 및 이를 구비한 증착장치
KR102070544B1 (ko) * 2019-04-17 2020-01-29 주식회사 기가레인 플라즈마 안테나 및 이를 포함하는 플라즈마 처리장치

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7545138B2 (en) * 2006-07-06 2009-06-09 Schweitzer Engineering Laboratories, Inc. Precision, temperature-compensated, shielded current measurement device
EP2041756B1 (en) * 2006-07-14 2015-05-13 FEI Company A multi-source plasma focused ion beam system
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US7789993B2 (en) 2007-02-02 2010-09-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US20080202414A1 (en) * 2007-02-23 2008-08-28 General Electric Company Methods and devices for coating an interior surface of a plastic container
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
KR101115273B1 (ko) * 2007-12-20 2012-03-05 가부시키가이샤 알박 플라즈마 소스 기구 및 성막 장치
KR101554123B1 (ko) * 2008-03-21 2015-09-18 어플라이드 머티어리얼스, 인코포레이티드 차폐식 리드 히터 조립체
US8436318B2 (en) 2010-04-05 2013-05-07 Varian Semiconductor Equipment Associates, Inc. Apparatus for controlling the temperature of an RF ion source window
WO2012031049A2 (en) 2010-08-31 2012-03-08 Fei Company Navigation and sample processing using an ion source containing both low-mass and high-mass species
US9966236B2 (en) 2011-06-15 2018-05-08 Lam Research Corporation Powered grid for plasma chamber
CN103014745B (zh) * 2011-09-28 2015-07-08 北京北方微电子基地设备工艺研究中心有限责任公司 一种等离子体预清洗装置
US8928337B2 (en) 2012-01-27 2015-01-06 Schweitzer Engineering Laboratories, Inc. Device for measuring electrical current and method of manufacturing the same
JP6219229B2 (ja) * 2014-05-19 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構
CN105578698B (zh) * 2014-10-17 2018-08-21 中微半导体设备(上海)有限公司 电感耦合等离子体处理装置及其加热部件
KR102204118B1 (ko) * 2017-02-20 2021-01-19 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 패러데이 쉴드에 결합된 온도 제어 요소를 이용한 온도 제어
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
US11617269B2 (en) 2021-07-20 2023-03-28 Schweitzer Engineering Laboratories, Inc. Current measuring device for an electric power protection system

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6545420B1 (en) 1990-07-31 2003-04-08 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
US6440221B2 (en) * 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
US6308654B1 (en) * 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
JP2001052894A (ja) 1999-08-04 2001-02-23 Ulvac Japan Ltd 誘導結合高周波プラズマ源
JP4384301B2 (ja) * 1999-09-13 2009-12-16 株式会社日立製作所 プラズマ処理装置
US6408786B1 (en) * 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US6238532B1 (en) * 1999-10-29 2001-05-29 International Business Machines Corporation Radio-frequency coil for use in an ionized physical vapor deposition apparatus
US6441555B1 (en) * 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US20020100557A1 (en) * 2001-01-29 2002-08-01 Applied Materials, Inc. ICP window heater integrated with faraday shield or floating electrode between the source power coil and the ICP window
CN2472454Y (zh) * 2001-03-15 2002-01-16 华南理工大学 平面结构射频电感耦合天线及真空耦合窗
US7137444B2 (en) * 2003-09-08 2006-11-21 Pacific Rubber & Packing, Inc. Heat-transfer interface device between a source of heat and a heat-receiving object

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100984878B1 (ko) * 2007-02-02 2010-10-01 어플라이드 머티어리얼스, 인코포레이티드 유도 결합된 고밀도 플라즈마 프로세싱 챔버를 위한 내부밸런스 코일
KR20140016895A (ko) * 2011-02-24 2014-02-10 램 리써치 코포레이션 사이드 코일을 포함하는 플라즈마 프로세싱 시스템, 및 상기 플라즈마 프로세싱 시스템과 관련된 방법
KR101416977B1 (ko) * 2012-12-27 2014-07-08 주식회사 선익시스템 증발원 및 이를 구비한 증착장치
KR102070544B1 (ko) * 2019-04-17 2020-01-29 주식회사 기가레인 플라즈마 안테나 및 이를 포함하는 플라즈마 처리장치

Also Published As

Publication number Publication date
CN1819736A (zh) 2006-08-16
US20060174834A1 (en) 2006-08-10
TWI355216B (en) 2011-12-21
KR101198439B1 (ko) 2012-11-06
TW200629988A (en) 2006-08-16
CN100382660C (zh) 2008-04-16
US7776156B2 (en) 2010-08-17

Similar Documents

Publication Publication Date Title
KR101198439B1 (ko) 플라즈마 처리 장치용 측면 rf 코일 및 측면 히터
JP7385621B2 (ja) イオン-イオンプラズマ原子層エッチングプロセス及びリアクタ
US11476093B2 (en) Plasma etching systems and methods with secondary plasma injection
US11728139B2 (en) Process chamber for cyclic and selective material removal and etching
US7363876B2 (en) Multi-core transformer plasma source
KR101094124B1 (ko) 균일한 프로세스 레이트를 발생시키는 안테나
CN101506950B (zh) 使用多频率rf功率的混合rf电容和电感耦合等离子源及其使用方法
US7972467B2 (en) Apparatus and method to confine plasma and reduce flow resistance in a plasma reactor
US8742665B2 (en) Plasma source design
US20040237897A1 (en) High-Frequency electrostatically shielded toroidal plasma and radical source
EP0805475A2 (en) Plasma processing apparatus
US20110114601A1 (en) Plasma source design
US11114284B2 (en) Plasma reactor with electrode array in ceiling
US6634313B2 (en) High-frequency electrostatically shielded toroidal plasma and radical source
KR20110038150A (ko) 필드 강화 유도 결합 플라즈마 반응기
KR20100126510A (ko) 플라스마 챔버의 조정가능한 접지 평면
WO2009117624A2 (en) Mono-energetic neutral beam activated chemical processing system and method of using
KR101040541B1 (ko) 플라즈마 발생용 하이브리드 안테나

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150930

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160929

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170929

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20181015

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20191001

Year of fee payment: 8