US20180261429A1 - Ion-ion plasma atomic layer etch process and reactor - Google Patents

Ion-ion plasma atomic layer etch process and reactor Download PDF

Info

Publication number
US20180261429A1
US20180261429A1 US15/980,621 US201815980621A US2018261429A1 US 20180261429 A1 US20180261429 A1 US 20180261429A1 US 201815980621 A US201815980621 A US 201815980621A US 2018261429 A1 US2018261429 A1 US 2018261429A1
Authority
US
United States
Prior art keywords
chamber
plasma
power
workpiece
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/980,621
Inventor
Kenneth S. Collins
Kartik Ramaswamy
James D. Carducci
Shahid Rauf
Leonid Dorf
Yang Yang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US15/980,621 priority Critical patent/US20180261429A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: COLLINS, KENNETH S., DORF, LEONID, CARDUCCI, JAMES D., RAUF, SHAHID, YANG, YANG, RAMASWAMY, KARTIK
Publication of US20180261429A1 publication Critical patent/US20180261429A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32321Discharge generated by other radiation
    • H01J37/3233Discharge generated by other radiation using charged particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/85Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a wire connector
    • H01L2224/85009Pre-treatment of the connector or the bonding area
    • H01L2224/8501Cleaning, e.g. oxide removal step, desmearing
    • H01L2224/85017Electron beam cleaning

Definitions

  • the disclosure concerns a plasma reactor for processing a workpiece such as a semiconductor wafer using an overhead electron beam source.
  • Plasma sources for processing a workpiece can have an electron beam source having a beam path that is transverse to the cylindrical axis of symmetry of the plasma reactor. Such a transverse arrangement can introduce asymmetries into the processing, for which special features may be needed in the reactor to avoid such asymmetries.
  • An electron beam plasma reactor comprises: (1) an upper plasma chamber comprising: (a) a side wall, (b) a top electrode support comprising an electrically insulated electrostatic chuck and thermal control apparatus coupled to said top electrode support, (c) a top electrode thermally coupled to said top electrode support and having a top electrode surface, (d) an RF source power generator coupled to said top electrode or to said top electrode support or to an interior of said upper chamber, and a D.C chucking voltage source coupled to said electrically insulated electrostatic chuck, (e) a gas distributor, and (f) a grid filter facing said top electrode surface.
  • the electron beam plasma reactor further comprises: (2) a lower plasma chamber, said grid filter separating said upper plasma chamber from said lower plasma chamber, said lower plasma chamber comprising: (a) a vacuum chamber body surrounding a processing region, and (b) a workpiece support pedestal comprising an electrically insulated electrostatic chuck and thermal control apparatus coupled to said workpiece support pedestal, and having a workpiece support surface facing said grid filter.
  • the reactor further comprises a bias voltage generator coupled to workpiece support pedestal.
  • said top electrode comprises one of silicon, carbon, silicon carbide, silicon oxide, aluminum oxide, yttrium oxide, or zirconium oxide.
  • said RF source power generator comprises a first RF power generator of a VHF frequency and a second RF power generator of a below-VHF frequency.
  • said grid filter is conductive, wherein said grid filter is one of: (a) electrically floating, or (b) at a fixed potential.
  • One embodiment of the plasma reactor further comprises a folded resonator coupled between said first RF power generator and said top electrode.
  • said folded resonator is coaxial with said side wall.
  • said RF source power generator comprises a lower VHF frequency generator having a first VHF frequency and a higher VHF frequency generator having a second VHF frequency greater than said first VHF frequency.
  • said grid filter comprises first and second grids facing one another, said plasma reactor further comprising an acceleration voltage source connected to one of said first and second grids.
  • One embodiment further comprises a first magnet adjacent one of said upper and lower chambers, each circularly shaped and disposed at respective axial locations around said chamber.
  • the reactor further comprises a second magnet, said first and second magnets being adjacent respective ones of said upper and lower chambers, said first and second magnets being circularly shaped and disposed at respective axial locations around said chamber, wherein said first and second magnets produce one of: (a) a cusp-shaped field that is predominantly axial in said upper chamber and predominantly radial in said lower chamber, or (b) an axial field.
  • the reactor further comprises a third magnet, wherein said first and second magnets produce a first cusp-shaped field having a cusp plane at said upper chamber and said second and third magnet produce a second cusp-shaped field having a cusp plane at said lower chamber.
  • the reactor further comprises a magnet having a magnetic field in a transverse direction in said lower chamber.
  • the reactor further comprises: a window in said side wall; a coil antenna around said window; and an RF generator coupled to said coil antenna.
  • the reactor further comprises a remote plasma source having an output coupled to said chamber.
  • a method of processing a workpiece in an electron beam plasma reactor comprises: dividing a chamber of said reactor into an upper chamber and a lower chamber by a grid filter, and supporting a workpiece in said lower chamber with a surface of said workpiece facing said grid filter along an axis; supplying a gas into said chamber; coupling RF source power into said upper chamber or to an electrode of said upper chamber to generate a plasma including beam electrons in said upper chamber to produce an electron beam having a beam propagation direction corresponding to said axis; allowing flow of at least a portion of said beam electrons from said upper chamber to said lower chamber while preventing flow of at least a portion of non-beam electrons and plasma ions from said upper chamber to said lower chamber; and producing a plasma in said lower chamber from said electron beam.
  • the method further comprises supplying a substantially inert gas into said upper chamber and supplying a molecular process gas into said lower chamber.
  • said generating a plasma comprises applying RF power to a plasma source electrode underlying a ceiling of said upper chamber, the method further comprising supporting said electrode by electrostatically chucking said plasma source electrode to said ceiling.
  • the method further comprises controlling a temperature of said plasma source electrode by circulating a thermally conductive medium inside said ceiling.
  • the method further comprises coupling a bias voltage to said workpiece.
  • said plasma source electrode comprises one of silicon, carbon, silicon carbide, silicon oxide, aluminum oxide, yttrium oxide, or zirconium oxide.
  • said generating a plasma comprises applying RF source power to a plasma source electrode underlying a ceiling of said upper chamber, wherein said RF source power comprises RF power of a first frequency and RF power of a second frequency.
  • the method further comprises providing a magnetic field in said chamber from a first magnet comprising either a permanent magnet or an electromagnet. In one embodiment, the method further comprises providing a second magnet, said first and second magnets producing one of a cusp magnetic field or an axial magnetic field.
  • said generating a plasma further comprises applying RF source power to a coil antenna around a window in a sidewall of upper chamber.
  • a method of performing atomic layer etching using an electron beam plasma source in a process chamber comprises: dividing said process chamber into upper and lower chambers by a grid filter, said upper chamber having a ceiling electrode, and placing a workpiece in said lower chamber having a surface layer to be etched; furnishing a molecular process gas to said chamber; (I) performing a passivation process comprising: (A) performing at least one of: (a) coupling a high power level of VHF power into said upper chamber or to said ceiling electrode, or (b) coupling a high level of inductively coupled power into said upper chamber; and (B) maintaining a bias voltage on said workpiece at zero or below a threshold for etching said surface layer of said workpiece to reduce or prevent etching of the surface layer during the passivation process; (II) performing an etch process comprising: (A) performing at least one of: (a) applying to said ceiling electrode a high level of lower frequency RF power, or (b) reducing or eliminating the
  • said furnishing a molecular process gas to said chamber comprises furnishing said molecular process gas into said lower chamber.
  • the method may further comprise furnishing an inert gas into said upper chamber.
  • said furnishing a molecular process gas to said chamber comprises furnishing said molecular process gas into said upper chamber.
  • said passivation process may further comprise furnishing an inert gas into said upper chamber.
  • the method further comprises performing said passivation process for a duration corresponding to passivation of a selected depth of material of said surface layer.
  • said selected depth is one atomic layer.
  • said molecular process gas comprises a passivation species.
  • said reducing dissociation substantially stops or diminishes passivation of said surface layer of said workpiece.
  • said enhancing dissociation comprises generating an electron beam by ion bombardment of said ceiling electrode, said electron beam propagating from said upper chamber to said lower chamber.
  • said high level of power of said VHF power is in a range of 300 to 10,000 Watts;
  • said high level of inductively coupled power is in a range of 300 to 10,000 Watts; and
  • said high level of lower frequency RF power is in a range of 300 to 10,000 Watts.
  • FIG. 1 depicts a plasma reactor in accordance with a first embodiment.
  • FIG. 1A depicts a modification of the plasma reactor of FIG. 1 having a pair of grids.
  • FIG. 2 depicts a plasma reactor in accordance with a second embodiment.
  • FIG. 3 is a partially cut-away elevational view of a VHF resonator employed in the embodiment of FIG. 2 .
  • FIG. 4 is a plan view corresponding to FIG. 3 .
  • FIG. 5A is an orthographic projection of a second embodiment of the VHF resonator of FIG. 3 .
  • FIG. 5B is a plan view corresponding to FIG. 5A .
  • FIG. 5C is an enlarged view of a portion of FIG. 5A .
  • FIG. 6 depicts an embodiment having a cusp-shaped magnetic field as a magnetic filter.
  • FIG. 7 depicts an embodiment having an axial magnetic field for confining an electron beam.
  • FIG. 8 depicts an embodiment having a transverse magnetic field as a magnetic filter.
  • FIG. 9 depicts an embodiment having an upper cusp-shaped magnetic field for confining plasma near the ceiling electrode and a lower cusp-shaped magnetic field as a magnetic filter.
  • FIG. 10 is a block diagram depicting a method in accordance with an embodiment.
  • FIG. 11 is a block diagram depicting an atomic layer etch method in accordance with an embodiment.
  • an electron beam plasma reactor has a vacuum chamber body defining a chamber 100 including a side wall 102 of cylindrical shape.
  • the chamber 100 is divided by a grid filter 104 into an upper chamber 100 a and a lower chamber 100 b .
  • the lower chamber 100 b is a drift space because of a lack of substantial electric field therein in the absence of an applied bias voltage.
  • a ceiling 106 overlies the upper chamber 100 a , and supports an electrode 108 .
  • the electrode 108 is formed of a process-compatible material such as silicon, carbon, silicon carbon compound or a silicon-oxide compound.
  • the electrode 108 is formed of a metal oxide such as aluminum oxide, yttrium oxide, or zirconium oxide.
  • the ceiling 106 and the electrode 108 may be disk-shaped.
  • a bottom surface of the electrode 108 faces the grid filter 104 and is exposed to the interior of the upper chamber 100 a .
  • an insulator or dielectric ring 109 surrounds the electrode 108 .
  • a workpiece support pedestal 110 for supporting a workpiece 111 in the lower chamber 100 b has a workpiece support surface 110 a facing the grid filter 104 and may be movable in the axial direction by a lift servo 112 .
  • the workpiece support pedestal 110 includes an insulating puck 302 forming the workpiece support surface 110 a , a workpiece electrode 304 inside the insulating puck 302 , and a chucking voltage supply 305 connected to the workpiece electrode 304 .
  • a base layer 306 underlying the insulating puck 302 has internal passages 308 for circulating a thermal medium (e.g., a liquid) from a circulation supply 310 .
  • the circulation supply 310 may function as a heat sink or as a heat source.
  • An RF power generator 120 having a VHF frequency (e.g., 160 MHz) and a lower frequency RF power generator 122 having a frequency below the VHF range or below the HF range (e.g., in the MF or LF range, e.g., 2 MHz) are coupled to the electrode 108 through an impedance match 124 via an RF feed conductor 123 .
  • the impedance match 124 is adapted to provide an impedance match at the different frequencies of the RF power generators 120 and 122 , as well as filtering to isolate the power generators from one another.
  • the output power levels of the RF generators 120 , 122 are independently controlled by a controller 126 .
  • the ceiling 106 is electrically conductive and is in electrical contact with the electrode 108 , and the power from the impedance match 124 is conducted through the ceiling 106 to the electrode 108 .
  • the side wall 102 is formed of metal and is grounded.
  • the surface area of grounded internal surfaces inside the upper chamber 100 a is at least twice the surface area of the electrode 108 .
  • the grounded internal surfaces inside the chamber 100 may be coated with a process compatible material such as silicon, carbon, silicon carbon compound or a silicon-oxide compound.
  • grounded internal surfaces inside the chamber 100 may be coated with a material such as aluminum oxide, yttrium oxide, or zirconium oxide.
  • the RF power generator 120 may be replaced by two VHF power generators 120 a and 120 b that are separately controlled.
  • the VHF generator 120 a has an output frequency in a lower portion (e.g., 30 MHz to 150 MHz) of the VHF band, while the VHF generator 120 b has an output frequency in an upper portion (e.g., 150 MHz to 300 MHz) of the VHF band.
  • the controller 126 may govern plasma ion density by selecting the ratio between the output power levels of the VHF generators 120 a and 120 b .
  • radial plasma uniformity in the upper chamber 100 a can be controlled by selecting the gap of upper chamber 100 a (the distance between the electrode 108 and the grid filter 104 ) such that by itself the lower VHF frequency produces an edge-high radial distribution of plasma ion density in the upper chamber 100 a and by itself the upper VHF frequency produces a center-high radial distribution of plasma ion density.
  • the power levels of the two VHF power generators 120 a , 120 b are then set to a ratio at which uniformity of radial distribution of plasma ion density is optimized.
  • the ceiling 106 is a support for the electrode 108 and includes an insulating layer 150 containing a chucking electrode 152 facing the electrode 108 .
  • a D.C. chucking voltage supply 154 is coupled to the chucking electrode 152 via the feed conductor 155 , for electrostatically clamping the electrode 108 to the ceiling 106 .
  • a D.C. blocking capacitor 156 may be connected in series with the output of the impedance match 124 .
  • the controller 126 may control the D.C. chucking voltage supply 154 .
  • the RF feed conductor 123 from the impedance match 124 may be connected to the electrode support or ceiling 106 rather than being directly connected to the electrode 108 . In such an embodiment, RF power from the RF feed conductor 123 may be capacitively coupled from the electrode support to the electrode 108 .
  • upper gas injectors 130 provide process gas into the upper chamber 100 a through a first valve 132 .
  • lower gas injectors 134 provide process gas into the lower chamber 100 b through a second valve 136 .
  • Gas is supplied from an array of process gas supplies 138 through an array of valves 140 which may include the first and second valves 132 and 136 , for example.
  • gas species and gas flow rates into the upper and lower chambers 100 a , 100 b are independently controllable.
  • the controller 126 may govern the array of valves 140 .
  • an inert gas is supplied into the upper chamber 100 a and a process gas is supplied into the lower chamber 100 b .
  • the inert gas flow rate may be selected to substantially prevent convection or diffusion of gases from the lower 100 b into the upper chamber 100 a , providing substantial chemical isolation of the upper chamber 100 a.
  • plasma may be produced in the upper chamber 100 a by various bulk and surface processes, including energetic ion bombardment of the interior surface of the top electron-emitting electrode 108 .
  • the ion bombardment energy of the electrode 108 and the plasma density are functions of both RF power generators 120 and 122 .
  • the ion bombardment energy of the electrode 108 may be substantially controlled by the lower frequency power from the RF power generator 122 and the plasma density in the upper chamber 100 a may be substantially controlled (enhanced) by the VHF power from the RF power generator 120 .
  • Energetic secondary electrons may be emitted from the interior surface of the electrode 108 .
  • the flux of energetic electrons from the emitting surface may comprise an electron beam, and may have a direction substantially perpendicular to the interior surface of the electrode 108 , and a beam energy of approximately the ion bombardment energy of the electrode 108 , which typically can range from about 10 eV to 5000 eV.
  • the collision cross-sections for different processes depend upon the electron energy. At low energies, cross-sections for excitation (and dissociation in molecular gases) are larger than for ionization, while at high energies the reverse is true.
  • the RF power level(s) may be advantageously selected to target various inelastic electron collision processes.
  • the plasma density in the upper chamber 100 a may be substantially controlled (enhanced) by the RF power from the RF power generator 174 .
  • the grid filter 104 is of a flat disk shape and may be coaxial with the side wall 102 .
  • the grid filter 104 is formed with an array of plural openings 104 - 1 .
  • the axial thickness T of the grid filter 104 and the diameter, d, of the plural openings 104 - 1 are selected to promote flow through the grid filter 104 of energetic directed beam electrons while impeding flow of non-beam (low energy) electrons and plasma ions through the grid filter 104 , and the ratio of grid filter hole area to total grid filter area may be maximized.
  • the energetic electron flux (electron beam) may pass through the grid filter 104 to the lower chamber 100 b and may produce a plasma by various electron impact processes in the lower chamber 100 b.
  • the plasma produced by the electron beam in the lower chamber 100 b may have different characteristics from the plasma in the upper chamber 100 a .
  • the grid filter 104 may function as a filter to substantially electrically isolate the upper and lower chambers 100 a , 100 b from one another.
  • the grid filter 104 is formed of a conductive or semiconductive material, and may be connected to ground or may be electrically floating.
  • the grid filter 104 is formed of a non-conductive material.
  • the grid filter 104 may be coated with a process compatible material such as silicon, carbon, silicon carbon compound or a silicon-oxide compound.
  • grid filter 104 may be coated with a material such as aluminum oxide, yttrium oxide, or zirconium oxide.
  • the plasma produced in the upper chamber 100 a may have high electron density and/or high electron temperature, and have high energy ions impinging on the electrode 108 .
  • At least a portion of the electron beam comprised of the secondary electron flux emitted from electrode 108 due to energetic ion bombardment of the electrode surface, propagates through the grid filter 104 and into the lower chamber 100 b , producing a low electron temperature plasma in the lower chamber 100 b , with a plasma density that depends upon beam energy and flux, as well as other factors such as pressure and gas composition.
  • the energetic beam electrons may impinge upon the workpiece 111 or workpiece support pedestal 110 upon leaving the plasma region of the lower chamber 100 b .
  • the plasma left behind may readily discharge any resultant surface charge caused by the electron beam flux.
  • an electronegative or electron-attaching gas such as Chlorine is furnished into the chamber, RF and/or VHF power is applied to the electrode 108 , RF power is optionally applied to coil antenna 172 , RPS power is optionally applied to a remote plasma source (RPS) 280 , a plasma is generated in the upper chamber 100 a and an accelerating voltage is developed on the electrode 108 with respect to ground and with respect to the plasma.
  • RPS remote plasma source
  • the grid filter 104 allows at least a portion of the electron beam to propagate through the grid filter 104 and into the lower chamber 100 b , while preventing at least a portion of non-beam electrons and plasma ions from passing through the grid filter 104 , producing a low electron temperature plasma in the lower chamber 100 b .
  • the resultant low electron temperature plasma in the lower chamber 100 b in an electronegative gas such as Chlorine may produce a highly electronegative plasma, with negative ion densities much higher than electron densities and approaching densities of positive ions. Such a plasma is commonly called an ion-ion plasma.
  • a substantially axially-directed magnetic field, substantially parallel to the electron beam, may be optionally used to help guide the electron beam, improving beam transport through the upper chamber 100 a , the grid filter 104 and/or the lower chamber 100 b .
  • a low frequency bias voltage or arbitrary waveform of low repetition frequency may be applied to the workpiece support pedestal 110 (e.g., to the workpiece electrode 304 ) to selectively or alternately extract positive and/or negative ions from said plasma and accelerate those ions at desired energy levels to impact the surface of the workpiece 111 for etching, cleaning, deposition, or other materials modification.
  • Radicals produced (a) in the upper chamber 100 a , (b) by the electron beam in the lower chamber 100 b , (c) by the application of bias voltage to the workpiece support pedestal 110 , or (d) by the remote plasma source (RPS) 280 , may convect or diffuse to the workpiece 111 and participate in reaction on the workpiece surface.
  • RPS remote plasma source
  • a relatively inert gas such as Helium or Argon is furnished into the upper chamber 100 a
  • an electronegative or electron-attaching gas such as Sulfur Hexafluoride is flowed into the lower chamber 100 b
  • RF and/or VHF power is applied to the electrode 108
  • RF power is optionally applied to coil antenna 172
  • RPS power is optionally applied to the RPS 280
  • a plasma is generated in the upper chamber 100 a and an accelerating voltage is developed on the electrode 108 with respect to ground and with respect to the plasma.
  • the resulting energetic ion bombardment of the electrode 108 produces secondary electron emission from electrode surface, which constitutes an electron beam flux from the electrode surface.
  • the grid filter 104 allows at least a portion of the electron beam to propagate through the grid filter 104 and into the lower chamber 100 b , while preventing at least a portion of non-beam electrons and plasma ions from passing through the grid filter 104 , producing a low electron temperature plasma in the lower chamber 100 b.
  • the resultant low electron temperature plasma in the lower plasma chamber in an electronegative gas such as Sulfur Hexafluoride may produce a highly electronegative plasma, with negative ion densities much higher than electron densities and approaching densities of positive ions, commonly called an ion-ion plasma.
  • a substantially axially-directed magnetic field, substantially parallel to the electron beam, may be optionally used to help guide the electron beam, improving beam transport through the upper chamber 100 a , the grid filter 104 and/or the lower chamber 100 b .
  • a low frequency bias voltage or arbitrary waveform of low repetition frequency may be applied to the workpiece support pedestal 110 to selectively or alternately extract positive and/or negative ions from the plasma and accelerate the ionic species at desired energy levels to impact the workpiece surface for etching, cleaning, deposition, or other materials modification.
  • Radicals produced (a) in the upper chamber 100 a , (b) by the electron beam in the lower chamber 100 b , (c) by the application of bias voltage to the workpiece support pedestal 110 , or (d) by the RPS 280 may convect or diffuse to the workpiece 111 and participate in reaction on the workpiece surface.
  • the grid filter 104 is a gas distribution plate, having internal gas passages 105 a and gas injection outlets 105 b .
  • the internal gas passages 105 a may be coupled to the array of valves 140 .
  • an RF bias power generator 142 is coupled through an impedance match 144 to the workpiece electrode 304 of the workpiece support pedestal 110 .
  • a waveform tailoring processor 147 may be connected between the output of the impedance match 144 and the workpiece electrode 304 .
  • the waveform tailoring processor 147 changes the waveform produced by the RF bias power generator 142 to a desired waveform.
  • the ion energy of plasma near the workpiece 111 is controlled by the waveform tailoring processor 147 .
  • the waveform tailoring processor 147 produces a waveform in which the amplitude is held during a certain portion of each RF cycle at a level corresponding to a desired ion energy level.
  • the controller 126 may control the waveform tailoring processor 147 .
  • a magnet 160 surrounds the chamber 100 .
  • the magnet comprises a pair of magnets 160 - 1 , 160 - 2 adjacent the upper and lower chambers 100 a , 100 b , respectively.
  • the pair of magnets 160 - 1 , 160 - 2 provides an axial magnetic field suitable for confining an electron beam that is propagating from the upper chamber 100 a to the lower chamber 100 b.
  • a side window 170 in the side wall 102 faces the upper chamber 100 a and is formed of a material (e.g., quartz or aluminum oxide) through which RF power may be inductively coupled.
  • An inductive coil antenna 172 surrounds the side window 170 and is driven by an RF power generator 174 through an impedance match 176 .
  • the remote plasma source 280 may introduce plasma species into the lower chamber 100 b.
  • flow of energetic electrons to the workpiece 111 is blocked by a magnetic field having a predominantly radial component (i.e., transverse to the electron beam flow direction) in the region between the grid filter 104 and the workpiece 111 .
  • This magnetic field may be produced by one of the magnets 160 - 1 or 160 - 2 , or by another magnet or set of magnets.
  • internal passages 178 for conducting a thermally conductive liquid or media inside the ceiling 106 are connected to a thermal media circulation supply 180 .
  • the thermal media circulation supply 180 acts as a heat sink or a heat source.
  • the mechanical contact between the electrode 108 and the ceiling 106 is sufficient to maintain high thermal conductance between the electrode 108 and the ceiling 106 .
  • the force of the mechanical contact is regulated by the electrostatic clamping force provided by the D.C. chucking voltage supply 154 .
  • the grid filter 104 is replaced by two grids, namely an upper grid filter 104 A and a lower grid filter 104 B spaced apart from one another.
  • the upper and lower grid filters 104 A, 104 B are conductive and may be held at different voltages.
  • the upper grid filter 104 A may be grounded while an acceleration voltage supply 300 may be connected to the lower grid filter 104 B.
  • an RF-driven coil antenna 290 may be provided over the ceiling 106 .
  • FIG. 2 depicts a modification of the embodiment of FIG. 1 in which the VHF power (from the RF generator 120 ) and the lower frequency RF power (from the RF generator 122 ) are delivered to the electrode 108 through separate paths.
  • the RF generator 120 is coupled to the electrode 108 through a folded resonator 195 overlying an edge of the electrode 108 .
  • the lower frequency RF generator 122 is coupled to the electrode 108 via the RF feed conductor 123 through an RF impedance match 194 .
  • the D.C. chucking voltage supply 154 is coupled to the chucking electrode 152 through the feed conductor 155 extending through a passage in the ceiling 106 .
  • the folded coaxial resonator 195 includes an inner conductive hollow cylinder 200 that is coaxial with the ceiling electrode 108 .
  • the inner conductive hollow cylinder 200 has a circular bottom edge 200 a electrically contacting the top surface of the ceiling electrode 108 .
  • the folded coaxial resonator 195 further includes an outer conductive hollow cylinder 205 having a circular bottom edge 205 a contacting the top surface of a dielectric ring 109 that surrounds the periphery of the electrode 108 .
  • the dielectric ring 109 may consist of an insulating support ring 109 a and an insulating clamp ring 109 b beneath the insulating support ring 109 a .
  • the inner and outer conductive cylinders 200 , 205 are of at least approximately the same axial length, so that their circular top edges 200 b , 205 b are at the same height above the ceiling electrode 108 .
  • the folded coaxial resonator 195 also includes a planar conductive annulus 210 resting upon and electrically connecting the circular top edges 200 b , 205 b of the inner and outer conductive hollow cylinders 200 , 205 .
  • the folded coaxial resonator 195 further includes a center conductive hollow cylinder 215 coaxial with the inner and outer hollow conductive cylinders 200 , 205 and located between them.
  • the radius of the center conductive hollow cylinder 215 may be the geometric mean of the radii of the inner and outer hollow conductive cylinders 200 , 205 .
  • the center conductive hollow cylinder 215 has a circular bottom edge 215 a resting on and in electrical contact with the top surface of the electrode 108 .
  • a VHF power coupler 220 conducts VHF power from the RF generator 120 to the center hollow conductive cylinder 215 .
  • the center hollow conductive cylinder 215 is the RF-fed conductor of the folded coaxial resonator 195
  • the inner and outer hollow conductive cylinders 200 , 205 together with the planar conducive annulus 210 are analogous to a grounded outer conductor of a simple coaxial resonator.
  • the electrical connection of the bottom circular edges 200 a , 215 a to the ceiling electrode 108 provides the requisite D.C. short, equivalent to the D.C. short at the end of a simple (unfolded) coaxial tuning stub.
  • the VHF power coupler 220 includes an axial conductor 222 extending through a top portion of the hollow inner cylinder 200 from a top end 222 a outside of the hollow inner cylinder 200 to a bottom end 222 b inside of the inner cylinder 200 .
  • a first spoke conductor 224 a extends radially from the axial conductor bottom end 222 b through a hole 226 a in the inner cylinder 200 to the center cylinder 215 . As depicted in FIG.
  • spoke conductors 224 a , 224 b , 224 c there are a plurality of spoke conductors 224 a , 224 b , 224 c , symmetrically arranged and extending radially from the axial conductor bottom end 222 b , through respective holes 226 a , 226 b , 226 c in the inner cylinder 200 and to the center cylinder 215 to which their outer ends are electrically connected.
  • spoke conductors 224 there are three spoke conductors 224 disposed at 120 degree intervals, although any suitable number n of spoke conductors 224 may be provided at 360/n degree intervals.
  • the VHF power coupler 220 is provided as a coaxial structure in which the axial conductor 222 and each of the spoke conductors 224 is a coaxial transmission line including a center conductor that is RF hot, surrounded by a grounded outer conductor or shield.
  • This coaxial structure is depicted in FIGS. 5A and 5B , and is compatible with the field-free environment of the interior of the inner hollow conductive cylinder 200 .
  • the axial conductor 222 consists of a center axial conductor 222 - 1 connected to the output of the VHF generator 120 , and a grounded outer axial conductor 222 - 2 surrounding the center axial conductor 222 - 1 .
  • FIG. 5C depicts a cross-sectional view of the axial conductor 222 .
  • each of the spoke conductors 224 a , 224 b , 224 c embodies a coaxial transmission line structure.
  • the spoke conductor 224 a consists of a center spoke conductor 224 a - 1 and an outer spoke conductor 224 a - 2 surrounding the center spoke conductor 224 a - 1 .
  • the center spoke conductor 224 a - 1 extends radially from the axial center conductor 222 - 1 and terminates at and is electrically connected to the center cylinder 215 .
  • the center spoke conductor 224 a - 1 is RF hot by reason of its connection to the axial center conductor 222 - 1 .
  • the outer spoke conductor 224 a - 2 extends from the grounded axial outer conductor 222 - 2 and is terminated at (and electrically connected to) the inner cylinder 200 .
  • the center spoke conductor 224 a - 1 passes through the hole 226 a (without contacting the inner conductive cylinder 200 ) to contact the center conductive cylinder 215 .
  • each of the spoke conductors 224 a , 224 b , 224 c is the same.
  • the spoke conductor 224 b consists of a center spoke conductor 224 b - 1 and an outer spoke conductor 224 b - 2 surrounding the center spoke conductor 224 b - 1 .
  • the center spoke conductor 224 b - 1 extends radially from the axial center conductor 222 - 1 and terminates at the center cylinder 215 .
  • the center spoke conductor 224 b - 1 is RF hot by reason of its connection to the axial center conductor 222 - 1 .
  • the outer spoke conductor 224 b - 2 extends from the grounded axial outer conductor 222 - 2 and is terminated at (and electrically connected to) the inner cylinder 200 , while the center spoke conductor 224 b - 1 passes through the hole 226 b (without contacting the inner conductive cylinder 200 ) to contact the center conductive cylinder 215 .
  • the spoke conductor 224 c consists of a center spoke conductor 224 c - 1 and an outer spoke conductor 224 c - 2 surrounding the center spoke conductor 224 c - 1 .
  • the center spoke conductor 224 c - 1 extends radially from the axial center conductor 222 - 1 and terminates at the center cylinder 215 .
  • the center spoke conductor 224 c - 1 is RF hot by reason of its connection to the axial center conductor 222 - 1 .
  • the outer spoke conductor 224 c - 2 extends from the grounded axial outer conductor 222 - 2 and is terminated at (and electrically connected to) the inner cylinder 200 , while the center spoke conductor 224 c - 1 passes through the hole 226 c (without contacting the inner conductive cylinder 200 ) to contact the center conductive cylinder 215 .
  • the plural spoke center conductors 224 a - 1 , 224 b - 1 and 224 c - 1 extend in the radial direction from the axial center conductor 222 - 1 to electrically contact the center conductive cylinder 215 .
  • the area of this contact defines a circular plane.
  • the axial location of this circular plane is selected to be such that the electrical or RF impedance at this location matches the characteristic impedance of 224 a , 224 b and 224 c , respectively, at the VHF frequency of the RF generator 120 .
  • the characteristic impedance of the individual spoke conductors 224 a , 224 b and 224 c is selected such that their total impedance at the junction ( 222 b ) matches the output impedance of the VHF generator 120 at the frequency of the VHF generator 120 .
  • FIG. 6 depicts a cusp-shaped magnetic field produced by the magnets 160 - 1 and 160 - 2 in one embodiment.
  • the cusp-shaped magnetic field is predominantly radial in the lower chamber 100 b and therefore diverts electrons from reaching the workpiece 111 .
  • the cusp-shaped magnetic field is axial in a small region in the center.
  • a center shield or blocker 400 may be provided.
  • FIG. 7 depicts an axial-shaped magnetic field produced by the magnets 160 - 1 and 160 - 2 in another embodiment.
  • the axial magnetic field is effective for confining the electron beam along an axial path.
  • FIG. 8 depicts a transverse magnetic field M produced in the lower chamber 100 b by a magnet 161 , for diverting electrons from reaching the workpiece 111 .
  • the magnet 161 may be implemented as a Halbach array, for example.
  • the magnet 161 may be a circular array of electromagnets so that the transverse magnetic field M may be electrically rotated about the axis of cylindrical symmetry of the chamber 100 , to enhance process uniformity.
  • FIG. 9 depicts a magnetic field including an upper cusp-shaped magnetic field 500 produced by magnets 160 - 1 and 160 - 2 in the upper chamber 100 a and a lower cusp-shaped magnetic field 504 produced by magnets 160 - 2 and 160 - 3 in the lower chamber 100 b .
  • the upper and lower cusp-shaped magnetic fields 500 , 504 have respective planes of symmetry 506 , 508 above and below the grid filter 104 , respectively.
  • the upper cusp-shaped field 500 helps confine plasma near the electrode 108 .
  • the lower cusp-shaped magnetic field 504 is predominantly radial in the lower chamber 100 b and therefore diverts electrons from reaching the workpiece 111 .
  • the cusp field is axial in a small region in the center. In order to avoid leakage of energetic electrons through the center portion the field due to the predominantly axial form of the field in the center, a center shield or blocker 400 may be provided in the lower chamber 100 b.
  • any one of the electron beam plasma reactors of FIG. 1 , FIG. 1A or FIG. 2 may be employed to carry out the following method of processing a workpiece in an electron beam plasma reactor.
  • the grid filter 104 is provided to divide the chamber 100 into an upper chamber 100 a and a lower chamber 100 b (block 610 of FIG. 10 ), while supporting the workpiece 111 in the lower chamber 100 b facing the grid filter 104 .
  • a gas is supplied into at least one of the upper and lower chambers 100 a , 100 b (block 612 of FIG. 10 ).
  • the method further includes allowing flow of at least a portion of the beam electrons from the upper chamber 100 a to the lower chamber 100 b through the grid filter 104 (block 616 of FIG. 10 ) while preventing flow through the grid filter 104 of at least a portion of non-beam electrons and plasma ions from the upper chamber 100 a to the lower chamber 100 b (block 618 of FIG. 10 ).
  • the method further includes allowing the electron beam to produce a plasma in the lower chamber 100 b (block 620 of FIG.
  • the method can further include supplying a substantially inert gas into the upper chamber 100 a and supplying a molecular process gas into the lower chamber 100 b (block 622 of FIG. 10 ).
  • the method can further include coupling a bias voltage to the workpiece 111 (block 624 of FIG. 10 ).
  • the reactor of FIG. 1 or FIG. 1A or FIG. 2 may be employed to perform an atomic layer etch process.
  • the workpiece 111 includes a semiconductive bulk layer (e.g., monocrystalline Silicon), an overlying layer (e.g., an oxide of Silicon) and a surface layer (e.g., polycrystalline Silicon), which may be partially masked.
  • one of the gas supplies 138 contains a precursor of an etch species (e.g., Argon gas), while another one of the gas supplies 138 contains a precursor of a passivation species (e.g., Chlorine gas).
  • the passivation species is produced by dissociation (in a plasma) of the passivation precursor species (e.g., the Chlorine gas).
  • Passivation is performed by exposing the workpiece 111 to the passivation species.
  • the surface layer of the workpiece 111 is not readily etched (or is not susceptible to etching) by the etch species at the selected energy.
  • Passivation renders the surface layer of the workpiece susceptible to etching by the etch species.
  • the depth of the passivated portion of the surface layer is determined by the time of exposure to the passivation species. In this process, the time of exposure to the passivation species is set to a duration in which one atomic layer is passivated.
  • the workpiece 111 is exposed to the etch species, to remove the one atomic layer. Thereafter the foregoing sequence is repeated to remove the next atomic layer. This cycle is repeated until a desired portion (e.g., 100%) of the surface layer has been removed, one atomic layer at a time.
  • the process thus consists of alternating phases of passivation and etching.
  • an inert gas such as Argon is furnished to the upper chamber 100 a
  • a molecular process gas such as Chlorine is furnished to the lower chamber 100 b .
  • the plasma is sustained by various bulk and surface processes, including energetic ion bombardment of the electrode 108 by plasma ions.
  • the density of the plasma is primarily controlled by the power level of the VHF power from the RF power generator 120 or by the power level of the RF power generator 174 powering the optional RF coil antenna 172 , while the ion bombardment energy on the electrode 108 and thus the resultant secondary electron beam energy is primarily controlled by the power level of the lower frequency power from the RF power generator 122 .
  • high power level in a range of 300 to 10,000 Watts of VHF power from the RF power generator 120 (or optionally from the RF power generator 174 powering the optional RF coil antenna 172 ) produces a plasma in the upper chamber 100 a.
  • the foregoing examples of high power levels are for a reactor that processes workpieces of 200 mm to 300 mm diameter, while larger substrates would use higher power levels.
  • the voltage on the electrode 108 which may be optionally increased by additional application of RF power from the VHF power generator 120 b to the electrode 108 , accelerates an electron beam, which propagates through the grid filter 104 into the lower chamber 100 b , producing a plasma in the lower chamber 100 b .
  • the high flux, low energy, electron beam conditions in the lower chamber 100 b enhance dissociation of at least a portion of molecular Chlorine into atomic Chlorine radicals, electrons and ions.
  • a high level of lower frequency RF power (in a range of 300 to 10,000 Watts) is applied to the electrode 108 , or (B) low or no VHF power is applied to the electrode 108 , or (C) low or no RF power is applied to the coil antenna 172 .
  • Low power in this instance is in a range below 300 Watts.
  • the foregoing example of high power level is for a reactor that processes workpieces of 200 mm to 300 mm diameter, while larger substrates would use higher power levels.
  • the higher voltage on the electrode 108 accelerates a higher energy electron beam, which propagates through the grid filter 104 into the lower chamber 100 b , producing a plasma in the lower chamber 100 b .
  • the high energy electron beam conditions in the lower chamber 100 b enhance ionization and reduce dissociation of molecular Chlorine into atomic Chlorine radicals, electrons and ions.
  • Argon neutrals which have flowed through the grid filter 104 to the lower chamber 100 b , may be ionized by beam electrons.
  • Bias voltage is turned on (applied to the workpiece support pedestal 110 ) during the etching phase at a voltage corresponding to an energy sufficient to etch silicon in the presence of surface Chlorine passivation species, but insufficient to etch silicon in the absence of the passivation species, and ionic etchant species (Argon or Chlorine ions) may be extracted and accelerated into the workpiece surface, promoting etching. The cycle is then repeated.
  • the remote plasma source (RPS) 280 may provide passivation radicals.
  • Chlorine is furnished to the upper chamber 100 a (and optionally Argon is furnished into the upper and or lower chambers 100 a and 100 b ), and Chlorine radicals are generated in the upper chamber 100 a by the application of high power level VHF power from the RF power generator 120 (or optionally high power level from the RF power generator 174 powering the optional RF coil antenna 172 ).
  • the high VHF power to the electrode 108 or the optional power to the coil antenna 172 is used during passivation, while lower frequency RF power to the electrode 108 (at low or no VHF power or coil power) is used in conjunction with workpiece bias voltage during the etching phase.
  • the RF power coupled to the electrode 108 may be a low frequency RF power or the frequency may be of a higher frequency, e.g., VHF.
  • the method is depicted in FIG. 11 .
  • a grid filter 104 divides the process chamber 100 into the upper and lower chambers 100 a , 100 b , and a workpiece is placed in the lower chamber 100 b (block 632 of FIG. 11 ).
  • a molecular process gas is supplied to the chamber 100 (block 634 of FIG. 11 ).
  • a passivation process is performed (block 636 of FIG.
  • an etch process is performed (block 644 of FIG. 11 ) as follows: (A) performing at least one of: (a) applying to said ceiling electrode a high level of lower frequency RF power, (block 646 of FIG. 11 ) or (b) reducing or eliminating the power level of at least one of (1) said VHF power or (2) said inductively coupled power (block 648 of FIG. 11 ); and (B) maintaining a bias voltage on said workpiece above a threshold for etching said surface layer (block 650 of FIG. 11 ).
  • the method consists of repeating the passivation and etch processes in alternating succession (block 652 of FIG. 11 ).
  • the molecular process gas may be furnished into the lower chamber 100 b . Additionally, the method can further includes furnishing an inert gas into the upper chamber 100 a . Alternatively, the molecular process gas may be furnished into the upper chamber 100 a.
  • the cylindrical symmetry of the VHF and RF power flow to the ceiling and the cylindrical symmetry of the electron beam distribution over the circular workpiece optimize azimuthal uniformity in processing.
  • the RF or VHF powered electrode provides a plasma source for generating the electron beam and does not require non-insulating surfaces for electrode or ground return.
  • the electrode 108 may be consumable in certain plasma processes and as such the support structure, which may include an electrostatic chuck, allows for fast electrode replacement and chamber maintenance recovery, while ensuring repeatable electrical and thermal electrode performance, crucial for process stability.
  • the grid filter 104 provides separation between the upper and lower chambers 100 a , 100 b , enabling control of the workpiece processing environment in the lower chamber 100 b independently of the plasma source environment of the upper chamber 100 a .
  • the upper and lower gas injectors 130 and 134 enable independent distribution of different gases or gas species to the upper and lower chambers 100 a , 100 b .
  • one gas e.g., a “source” gas
  • another gas needed for processing the workpiece 111 e.g., a “process” gas
  • Gas may also be injected through the gas injection outlets 105 b of the grid filter 104 .
  • an inert gas may be injected through the gas injection outlets 105 b of the grid filter 104 so as to substantially prevent process gas in the lower chamber 100 b from convecting or diffusing into the upper chamber 100 a .
  • the dissociation of species in the upper chamber 100 a may be enhanced by the application of higher VHF power to the electrode 108 or by the application of RF power to the inductive coil antenna 172 without needing to expose the workpiece 111 to a plasma with high ion density.
  • the electron beam energy and flux may be adjusted to control relative dissociation and ionization processes:
  • RF and/or VHF power to the electrode 108 and/or RF power to the coil antenna 172 may be adjusted to adjust electron beam energy and flux to the lower chamber 100 b for relative control of dissociation and ionization processes in the lower chamber 100 b .
  • the population of radicals or dissociated species may be enhanced by the remote plasma source 280 .
  • RF capacitively coupled plasma or inductively coupled plasma with which a low electron temperature plasma may not be produced continuously (may be produced intermittently during the off-time of a pulsed dc or RF CCP/ICP discharge)
  • the foregoing embodiments may produce a low electron temperature plasma continuously, with high uniformity over the workpiece 111 in the lower chamber 100 b .
  • an electron deficient, highly electronegative “ion-ion” plasma may be produced continuously, with high uniformity over the workpiece, and with the application of low frequency bias voltage or low repetition frequency arbitrary voltage waveform applied to the workpiece support pedestal 110 , positive and/or negative ions may be selectively or alternately extracted from plasma and accelerated at desired energy levels into workpiece surface for etching, cleaning, deposition, or other materials modification processes.

Abstract

A reactor with an overhead electron beam source is capable of generating an ion-ion plasma for performing an atomic layer etch process.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of and claims priority to U.S. application Ser. No. 14/660,531, filed on Mar. 17, 2015, the entire disclosure of which is incorporated by reference.
  • BACKGROUND Technical Field
  • The disclosure concerns a plasma reactor for processing a workpiece such as a semiconductor wafer using an overhead electron beam source.
  • Background Discussion
  • Plasma sources for processing a workpiece can have an electron beam source having a beam path that is transverse to the cylindrical axis of symmetry of the plasma reactor. Such a transverse arrangement can introduce asymmetries into the processing, for which special features may be needed in the reactor to avoid such asymmetries.
  • There is a need for a plasma reactor having an electron beam plasma source in which there are no inherent asymmetries.
  • SUMMARY
  • An electron beam plasma reactor comprises: (1) an upper plasma chamber comprising: (a) a side wall, (b) a top electrode support comprising an electrically insulated electrostatic chuck and thermal control apparatus coupled to said top electrode support, (c) a top electrode thermally coupled to said top electrode support and having a top electrode surface, (d) an RF source power generator coupled to said top electrode or to said top electrode support or to an interior of said upper chamber, and a D.C chucking voltage source coupled to said electrically insulated electrostatic chuck, (e) a gas distributor, and (f) a grid filter facing said top electrode surface. The electron beam plasma reactor further comprises: (2) a lower plasma chamber, said grid filter separating said upper plasma chamber from said lower plasma chamber, said lower plasma chamber comprising: (a) a vacuum chamber body surrounding a processing region, and (b) a workpiece support pedestal comprising an electrically insulated electrostatic chuck and thermal control apparatus coupled to said workpiece support pedestal, and having a workpiece support surface facing said grid filter.
  • In one embodiment, the reactor further comprises a bias voltage generator coupled to workpiece support pedestal.
  • In one embodiment, said top electrode comprises one of silicon, carbon, silicon carbide, silicon oxide, aluminum oxide, yttrium oxide, or zirconium oxide.
  • In one embodiment, said RF source power generator comprises a first RF power generator of a VHF frequency and a second RF power generator of a below-VHF frequency.
  • In one embodiment, said grid filter is conductive, wherein said grid filter is one of: (a) electrically floating, or (b) at a fixed potential.
  • One embodiment of the plasma reactor further comprises a folded resonator coupled between said first RF power generator and said top electrode. In one embodiment, said folded resonator is coaxial with said side wall.
  • In one embodiment, said RF source power generator comprises a lower VHF frequency generator having a first VHF frequency and a higher VHF frequency generator having a second VHF frequency greater than said first VHF frequency.
  • In one embodiment, said grid filter comprises first and second grids facing one another, said plasma reactor further comprising an acceleration voltage source connected to one of said first and second grids.
  • One embodiment further comprises a first magnet adjacent one of said upper and lower chambers, each circularly shaped and disposed at respective axial locations around said chamber. In the latter embodiment, the reactor further comprises a second magnet, said first and second magnets being adjacent respective ones of said upper and lower chambers, said first and second magnets being circularly shaped and disposed at respective axial locations around said chamber, wherein said first and second magnets produce one of: (a) a cusp-shaped field that is predominantly axial in said upper chamber and predominantly radial in said lower chamber, or (b) an axial field. In the latter embodiment, the reactor further comprises a third magnet, wherein said first and second magnets produce a first cusp-shaped field having a cusp plane at said upper chamber and said second and third magnet produce a second cusp-shaped field having a cusp plane at said lower chamber.
  • In one embodiment, the reactor further comprises a magnet having a magnetic field in a transverse direction in said lower chamber.
  • In one embodiment, the reactor further comprises: a window in said side wall; a coil antenna around said window; and an RF generator coupled to said coil antenna.
  • In one embodiment, the reactor further comprises a remote plasma source having an output coupled to said chamber.
  • In accordance with a further embodiment, a method of processing a workpiece in an electron beam plasma reactor comprises: dividing a chamber of said reactor into an upper chamber and a lower chamber by a grid filter, and supporting a workpiece in said lower chamber with a surface of said workpiece facing said grid filter along an axis; supplying a gas into said chamber; coupling RF source power into said upper chamber or to an electrode of said upper chamber to generate a plasma including beam electrons in said upper chamber to produce an electron beam having a beam propagation direction corresponding to said axis; allowing flow of at least a portion of said beam electrons from said upper chamber to said lower chamber while preventing flow of at least a portion of non-beam electrons and plasma ions from said upper chamber to said lower chamber; and producing a plasma in said lower chamber from said electron beam.
  • In one embodiment, the method further comprises supplying a substantially inert gas into said upper chamber and supplying a molecular process gas into said lower chamber.
  • In one embodiment, said generating a plasma comprises applying RF power to a plasma source electrode underlying a ceiling of said upper chamber, the method further comprising supporting said electrode by electrostatically chucking said plasma source electrode to said ceiling.
  • In one embodiment, the method further comprises controlling a temperature of said plasma source electrode by circulating a thermally conductive medium inside said ceiling.
  • In one embodiment, the method further comprises coupling a bias voltage to said workpiece.
  • In one embodiment, said plasma source electrode comprises one of silicon, carbon, silicon carbide, silicon oxide, aluminum oxide, yttrium oxide, or zirconium oxide.
  • In one embodiment, said generating a plasma comprises applying RF source power to a plasma source electrode underlying a ceiling of said upper chamber, wherein said RF source power comprises RF power of a first frequency and RF power of a second frequency.
  • In one embodiment, the method further comprises providing a magnetic field in said chamber from a first magnet comprising either a permanent magnet or an electromagnet. In one embodiment, the method further comprises providing a second magnet, said first and second magnets producing one of a cusp magnetic field or an axial magnetic field.
  • In one embodiment, said generating a plasma further comprises applying RF source power to a coil antenna around a window in a sidewall of upper chamber.
  • In a yet further embodiment, a method of performing atomic layer etching using an electron beam plasma source in a process chamber comprises: dividing said process chamber into upper and lower chambers by a grid filter, said upper chamber having a ceiling electrode, and placing a workpiece in said lower chamber having a surface layer to be etched; furnishing a molecular process gas to said chamber; (I) performing a passivation process comprising: (A) performing at least one of: (a) coupling a high power level of VHF power into said upper chamber or to said ceiling electrode, or (b) coupling a high level of inductively coupled power into said upper chamber; and (B) maintaining a bias voltage on said workpiece at zero or below a threshold for etching said surface layer of said workpiece to reduce or prevent etching of the surface layer during the passivation process; (II) performing an etch process comprising: (A) performing at least one of: (a) applying to said ceiling electrode a high level of lower frequency RF power, or (b) reducing or eliminating the power level of at least one of (1) said VHF power or (2) said inductively coupled power; and (B) maintaining a bias voltage on said workpiece above a threshold for etching said surface layer; and (III) repeating said passivation and etch processes in alternating succession.
  • In one embodiment, said furnishing a molecular process gas to said chamber comprises furnishing said molecular process gas into said lower chamber. In this latter embodiment, the method may further comprise furnishing an inert gas into said upper chamber.
  • In one embodiment, said furnishing a molecular process gas to said chamber comprises furnishing said molecular process gas into said upper chamber. In this latter embodiment, said passivation process may further comprise furnishing an inert gas into said upper chamber.
  • In one embodiment, the method further comprises performing said passivation process for a duration corresponding to passivation of a selected depth of material of said surface layer. In one embodiment, said selected depth is one atomic layer.
  • In one embodiment, said molecular process gas comprises a passivation species.
  • In one embodiment, during said etch process, said reducing dissociation substantially stops or diminishes passivation of said surface layer of said workpiece.
  • In one embodiment, during said passivation process, said enhancing dissociation comprises generating an electron beam by ion bombardment of said ceiling electrode, said electron beam propagating from said upper chamber to said lower chamber.
  • In embodiments, (a) said high level of power of said VHF power is in a range of 300 to 10,000 Watts; (b) said high level of inductively coupled power is in a range of 300 to 10,000 Watts; and (c) said high level of lower frequency RF power is in a range of 300 to 10,000 Watts.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the exemplary embodiments of the present invention are attained can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. It is to be appreciated that certain well known processes are not discussed herein in order to not obscure the invention.
  • FIG. 1 depicts a plasma reactor in accordance with a first embodiment.
  • FIG. 1A depicts a modification of the plasma reactor of FIG. 1 having a pair of grids.
  • FIG. 2 depicts a plasma reactor in accordance with a second embodiment.
  • FIG. 3 is a partially cut-away elevational view of a VHF resonator employed in the embodiment of FIG. 2.
  • FIG. 4 is a plan view corresponding to FIG. 3.
  • FIG. 5A is an orthographic projection of a second embodiment of the VHF resonator of FIG. 3.
  • FIG. 5B is a plan view corresponding to FIG. 5A.
  • FIG. 5C is an enlarged view of a portion of FIG. 5A.
  • FIG. 6 depicts an embodiment having a cusp-shaped magnetic field as a magnetic filter.
  • FIG. 7 depicts an embodiment having an axial magnetic field for confining an electron beam.
  • FIG. 8 depicts an embodiment having a transverse magnetic field as a magnetic filter.
  • FIG. 9 depicts an embodiment having an upper cusp-shaped magnetic field for confining plasma near the ceiling electrode and a lower cusp-shaped magnetic field as a magnetic filter.
  • FIG. 10 is a block diagram depicting a method in accordance with an embodiment.
  • FIG. 11 is a block diagram depicting an atomic layer etch method in accordance with an embodiment.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation. It is to be noted, however, that the appended drawings illustrate only exemplary embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • DETAILED DESCRIPTION
  • Referring to FIG. 1, an electron beam plasma reactor has a vacuum chamber body defining a chamber 100 including a side wall 102 of cylindrical shape. The chamber 100 is divided by a grid filter 104 into an upper chamber 100 a and a lower chamber 100 b. The lower chamber 100 b is a drift space because of a lack of substantial electric field therein in the absence of an applied bias voltage. A ceiling 106 overlies the upper chamber 100 a, and supports an electrode 108. In one embodiment, the electrode 108 is formed of a process-compatible material such as silicon, carbon, silicon carbon compound or a silicon-oxide compound. In an alternative embodiment, the electrode 108 is formed of a metal oxide such as aluminum oxide, yttrium oxide, or zirconium oxide. The ceiling 106 and the electrode 108 may be disk-shaped. A bottom surface of the electrode 108 faces the grid filter 104 and is exposed to the interior of the upper chamber 100 a. In one embodiment, an insulator or dielectric ring 109 surrounds the electrode 108.
  • A workpiece support pedestal 110 for supporting a workpiece 111 in the lower chamber 100 b has a workpiece support surface 110 a facing the grid filter 104 and may be movable in the axial direction by a lift servo 112. In one embodiment, the workpiece support pedestal 110 includes an insulating puck 302 forming the workpiece support surface 110 a, a workpiece electrode 304 inside the insulating puck 302, and a chucking voltage supply 305 connected to the workpiece electrode 304. Additionally, a base layer 306 underlying the insulating puck 302 has internal passages 308 for circulating a thermal medium (e.g., a liquid) from a circulation supply 310. The circulation supply 310 may function as a heat sink or as a heat source.
  • An RF power generator 120 having a VHF frequency (e.g., 160 MHz) and a lower frequency RF power generator 122 having a frequency below the VHF range or below the HF range (e.g., in the MF or LF range, e.g., 2 MHz) are coupled to the electrode 108 through an impedance match 124 via an RF feed conductor 123. In one embodiment, the impedance match 124 is adapted to provide an impedance match at the different frequencies of the RF power generators 120 and 122, as well as filtering to isolate the power generators from one another. The output power levels of the RF generators 120, 122 are independently controlled by a controller 126. As will be described in detail below, power from the RF power generators 120, 122 is coupled to the electrode 108. In one embodiment, the ceiling 106 is electrically conductive and is in electrical contact with the electrode 108, and the power from the impedance match 124 is conducted through the ceiling 106 to the electrode 108. In one embodiment, the side wall 102 is formed of metal and is grounded. In one embodiment, the surface area of grounded internal surfaces inside the upper chamber 100 a is at least twice the surface area of the electrode 108. In one embodiment, the grounded internal surfaces inside the chamber 100 may be coated with a process compatible material such as silicon, carbon, silicon carbon compound or a silicon-oxide compound. In an alternative embodiment, grounded internal surfaces inside the chamber 100 may be coated with a material such as aluminum oxide, yttrium oxide, or zirconium oxide.
  • In one embodiment, the RF power generator 120 may be replaced by two VHF power generators 120 a and 120 b that are separately controlled. The VHF generator 120 a has an output frequency in a lower portion (e.g., 30 MHz to 150 MHz) of the VHF band, while the VHF generator 120 b has an output frequency in an upper portion (e.g., 150 MHz to 300 MHz) of the VHF band. The controller 126 may govern plasma ion density by selecting the ratio between the output power levels of the VHF generators 120 a and 120 b. With the two VHF power generators 120 a and 120 b, radial plasma uniformity in the upper chamber 100 a can be controlled by selecting the gap of upper chamber 100 a (the distance between the electrode 108 and the grid filter 104) such that by itself the lower VHF frequency produces an edge-high radial distribution of plasma ion density in the upper chamber 100 a and by itself the upper VHF frequency produces a center-high radial distribution of plasma ion density. With such a selection, the power levels of the two VHF power generators 120 a, 120 b are then set to a ratio at which uniformity of radial distribution of plasma ion density is optimized.
  • In one embodiment, the ceiling 106 is a support for the electrode 108 and includes an insulating layer 150 containing a chucking electrode 152 facing the electrode 108. A D.C. chucking voltage supply 154 is coupled to the chucking electrode 152 via the feed conductor 155, for electrostatically clamping the electrode 108 to the ceiling 106. A D.C. blocking capacitor 156 may be connected in series with the output of the impedance match 124. The controller 126 may control the D.C. chucking voltage supply 154. In one embodiment, the RF feed conductor 123 from the impedance match 124 may be connected to the electrode support or ceiling 106 rather than being directly connected to the electrode 108. In such an embodiment, RF power from the RF feed conductor 123 may be capacitively coupled from the electrode support to the electrode 108.
  • In one embodiment, upper gas injectors 130 provide process gas into the upper chamber 100 a through a first valve 132. In one embodiment, lower gas injectors 134 provide process gas into the lower chamber 100 b through a second valve 136. Gas is supplied from an array of process gas supplies 138 through an array of valves 140 which may include the first and second valves 132 and 136, for example. In one embodiment, gas species and gas flow rates into the upper and lower chambers 100 a, 100 b are independently controllable. The controller 126 may govern the array of valves 140. In one embodiment, an inert gas is supplied into the upper chamber 100 a and a process gas is supplied into the lower chamber 100 b. The inert gas flow rate may be selected to substantially prevent convection or diffusion of gases from the lower 100 b into the upper chamber 100 a, providing substantial chemical isolation of the upper chamber 100 a.
  • In one embodiment, plasma may be produced in the upper chamber 100 a by various bulk and surface processes, including energetic ion bombardment of the interior surface of the top electron-emitting electrode 108. The ion bombardment energy of the electrode 108 and the plasma density are functions of both RF power generators 120 and 122. The ion bombardment energy of the electrode 108 may be substantially controlled by the lower frequency power from the RF power generator 122 and the plasma density in the upper chamber 100 a may be substantially controlled (enhanced) by the VHF power from the RF power generator 120. Energetic secondary electrons may be emitted from the interior surface of the electrode 108. The flux of energetic electrons from the emitting surface may comprise an electron beam, and may have a direction substantially perpendicular to the interior surface of the electrode 108, and a beam energy of approximately the ion bombardment energy of the electrode 108, which typically can range from about 10 eV to 5000 eV. The collision cross-sections for different processes depend upon the electron energy. At low energies, cross-sections for excitation (and dissociation in molecular gases) are larger than for ionization, while at high energies the reverse is true. The RF power level(s) may be advantageously selected to target various inelastic electron collision processes.
  • In another embodiment having optional RF source generator 174 and coil antenna 172, the plasma density in the upper chamber 100 a may be substantially controlled (enhanced) by the RF power from the RF power generator 174.
  • In one embodiment, the grid filter 104 is of a flat disk shape and may be coaxial with the side wall 102. The grid filter 104 is formed with an array of plural openings 104-1. In one embodiment, the axial thickness T of the grid filter 104 and the diameter, d, of the plural openings 104-1 are selected to promote flow through the grid filter 104 of energetic directed beam electrons while impeding flow of non-beam (low energy) electrons and plasma ions through the grid filter 104, and the ratio of grid filter hole area to total grid filter area may be maximized. The energetic electron flux (electron beam) may pass through the grid filter 104 to the lower chamber 100 b and may produce a plasma by various electron impact processes in the lower chamber 100 b.
  • The plasma produced by the electron beam in the lower chamber 100 b may have different characteristics from the plasma in the upper chamber 100 a. The grid filter 104 may function as a filter to substantially electrically isolate the upper and lower chambers 100 a, 100 b from one another. In one embodiment, the grid filter 104 is formed of a conductive or semiconductive material, and may be connected to ground or may be electrically floating. In another embodiment, the grid filter 104 is formed of a non-conductive material. In one embodiment, the grid filter 104 may be coated with a process compatible material such as silicon, carbon, silicon carbon compound or a silicon-oxide compound. In an alternative embodiment, grid filter 104 may be coated with a material such as aluminum oxide, yttrium oxide, or zirconium oxide. In one embodiment, the plasma produced in the upper chamber 100 a may have high electron density and/or high electron temperature, and have high energy ions impinging on the electrode 108.
  • At least a portion of the electron beam, comprised of the secondary electron flux emitted from electrode 108 due to energetic ion bombardment of the electrode surface, propagates through the grid filter 104 and into the lower chamber 100 b, producing a low electron temperature plasma in the lower chamber 100 b, with a plasma density that depends upon beam energy and flux, as well as other factors such as pressure and gas composition. The energetic beam electrons may impinge upon the workpiece 111 or workpiece support pedestal 110 upon leaving the plasma region of the lower chamber 100 b. The plasma left behind may readily discharge any resultant surface charge caused by the electron beam flux.
  • In one embodiment, an electronegative or electron-attaching gas such as Chlorine is furnished into the chamber, RF and/or VHF power is applied to the electrode 108, RF power is optionally applied to coil antenna 172, RPS power is optionally applied to a remote plasma source (RPS) 280, a plasma is generated in the upper chamber 100 a and an accelerating voltage is developed on the electrode 108 with respect to ground and with respect to the plasma. The resulting energetic ion bombardment of the electrode 108 produces secondary electron emission from electrode surface, which constitutes an electron beam flux from the electrode surface. The grid filter 104 allows at least a portion of the electron beam to propagate through the grid filter 104 and into the lower chamber 100 b, while preventing at least a portion of non-beam electrons and plasma ions from passing through the grid filter 104, producing a low electron temperature plasma in the lower chamber 100 b. The resultant low electron temperature plasma in the lower chamber 100 b in an electronegative gas such as Chlorine may produce a highly electronegative plasma, with negative ion densities much higher than electron densities and approaching densities of positive ions. Such a plasma is commonly called an ion-ion plasma.
  • A substantially axially-directed magnetic field, substantially parallel to the electron beam, may be optionally used to help guide the electron beam, improving beam transport through the upper chamber 100 a, the grid filter 104 and/or the lower chamber 100 b. A low frequency bias voltage or arbitrary waveform of low repetition frequency may be applied to the workpiece support pedestal 110 (e.g., to the workpiece electrode 304) to selectively or alternately extract positive and/or negative ions from said plasma and accelerate those ions at desired energy levels to impact the surface of the workpiece 111 for etching, cleaning, deposition, or other materials modification. Radicals produced (a) in the upper chamber 100 a, (b) by the electron beam in the lower chamber 100 b, (c) by the application of bias voltage to the workpiece support pedestal 110, or (d) by the remote plasma source (RPS) 280, may convect or diffuse to the workpiece 111 and participate in reaction on the workpiece surface.
  • In another embodiment, a relatively inert gas such as Helium or Argon is furnished into the upper chamber 100 a, an electronegative or electron-attaching gas such a Sulfur Hexafluoride is flowed into the lower chamber 100 b, RF and/or VHF power is applied to the electrode 108, RF power is optionally applied to coil antenna 172, RPS power is optionally applied to the RPS 280, a plasma is generated in the upper chamber 100 a and an accelerating voltage is developed on the electrode 108 with respect to ground and with respect to the plasma. The resulting energetic ion bombardment of the electrode 108 produces secondary electron emission from electrode surface, which constitutes an electron beam flux from the electrode surface. The grid filter 104 allows at least a portion of the electron beam to propagate through the grid filter 104 and into the lower chamber 100 b, while preventing at least a portion of non-beam electrons and plasma ions from passing through the grid filter 104, producing a low electron temperature plasma in the lower chamber 100 b.
  • The resultant low electron temperature plasma in the lower plasma chamber in an electronegative gas such as Sulfur Hexafluoride may produce a highly electronegative plasma, with negative ion densities much higher than electron densities and approaching densities of positive ions, commonly called an ion-ion plasma. A substantially axially-directed magnetic field, substantially parallel to the electron beam, may be optionally used to help guide the electron beam, improving beam transport through the upper chamber 100 a, the grid filter 104 and/or the lower chamber 100 b. A low frequency bias voltage or arbitrary waveform of low repetition frequency may be applied to the workpiece support pedestal 110 to selectively or alternately extract positive and/or negative ions from the plasma and accelerate the ionic species at desired energy levels to impact the workpiece surface for etching, cleaning, deposition, or other materials modification. Radicals produced (a) in the upper chamber 100 a, (b) by the electron beam in the lower chamber 100 b, (c) by the application of bias voltage to the workpiece support pedestal 110, or (d) by the RPS 280 may convect or diffuse to the workpiece 111 and participate in reaction on the workpiece surface.
  • In one embodiment, the grid filter 104 is a gas distribution plate, having internal gas passages 105 a and gas injection outlets 105 b. The internal gas passages 105 a may be coupled to the array of valves 140.
  • In one embodiment, an RF bias power generator 142 is coupled through an impedance match 144 to the workpiece electrode 304 of the workpiece support pedestal 110. In a further embodiment, a waveform tailoring processor 147 may be connected between the output of the impedance match 144 and the workpiece electrode 304. The waveform tailoring processor 147 changes the waveform produced by the RF bias power generator 142 to a desired waveform. The ion energy of plasma near the workpiece 111 is controlled by the waveform tailoring processor 147. In one embodiment, the waveform tailoring processor 147 produces a waveform in which the amplitude is held during a certain portion of each RF cycle at a level corresponding to a desired ion energy level. The controller 126 may control the waveform tailoring processor 147.
  • In one embodiment, a magnet 160 surrounds the chamber 100. In one embodiment, the magnet comprises a pair of magnets 160-1, 160-2 adjacent the upper and lower chambers 100 a, 100 b, respectively. In one embodiment, the pair of magnets 160-1, 160-2 provides an axial magnetic field suitable for confining an electron beam that is propagating from the upper chamber 100 a to the lower chamber 100 b.
  • In one embodiment, a side window 170 in the side wall 102 faces the upper chamber 100 a and is formed of a material (e.g., quartz or aluminum oxide) through which RF power may be inductively coupled. An inductive coil antenna 172 surrounds the side window 170 and is driven by an RF power generator 174 through an impedance match 176. The remote plasma source 280 may introduce plasma species into the lower chamber 100 b.
  • In one embodiment, flow of energetic electrons to the workpiece 111 is blocked by a magnetic field having a predominantly radial component (i.e., transverse to the electron beam flow direction) in the region between the grid filter 104 and the workpiece 111. This magnetic field may be produced by one of the magnets 160-1 or 160-2, or by another magnet or set of magnets.
  • In one embodiment, internal passages 178 for conducting a thermally conductive liquid or media inside the ceiling 106 are connected to a thermal media circulation supply 180. The thermal media circulation supply 180 acts as a heat sink or a heat source. The mechanical contact between the electrode 108 and the ceiling 106 is sufficient to maintain high thermal conductance between the electrode 108 and the ceiling 106. In the embodiment of FIG. 1, the force of the mechanical contact is regulated by the electrostatic clamping force provided by the D.C. chucking voltage supply 154.
  • In one embodiment depicted in FIG. 1A, the grid filter 104 is replaced by two grids, namely an upper grid filter 104A and a lower grid filter 104B spaced apart from one another. In one embodiment, the upper and lower grid filters 104A, 104B are conductive and may be held at different voltages. For example, the upper grid filter 104A may be grounded while an acceleration voltage supply 300 may be connected to the lower grid filter 104B.
  • In an alternative embodiment, an RF-driven coil antenna 290 may be provided over the ceiling 106.
  • FIG. 2 depicts a modification of the embodiment of FIG. 1 in which the VHF power (from the RF generator 120) and the lower frequency RF power (from the RF generator 122) are delivered to the electrode 108 through separate paths. In the embodiment of FIG. 2, the RF generator 120 is coupled to the electrode 108 through a folded resonator 195 overlying an edge of the electrode 108. The lower frequency RF generator 122 is coupled to the electrode 108 via the RF feed conductor 123 through an RF impedance match 194. The D.C. chucking voltage supply 154 is coupled to the chucking electrode 152 through the feed conductor 155 extending through a passage in the ceiling 106.
  • One embodiment of the folded resonator 195 of FIG. 2 is now described with reference to FIGS. 3 and 4. The folded coaxial resonator 195 includes an inner conductive hollow cylinder 200 that is coaxial with the ceiling electrode 108. The inner conductive hollow cylinder 200 has a circular bottom edge 200 a electrically contacting the top surface of the ceiling electrode 108. The folded coaxial resonator 195 further includes an outer conductive hollow cylinder 205 having a circular bottom edge 205 a contacting the top surface of a dielectric ring 109 that surrounds the periphery of the electrode 108. The dielectric ring 109 may consist of an insulating support ring 109 a and an insulating clamp ring 109 b beneath the insulating support ring 109 a. The inner and outer conductive cylinders 200, 205 are of at least approximately the same axial length, so that their circular top edges 200 b, 205 b are at the same height above the ceiling electrode 108. The folded coaxial resonator 195 also includes a planar conductive annulus 210 resting upon and electrically connecting the circular top edges 200 b, 205 b of the inner and outer conductive hollow cylinders 200, 205. The folded coaxial resonator 195 further includes a center conductive hollow cylinder 215 coaxial with the inner and outer hollow conductive cylinders 200, 205 and located between them. Preferably, the radius of the center conductive hollow cylinder 215 may be the geometric mean of the radii of the inner and outer hollow conductive cylinders 200, 205. The center conductive hollow cylinder 215 has a circular bottom edge 215 a resting on and in electrical contact with the top surface of the electrode 108.
  • A VHF power coupler 220 conducts VHF power from the RF generator 120 to the center hollow conductive cylinder 215. Thus, the center hollow conductive cylinder 215 is the RF-fed conductor of the folded coaxial resonator 195, while the inner and outer hollow conductive cylinders 200, 205 together with the planar conducive annulus 210 are analogous to a grounded outer conductor of a simple coaxial resonator. The electrical connection of the bottom circular edges 200 a, 215 a to the ceiling electrode 108 provides the requisite D.C. short, equivalent to the D.C. short at the end of a simple (unfolded) coaxial tuning stub.
  • The VHF power coupler 220 includes an axial conductor 222 extending through a top portion of the hollow inner cylinder 200 from a top end 222 a outside of the hollow inner cylinder 200 to a bottom end 222 b inside of the inner cylinder 200. A first spoke conductor 224 a extends radially from the axial conductor bottom end 222 b through a hole 226 a in the inner cylinder 200 to the center cylinder 215. As depicted in FIG. 4, there are a plurality of spoke conductors 224 a, 224 b, 224 c, symmetrically arranged and extending radially from the axial conductor bottom end 222 b, through respective holes 226 a, 226 b, 226 c in the inner cylinder 200 and to the center cylinder 215 to which their outer ends are electrically connected. In the illustrated embodiment, there are three spoke conductors 224 disposed at 120 degree intervals, although any suitable number n of spoke conductors 224 may be provided at 360/n degree intervals.
  • In one embodiment, the VHF power coupler 220 is provided as a coaxial structure in which the axial conductor 222 and each of the spoke conductors 224 is a coaxial transmission line including a center conductor that is RF hot, surrounded by a grounded outer conductor or shield. This coaxial structure is depicted in FIGS. 5A and 5B, and is compatible with the field-free environment of the interior of the inner hollow conductive cylinder 200. In the embodiment of FIGS. 5A and 5B, the axial conductor 222 consists of a center axial conductor 222-1 connected to the output of the VHF generator 120, and a grounded outer axial conductor 222-2 surrounding the center axial conductor 222-1. FIG. 5C depicts a cross-sectional view of the axial conductor 222.
  • In the embodiment of FIGS. 5A-5C, each of the spoke conductors 224 a, 224 b, 224 c embodies a coaxial transmission line structure. Thus, the spoke conductor 224 a consists of a center spoke conductor 224 a-1 and an outer spoke conductor 224 a-2 surrounding the center spoke conductor 224 a-1. The center spoke conductor 224 a-1 extends radially from the axial center conductor 222-1 and terminates at and is electrically connected to the center cylinder 215. The center spoke conductor 224 a-1 is RF hot by reason of its connection to the axial center conductor 222-1. The outer spoke conductor 224 a-2 extends from the grounded axial outer conductor 222-2 and is terminated at (and electrically connected to) the inner cylinder 200. The center spoke conductor 224 a-1 passes through the hole 226 a (without contacting the inner conductive cylinder 200) to contact the center conductive cylinder 215.
  • The structure of each of the spoke conductors 224 a, 224 b, 224 c is the same. Thus, the spoke conductor 224 b consists of a center spoke conductor 224 b-1 and an outer spoke conductor 224 b-2 surrounding the center spoke conductor 224 b-1. The center spoke conductor 224 b-1 extends radially from the axial center conductor 222-1 and terminates at the center cylinder 215. The center spoke conductor 224 b-1 is RF hot by reason of its connection to the axial center conductor 222-1. The outer spoke conductor 224 b-2 extends from the grounded axial outer conductor 222-2 and is terminated at (and electrically connected to) the inner cylinder 200, while the center spoke conductor 224 b-1 passes through the hole 226 b (without contacting the inner conductive cylinder 200) to contact the center conductive cylinder 215.
  • In like manner, the spoke conductor 224 c consists of a center spoke conductor 224 c-1 and an outer spoke conductor 224 c-2 surrounding the center spoke conductor 224 c-1. The center spoke conductor 224 c-1 extends radially from the axial center conductor 222-1 and terminates at the center cylinder 215. The center spoke conductor 224 c-1 is RF hot by reason of its connection to the axial center conductor 222-1. The outer spoke conductor 224 c-2 extends from the grounded axial outer conductor 222-2 and is terminated at (and electrically connected to) the inner cylinder 200, while the center spoke conductor 224 c-1 passes through the hole 226 c (without contacting the inner conductive cylinder 200) to contact the center conductive cylinder 215.
  • The plural spoke center conductors 224 a-1, 224 b-1 and 224 c-1 extend in the radial direction from the axial center conductor 222-1 to electrically contact the center conductive cylinder 215. The area of this contact defines a circular plane. The axial location of this circular plane is selected to be such that the electrical or RF impedance at this location matches the characteristic impedance of 224 a, 224 b and 224 c, respectively, at the VHF frequency of the RF generator 120. The characteristic impedance of the individual spoke conductors 224 a, 224 b and 224 c is selected such that their total impedance at the junction (222 b) matches the output impedance of the VHF generator 120 at the frequency of the VHF generator 120.
  • FIG. 6 depicts a cusp-shaped magnetic field produced by the magnets 160-1 and 160-2 in one embodiment. The cusp-shaped magnetic field is predominantly radial in the lower chamber 100 b and therefore diverts electrons from reaching the workpiece 111. The cusp-shaped magnetic field is axial in a small region in the center. In order to avoid leakage of energetic electrons through the center portion the field due to the predominantly axial form of the field in the center, a center shield or blocker 400 may be provided.
  • FIG. 7 depicts an axial-shaped magnetic field produced by the magnets 160-1 and 160-2 in another embodiment. The axial magnetic field is effective for confining the electron beam along an axial path.
  • FIG. 8 depicts a transverse magnetic field M produced in the lower chamber 100 b by a magnet 161, for diverting electrons from reaching the workpiece 111. The magnet 161 may be implemented as a Halbach array, for example. The magnet 161 may be a circular array of electromagnets so that the transverse magnetic field M may be electrically rotated about the axis of cylindrical symmetry of the chamber 100, to enhance process uniformity.
  • FIG. 9 depicts a magnetic field including an upper cusp-shaped magnetic field 500 produced by magnets 160-1 and 160-2 in the upper chamber 100 a and a lower cusp-shaped magnetic field 504 produced by magnets 160-2 and 160-3 in the lower chamber 100 b. The upper and lower cusp-shaped magnetic fields 500, 504 have respective planes of symmetry 506, 508 above and below the grid filter 104, respectively. The upper cusp-shaped field 500 helps confine plasma near the electrode 108. The lower cusp-shaped magnetic field 504 is predominantly radial in the lower chamber 100 b and therefore diverts electrons from reaching the workpiece 111. The cusp field is axial in a small region in the center. In order to avoid leakage of energetic electrons through the center portion the field due to the predominantly axial form of the field in the center, a center shield or blocker 400 may be provided in the lower chamber 100 b.
  • Any one of the electron beam plasma reactors of FIG. 1, FIG. 1A or FIG. 2 may be employed to carry out the following method of processing a workpiece in an electron beam plasma reactor. Referring now to FIG. 10, the grid filter 104 is provided to divide the chamber 100 into an upper chamber 100 a and a lower chamber 100 b (block 610 of FIG. 10), while supporting the workpiece 111 in the lower chamber 100 b facing the grid filter 104. A gas is supplied into at least one of the upper and lower chambers 100 a, 100 b (block 612 of FIG. 10). RF source power into the upper chamber 100 a or to the electrode 108 to generate a plasma including beam electrons in the upper chamber 100 a to produce an electron beam having a beam propagation direction corresponding to the axis of symmetry (block 614 of FIG. 10). The method further includes allowing flow of at least a portion of the beam electrons from the upper chamber 100 a to the lower chamber 100 b through the grid filter 104 (block 616 of FIG. 10) while preventing flow through the grid filter 104 of at least a portion of non-beam electrons and plasma ions from the upper chamber 100 a to the lower chamber 100 b (block 618 of FIG. 10). The method further includes allowing the electron beam to produce a plasma in the lower chamber 100 b (block 620 of FIG. 10). The method can further include supplying a substantially inert gas into the upper chamber 100 a and supplying a molecular process gas into the lower chamber 100 b (block 622 of FIG. 10). The method can further include coupling a bias voltage to the workpiece 111 (block 624 of FIG. 10).
  • Atomic Layer Etching:
  • The reactor of FIG. 1 or FIG. 1A or FIG. 2 may be employed to perform an atomic layer etch process. In one example, the workpiece 111 includes a semiconductive bulk layer (e.g., monocrystalline Silicon), an overlying layer (e.g., an oxide of Silicon) and a surface layer (e.g., polycrystalline Silicon), which may be partially masked. In this process, one of the gas supplies 138 contains a precursor of an etch species (e.g., Argon gas), while another one of the gas supplies 138 contains a precursor of a passivation species (e.g., Chlorine gas). The passivation species is produced by dissociation (in a plasma) of the passivation precursor species (e.g., the Chlorine gas). Passivation is performed by exposing the workpiece 111 to the passivation species. Generally, the surface layer of the workpiece 111 is not readily etched (or is not susceptible to etching) by the etch species at the selected energy. Passivation renders the surface layer of the workpiece susceptible to etching by the etch species. The depth of the passivated portion of the surface layer is determined by the time of exposure to the passivation species. In this process, the time of exposure to the passivation species is set to a duration in which one atomic layer is passivated. Then, the workpiece 111 is exposed to the etch species, to remove the one atomic layer. Thereafter the foregoing sequence is repeated to remove the next atomic layer. This cycle is repeated until a desired portion (e.g., 100%) of the surface layer has been removed, one atomic layer at a time. The process thus consists of alternating phases of passivation and etching.
  • Referring now to FIG. 1, FIG. 1A or FIG. 2, in one embodiment, an inert gas such as Argon is furnished to the upper chamber 100 a, and a molecular process gas such as Chlorine is furnished to the lower chamber 100 b. As described above with reference to FIG. 1, the plasma is sustained by various bulk and surface processes, including energetic ion bombardment of the electrode 108 by plasma ions. The density of the plasma is primarily controlled by the power level of the VHF power from the RF power generator 120 or by the power level of the RF power generator 174 powering the optional RF coil antenna 172, while the ion bombardment energy on the electrode 108 and thus the resultant secondary electron beam energy is primarily controlled by the power level of the lower frequency power from the RF power generator 122. During the passivation phase, high power level in a range of 300 to 10,000 Watts of VHF power from the RF power generator 120 (or optionally from the RF power generator 174 powering the optional RF coil antenna 172) produces a plasma in the upper chamber 100 a.
  • The foregoing examples of high power levels are for a reactor that processes workpieces of 200 mm to 300 mm diameter, while larger substrates would use higher power levels. The voltage on the electrode 108, which may be optionally increased by additional application of RF power from the VHF power generator 120 b to the electrode 108, accelerates an electron beam, which propagates through the grid filter 104 into the lower chamber 100 b, producing a plasma in the lower chamber 100 b. The high flux, low energy, electron beam conditions in the lower chamber 100 b enhance dissociation of at least a portion of molecular Chlorine into atomic Chlorine radicals, electrons and ions. Due to the low electron temperature plasma, in the absence of applied workpiece bias, the ion energy is below the threshold for etching silicon and passivation of the workpiece surface occurs without significant etching. Next, in the etching phase, at least one of: (A) a high level of lower frequency RF power (in a range of 300 to 10,000 Watts) is applied to the electrode 108, or (B) low or no VHF power is applied to the electrode 108, or (C) low or no RF power is applied to the coil antenna 172. Low power in this instance is in a range below 300 Watts. The foregoing example of high power level is for a reactor that processes workpieces of 200 mm to 300 mm diameter, while larger substrates would use higher power levels. The higher voltage on the electrode 108 accelerates a higher energy electron beam, which propagates through the grid filter 104 into the lower chamber 100 b, producing a plasma in the lower chamber 100 b. The high energy electron beam conditions in the lower chamber 100 b enhance ionization and reduce dissociation of molecular Chlorine into atomic Chlorine radicals, electrons and ions. In addition, Argon neutrals, which have flowed through the grid filter 104 to the lower chamber 100 b, may be ionized by beam electrons. Bias voltage is turned on (applied to the workpiece support pedestal 110) during the etching phase at a voltage corresponding to an energy sufficient to etch silicon in the presence of surface Chlorine passivation species, but insufficient to etch silicon in the absence of the passivation species, and ionic etchant species (Argon or Chlorine ions) may be extracted and accelerated into the workpiece surface, promoting etching. The cycle is then repeated.
  • Alternatively or additionally, the remote plasma source (RPS) 280 may provide passivation radicals. In an alternative variation of embodiments described in detail above, Chlorine is furnished to the upper chamber 100 a (and optionally Argon is furnished into the upper and or lower chambers 100 a and 100 b), and Chlorine radicals are generated in the upper chamber 100 a by the application of high power level VHF power from the RF power generator 120 (or optionally high power level from the RF power generator 174 powering the optional RF coil antenna 172). The high VHF power to the electrode 108 or the optional power to the coil antenna 172 is used during passivation, while lower frequency RF power to the electrode 108 (at low or no VHF power or coil power) is used in conjunction with workpiece bias voltage during the etching phase.
  • During the etching phase described above, the RF power coupled to the electrode 108 may be a low frequency RF power or the frequency may be of a higher frequency, e.g., VHF.
  • A method is provided for performing atomic layer etching using an electron beam plasma reactor of the type described above with reference to FIG. 1, 1A or 2. The method is depicted in FIG. 11. Referring to FIG. 11, a grid filter 104 divides the process chamber 100 into the upper and lower chambers 100 a, 100 b, and a workpiece is placed in the lower chamber 100 b (block 632 of FIG. 11). A molecular process gas is supplied to the chamber 100 (block 634 of FIG. 11). A passivation process is performed (block 636 of FIG. 11) and consists of: (A) performing at least one of: (a) coupling a high power level of VHF power into said upper chamber 100 a or to the electrode 108 (block 638 of FIG. 11), or (b) coupling a high level of inductively coupled power into the upper chamber 100 a (block 640 of FIG. 11); and (B) maintaining a bias voltage on the workpiece at zero or below a threshold for etching said surface layer of said workpiece to reduce or prevent etching of the surface layer during the passivation process (block 642 of FIG. 11).
  • After the passivation process, an etch process is performed (block 644 of FIG. 11) as follows: (A) performing at least one of: (a) applying to said ceiling electrode a high level of lower frequency RF power, (block 646 of FIG. 11) or (b) reducing or eliminating the power level of at least one of (1) said VHF power or (2) said inductively coupled power (block 648 of FIG. 11); and (B) maintaining a bias voltage on said workpiece above a threshold for etching said surface layer (block 650 of FIG. 11).
  • Thereafter, the method consists of repeating the passivation and etch processes in alternating succession (block 652 of FIG. 11).
  • The molecular process gas may be furnished into the lower chamber 100 b. Additionally, the method can further includes furnishing an inert gas into the upper chamber 100 a. Alternatively, the molecular process gas may be furnished into the upper chamber 100 a.
  • Advantages:
  • The cylindrical symmetry of the VHF and RF power flow to the ceiling and the cylindrical symmetry of the electron beam distribution over the circular workpiece optimize azimuthal uniformity in processing. The RF or VHF powered electrode provides a plasma source for generating the electron beam and does not require non-insulating surfaces for electrode or ground return. The electrode 108 may be consumable in certain plasma processes and as such the support structure, which may include an electrostatic chuck, allows for fast electrode replacement and chamber maintenance recovery, while ensuring repeatable electrical and thermal electrode performance, crucial for process stability. The grid filter 104 provides separation between the upper and lower chambers 100 a, 100 b, enabling control of the workpiece processing environment in the lower chamber 100 b independently of the plasma source environment of the upper chamber 100 a. The upper and lower gas injectors 130 and 134 enable independent distribution of different gases or gas species to the upper and lower chambers 100 a, 100 b. For example, one gas (e.g., a “source” gas) particularly useful for producing a species desired in the upper chamber 100 a is injected into the upper chamber 100 a, while another gas needed for processing the workpiece 111 (e.g., a “process” gas) is injected into the lower chamber 100 b. Gas may also be injected through the gas injection outlets 105 b of the grid filter 104. For example, an inert gas may be injected through the gas injection outlets 105 b of the grid filter 104 so as to substantially prevent process gas in the lower chamber 100 b from convecting or diffusing into the upper chamber 100 a. In an embodiment where molecular gas is provided to the upper chamber 100 a, the dissociation of species in the upper chamber 100 a may be enhanced by the application of higher VHF power to the electrode 108 or by the application of RF power to the inductive coil antenna 172 without needing to expose the workpiece 111 to a plasma with high ion density. The electron beam energy and flux may be adjusted to control relative dissociation and ionization processes: In an embodiment where inert gas is flowed into upper chamber 100 a and molecular gas is flowed to lower chamber 100 b, RF and/or VHF power to the electrode 108 and/or RF power to the coil antenna 172 may be adjusted to adjust electron beam energy and flux to the lower chamber 100 b for relative control of dissociation and ionization processes in the lower chamber 100 b. The population of radicals or dissociated species may be enhanced by the remote plasma source 280. Unlike a commonly used dc discharge, RF capacitively coupled plasma or inductively coupled plasma, with which a low electron temperature plasma may not be produced continuously (may be produced intermittently during the off-time of a pulsed dc or RF CCP/ICP discharge), the foregoing embodiments may produce a low electron temperature plasma continuously, with high uniformity over the workpiece 111 in the lower chamber 100 b. Furthermore, with electronegative gas, an electron deficient, highly electronegative “ion-ion” plasma may be produced continuously, with high uniformity over the workpiece, and with the application of low frequency bias voltage or low repetition frequency arbitrary voltage waveform applied to the workpiece support pedestal 110, positive and/or negative ions may be selectively or alternately extracted from plasma and accelerated at desired energy levels into workpiece surface for etching, cleaning, deposition, or other materials modification processes.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (18)

What is claimed is:
1. An electron beam plasma reactor comprising:
a plasma chamber having a side wall, an upper portion, and a lower portion;
an upper electrode in the upper portion of the plasma chamber;
a workpiece support to hold a workpiece in the lower portion of the plasma chamber with the workpiece facing the upper electrode;
a first RF power source coupled to the upper electrode;
a gas supply to provide gas to the plasma chamber;
a vacuum pump coupled to the chamber to evacuate the chamber;
a controller configured to operate the first RF power source to apply an RF power to upper electrode, and to operate the gas distributor and vacuum pump, so as to create a first plasma in an upper portion of the chamber that generates ions that bombard the upper electrode such that the upper electrode emits an electron beam toward the workpiece, and such that a portion of the electron beam impinges gas in the lower portion of the plasma chamber to generate a second plasma in the lower portion having a lower electron temperature than the first plasma.
2. The plasma reactor of claim 1, further comprising a bias voltage generator coupled to workpiece support pedestal.
3. The plasma reactor of claim 1, wherein the upper electrode comprises one of silicon, carbon, silicon carbide, silicon oxide, aluminum oxide, yttrium oxide, zirconium oxide.
4. The plasma reactor of claim 1, wherein the RF source power generator comprises a first RF power generator having a first frequency and a second RF power generator having a second frequency.
5. The plasma reactor of claim 4, comprising a folded resonator coupled between the RF source power generator and the upper electrode.
6. The plasma reactor of claim 1, comprising a first electromagnet or permanent magnet adjacent and surrounding the upper portion of the chamber and a second electromagnet or permanent magnet adjacent and surrounding the lower portion of the chamber.
7. The plasma reactor of claim 1, comprising a first gas supply to supply a first gas to the upper portion of the chamber and a second gas supply to supply a second gas to the lower portion of the chamber.
8. The plasma reactor of claim 7, wherein the first gas supply is configured to supply an inert gas to the chamber and the second gas supply is configured to supply a process gas to the chamber.
9. The plasma reactor of claim 1, further comprising a window in the side wall in the upper portion of the chamber, a coil antenna around the window, and an RF generator coupled to the coil antenna.
10. The plasma reactor of claim 1, wherein the controller is configured to operate the first RF power source to apply an RF power to upper electrode, and to operate the gas distributor and vacuum pump, such that a portion of the electron beam impinges the workpiece.
11. The plasma reactor of claim 1, wherein the first RF power source comprises a first RF power supply to apply RF power of a first frequency and a second RF power supply to apply RF power of a second frequency.
12. A method of processing a workpiece in an electron beam plasma reactor, the method comprising:
supporting a workpiece in a chamber of the plasma reactor such that the workpiece faces an upper electrode;
introducing gas into an upper portion of the chamber; and
applying a first RF power to the upper electrode so as to create a first plasma in an upper portion of the chamber such that ions of the plasma impact the upper electrode and generate an electron beam of secondary electrons from the upper electrode toward the workpiece, wherein a portion of the electron beam impinges gas in the lower portion of the plasma chamber to generate a second plasma in the lower portion having a lower electron temperature than the first plasma.
13. The method of claim 12, wherein introducing gas comprises supplying a substantially inert gas into the upper portion of the chamber and supplying a molecular process gas into a lower portion of the chamber.
14. The method of claim 12, further comprising applying a bias voltage to the workpiece.
15. The method of claim 12, wherein the upper electrode comprises one of silicon, carbon, silicon carbide, silicon oxide, aluminum oxide, yttrium oxide, zirconium oxide.
16. The method of claim 12, comprising applying a first magnetic field from a first electromagnet or permanent magnet to the upper portion of the chamber and applying a second magnetic field from a second electromagnet or permanent magnet to the lower portion of the chamber.
17. The method of claim 12, wherein applying a first RF power comprises applying RF power of a first frequency and applying RF power of a second frequency.
18. The method of claim 12, wherein a portion of the electron beam impinges the workpiece.
US15/980,621 2015-03-17 2018-05-15 Ion-ion plasma atomic layer etch process and reactor Abandoned US20180261429A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/980,621 US20180261429A1 (en) 2015-03-17 2018-05-15 Ion-ion plasma atomic layer etch process and reactor

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/660,531 US10475626B2 (en) 2015-03-17 2015-03-17 Ion-ion plasma atomic layer etch process and reactor
US15/980,621 US20180261429A1 (en) 2015-03-17 2018-05-15 Ion-ion plasma atomic layer etch process and reactor

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/660,531 Continuation US10475626B2 (en) 2015-03-17 2015-03-17 Ion-ion plasma atomic layer etch process and reactor

Publications (1)

Publication Number Publication Date
US20180261429A1 true US20180261429A1 (en) 2018-09-13

Family

ID=56919264

Family Applications (3)

Application Number Title Priority Date Filing Date
US14/660,531 Active US10475626B2 (en) 2015-03-17 2015-03-17 Ion-ion plasma atomic layer etch process and reactor
US15/980,621 Abandoned US20180261429A1 (en) 2015-03-17 2018-05-15 Ion-ion plasma atomic layer etch process and reactor
US16/595,339 Active US11101113B2 (en) 2015-03-17 2019-10-07 Ion-ion plasma atomic layer etch process

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US14/660,531 Active US10475626B2 (en) 2015-03-17 2015-03-17 Ion-ion plasma atomic layer etch process and reactor

Family Applications After (1)

Application Number Title Priority Date Filing Date
US16/595,339 Active US11101113B2 (en) 2015-03-17 2019-10-07 Ion-ion plasma atomic layer etch process

Country Status (6)

Country Link
US (3) US10475626B2 (en)
JP (3) JP6891123B2 (en)
KR (1) KR102478896B1 (en)
CN (1) CN107636793B (en)
TW (1) TWI713076B (en)
WO (1) WO2016148769A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10475626B2 (en) * 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8887683B2 (en) 2008-01-31 2014-11-18 Plasma Igniter LLC Compact electromagnetic plasma ignition device
US8920597B2 (en) 2010-08-20 2014-12-30 Applied Materials, Inc. Symmetric VHF source for a plasma reactor
US9734991B2 (en) 2015-07-28 2017-08-15 Varian Semiconductor Equipment Associates, Inc. Negative ribbon ion beams from pulsed plasmas
JP6753678B2 (en) * 2016-03-25 2020-09-09 株式会社日立ハイテクサイエンス Charged particle beam device and plasma ignition method
US10249495B2 (en) 2016-06-28 2019-04-02 Applied Materials, Inc. Diamond like carbon layer formed by an electron beam plasma process
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
EP3563402B1 (en) * 2016-12-27 2021-01-27 Evatec AG Rf capacitive coupled etch reactor and method of etching
US10544505B2 (en) 2017-03-24 2020-01-28 Applied Materials, Inc. Deposition or treatment of diamond-like carbon in a plasma reactor
WO2018218160A1 (en) * 2017-05-26 2018-11-29 Applied Materials, Inc. Monopole antenna array source for semiconductor process equipment
US11222769B2 (en) 2017-05-26 2022-01-11 Applied Materials, Inc. Monopole antenna array source with gas supply or grid filter for semiconductor process equipment
US11043375B2 (en) 2017-08-16 2021-06-22 Applied Materials, Inc. Plasma deposition of carbon hardmask
US10395894B2 (en) * 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread
JP6937644B2 (en) * 2017-09-26 2021-09-22 東京エレクトロン株式会社 Plasma processing equipment and plasma processing method
US10804109B2 (en) * 2017-10-03 2020-10-13 Mattson Technology, Inc. Surface treatment of silicon and carbon containing films by remote plasma with organic precursors
KR102453450B1 (en) * 2017-10-23 2022-10-13 삼성전자주식회사 apparatus for processing plasma, manufacturing system of semiconductor device and manufacturing method of the same
JP7002921B2 (en) * 2017-11-10 2022-01-20 東京エレクトロン株式会社 Board processing method and board processing equipment
US20190186369A1 (en) 2017-12-20 2019-06-20 Plasma Igniter, LLC Jet Engine with Plasma-assisted Combustion
WO2019143474A1 (en) * 2018-01-18 2019-07-25 Applied Materials, Inc. Etching apparatus and methods
WO2019199681A1 (en) 2018-04-09 2019-10-17 Applied Materials, Inc. Carbon hard masks for patterning applications and methods related thereto
US11387111B2 (en) 2018-04-13 2022-07-12 Mattson Technology, Inc. Processing of workpieces with reactive species generated using alkyl halide
WO2019246296A1 (en) 2018-06-20 2019-12-26 Board Of Trustees Of Michigan State University Single beam plasma source
US10790153B2 (en) * 2018-06-29 2020-09-29 Applied Materials, Inc. Methods and apparatus for electron beam etching process
US11257685B2 (en) 2018-09-05 2022-02-22 Tokyo Electron Limited Apparatus and process for electron beam mediated plasma etch and deposition processes
US11495456B2 (en) 2018-10-15 2022-11-08 Beijing E-Town Semiconductor Technology, Co., Ltd Ozone for selective hydrophilic surface treatment
US10950416B2 (en) 2018-11-16 2021-03-16 Mattson Technology, Inc. Chamber seasoning to improve etch uniformity by reducing chemistry
JP2020092195A (en) * 2018-12-06 2020-06-11 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
US10403492B1 (en) 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
JP7410951B2 (en) * 2018-12-17 2024-01-10 アプライド マテリアルズ インコーポレイテッド Method for manufacturing optical devices using electron beam equipment
WO2020131793A1 (en) * 2018-12-20 2020-06-25 Mattson Technology, Inc. Silicon mandrel etch after native oxide punch-through
CN111446144B (en) * 2019-01-17 2024-04-19 东京毅力科创株式会社 Control method of electrostatic adsorption part and plasma processing device
JP7346269B2 (en) * 2019-01-17 2023-09-19 東京エレクトロン株式会社 Control method of electrostatic adsorption unit and plasma processing device
WO2020163115A1 (en) * 2019-02-07 2020-08-13 Lam Research Corporation Substrate processing tool capable of modulating one or more plasma temporally and/or spatially
US20220165546A1 (en) * 2019-03-14 2022-05-26 Lam Research Corporation Plasma etch tool for high aspect ratio etching
US11545343B2 (en) 2019-04-22 2023-01-03 Board Of Trustees Of Michigan State University Rotary plasma reactor
US11270905B2 (en) 2019-07-01 2022-03-08 Applied Materials, Inc. Modulating film properties by optimizing plasma coupling materials
US11195718B2 (en) * 2019-07-03 2021-12-07 Beijing E-town Semiconductor Technology Co., Ltd. Spacer open process by dual plasma
US11043362B2 (en) * 2019-09-17 2021-06-22 Tokyo Electron Limited Plasma processing apparatuses including multiple electron sources
KR20210061846A (en) * 2019-11-20 2021-05-28 삼성전자주식회사 Substrate processing apparatus and semiconductor device manufacturing method using the same
KR20220103781A (en) 2019-11-27 2022-07-22 어플라이드 머티어리얼스, 인코포레이티드 processing chamber with multiple plasma units
KR102362893B1 (en) * 2019-11-27 2022-02-11 세메스 주식회사 Substrate processing apparatus and substrate processing method
US11721542B2 (en) 2019-11-27 2023-08-08 Applied Materials, Inc. Dual plasma pre-clean for selective gap fill
CN111463094B (en) * 2020-04-16 2023-08-18 北京北方华创微电子装备有限公司 Atomic layer etching device and atomic layer etching method
CN113903644A (en) * 2020-06-22 2022-01-07 江苏鲁汶仪器有限公司 Stopper for ion beam etching cavity
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
JP2022064042A (en) * 2020-10-13 2022-04-25 株式会社Kelk Substrate processing device
US20230033058A1 (en) * 2021-07-29 2023-02-02 Applied Materials, Inc. Reactor with inductively coupled plasma source
JP2023161689A (en) * 2022-04-26 2023-11-08 東京エレクトロン株式会社 Plasma processing apparatus, plasma processing method, and remote plasma source

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4844775A (en) * 1986-12-11 1989-07-04 Christopher David Dobson Ion etching and chemical vapour deposition
US6083363A (en) * 1997-07-02 2000-07-04 Tokyo Electron Limited Apparatus and method for uniform, low-damage anisotropic plasma processing
US20050281958A1 (en) * 2004-06-22 2005-12-22 Walton Scott G Electron beam enhanced nitriding system (EBENS)
US20070084991A1 (en) * 2003-11-27 2007-04-19 Bong-Ju Lee Neutral particle beam processing apparatus
US20070119375A1 (en) * 2005-11-30 2007-05-31 Darrin Leonhardt Dual large area plasma processing system
US20080135518A1 (en) * 2006-12-11 2008-06-12 Tokyo Electron Limited Method and system for uniformity control in ballistic electron beam enhanced plasma processing system
US20090084501A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Processing system for producing a negative ion plasma
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US20110192820A1 (en) * 2010-02-09 2011-08-11 Sungkyunkwan University Foundation For Corporate Collaboration Atomic layer etching apparatus and etching method using the same
US9159606B1 (en) * 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5225024A (en) 1989-05-08 1993-07-06 Applied Materials, Inc. Magnetically enhanced plasma reactor system for semiconductor processing
US6184150B1 (en) * 1992-09-08 2001-02-06 Applied Materials Inc. Oxide etch process with high selectivity to nitride suitable for use on surfaces of uneven topography
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
US5561298A (en) * 1994-02-09 1996-10-01 Hughes Aircraft Company Destruction of contaminants using a low-energy electron beam
US5674321A (en) 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
KR100297719B1 (en) * 1998-10-16 2001-08-07 윤종용 Method for manufacturing thin film
KR100301066B1 (en) * 1999-08-16 2001-11-01 윤종용 Electron beam irradiation apparatus having a cathode plate composed of a non-metal conductive material
JP4414518B2 (en) * 1999-09-10 2010-02-10 株式会社日立製作所 Surface treatment equipment
JP4323021B2 (en) * 1999-09-13 2009-09-02 株式会社エフオーアイ Plasma processing equipment
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US20020185226A1 (en) * 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
JP2002289585A (en) 2001-03-26 2002-10-04 Ebara Corp Neutral particle beam treatment device
US6786175B2 (en) * 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
JP4073204B2 (en) * 2001-11-19 2008-04-09 株式会社荏原製作所 Etching method
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
JP2004281230A (en) * 2003-03-14 2004-10-07 Ebara Corp Beam source and beam treatment device
US7022611B1 (en) * 2003-04-28 2006-04-04 Lam Research Corporation Plasma in-situ treatment of chemically amplified resist
US6903511B2 (en) * 2003-05-06 2005-06-07 Zond, Inc. Generation of uniformly-distributed plasma
USH2212H1 (en) 2003-09-26 2008-04-01 The United States Of America As Represented By The Secretary Of The Navy Method and apparatus for producing an ion-ion plasma continuous in time
KR101001743B1 (en) 2003-11-17 2010-12-15 삼성전자주식회사 Ionized physical vapor deposition apparatus using helical self-resonant coil
US20050224722A1 (en) * 2004-03-30 2005-10-13 Applied Materials, Inc. Method and apparatus for reducing charge density on a dielectric coated substrate after exposure to large area electron beam
WO2006003874A1 (en) * 2004-06-30 2006-01-12 Matsushita Electric Industrial Co., Ltd. Communication device, communication setting method, communication setting program, and recording medium containing the communication setting program
US7268084B2 (en) 2004-09-30 2007-09-11 Tokyo Electron Limited Method for treating a substrate
JP2006114614A (en) * 2004-10-13 2006-04-27 Canon Anelva Corp Apparatus and method of plasma processing
US7777197B2 (en) * 2005-06-02 2010-08-17 Applied Materials, Inc. Vacuum reaction chamber with x-lamp heater
WO2006138441A2 (en) 2005-06-17 2006-12-28 Perkinelmer, Inc. Boost devices and methods of using them
US7351264B2 (en) * 2005-07-27 2008-04-01 Wilson Michael T Liner for prosthesis
US7358484B2 (en) * 2005-09-29 2008-04-15 Tokyo Electron Limited Hyperthermal neutral beam source and method of operating
US7862683B2 (en) * 2005-12-02 2011-01-04 Tokyo Electron Limited Chamber dry cleaning
KR100835355B1 (en) 2006-07-25 2008-06-04 삼성전자주식회사 PLASMA Based ION IMPLANTATION APPARATUS
US20080193673A1 (en) 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7884025B2 (en) 2007-01-30 2011-02-08 Applied Materials, Inc. Plasma process uniformity across a wafer by apportioning ground return path impedances among plural VHF sources
US20080178803A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Plasma reactor with ion distribution uniformity controller employing plural vhf sources
TW200845197A (en) 2007-03-28 2008-11-16 Matsushita Electric Ind Co Ltd Plasma etching apparatus
US20090095714A1 (en) * 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
CA2702693C (en) * 2007-10-15 2015-03-17 M-I Swaco Norge As Method of enhancing adsorption of an inhibitor onto a wellbore region
US9520275B2 (en) * 2008-03-21 2016-12-13 Tokyo Electron Limited Mono-energetic neutral beam activated chemical processing system and method of using
US8263499B2 (en) * 2008-03-31 2012-09-11 Tokyo Electron Limited Plasma processing method and computer readable storage medium
US7732759B2 (en) * 2008-05-23 2010-06-08 Tokyo Electron Limited Multi-plasma neutral beam source and method of operating
US8043434B2 (en) 2008-10-23 2011-10-25 Lam Research Corporation Method and apparatus for removing photoresist
JP2010116596A (en) * 2008-11-13 2010-05-27 Shinmaywa Industries Ltd Substrate supporting device and plasma treatment system provided with the same
US20100190098A1 (en) * 2009-01-27 2010-07-29 Applied Materials, Inc. Infrared endpoint detection for photoresist strip processes
KR101285265B1 (en) * 2009-02-06 2013-07-12 캐논 아네르바 가부시키가이샤 Plasma Processing Device, Plasma Processing Method, And Method of Manufacturing Element Including Substrate To be Processed
KR101572100B1 (en) * 2009-05-31 2015-11-26 위순임 Plasma reactor using multi-frequency
US20110177694A1 (en) * 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
US8343371B2 (en) * 2010-01-15 2013-01-01 Tokyo Electron Limited Apparatus and method for improving photoresist properties using a quasi-neutral beam
US9190289B2 (en) * 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US20130059448A1 (en) 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
SG10201602785TA (en) * 2011-04-11 2016-05-30 Lam Res Corp E-beam enhanced decoupled source for semiconductor processing
US20120258607A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation E-Beam Enhanced Decoupled Source for Semiconductor Processing
JP6016339B2 (en) * 2011-08-12 2016-10-26 東京エレクトロン株式会社 Carbon nanotube processing method and processing apparatus
US20130098873A1 (en) * 2011-10-20 2013-04-25 Applied Materials, Inc. Overhead electron beam source for plasma ion generation in a workpiece processing region
US9129777B2 (en) * 2011-10-20 2015-09-08 Applied Materials, Inc. Electron beam plasma source with arrayed plasma sources for uniform plasma generation
CN104011838B (en) 2011-11-24 2016-10-05 朗姆研究公司 The RF with flexible symmetry returns the plasma processing chamber of band
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9245761B2 (en) * 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US20140360670A1 (en) * 2013-06-05 2014-12-11 Tokyo Electron Limited Processing system for non-ambipolar electron plasma (nep) treatment of a substrate with sheath potential
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9362131B2 (en) * 2014-08-29 2016-06-07 Applied Materials, Inc. Fast atomic layer etch process using an electron beam
US10475626B2 (en) * 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US20180277340A1 (en) * 2017-03-24 2018-09-27 Yang Yang Plasma reactor with electron beam of secondary electrons

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4844775A (en) * 1986-12-11 1989-07-04 Christopher David Dobson Ion etching and chemical vapour deposition
US6083363A (en) * 1997-07-02 2000-07-04 Tokyo Electron Limited Apparatus and method for uniform, low-damage anisotropic plasma processing
US20070084991A1 (en) * 2003-11-27 2007-04-19 Bong-Ju Lee Neutral particle beam processing apparatus
US20050281958A1 (en) * 2004-06-22 2005-12-22 Walton Scott G Electron beam enhanced nitriding system (EBENS)
US20070119375A1 (en) * 2005-11-30 2007-05-31 Darrin Leonhardt Dual large area plasma processing system
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US20080135518A1 (en) * 2006-12-11 2008-06-12 Tokyo Electron Limited Method and system for uniformity control in ballistic electron beam enhanced plasma processing system
US20090084501A1 (en) * 2007-09-27 2009-04-02 Tokyo Electron Limited Processing system for producing a negative ion plasma
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US20110192820A1 (en) * 2010-02-09 2011-08-11 Sungkyunkwan University Foundation For Corporate Collaboration Atomic layer etching apparatus and etching method using the same
US9159606B1 (en) * 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10475626B2 (en) * 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US11101113B2 (en) 2015-03-17 2021-08-24 Applied Materials, Inc. Ion-ion plasma atomic layer etch process

Also Published As

Publication number Publication date
US20160276134A1 (en) 2016-09-22
JP7385621B2 (en) 2023-11-22
KR102478896B1 (en) 2022-12-19
CN107636793A (en) 2018-01-26
KR20170130467A (en) 2017-11-28
TW201705185A (en) 2017-02-01
US20200035454A1 (en) 2020-01-30
JP2021153056A (en) 2021-09-30
JP2024020348A (en) 2024-02-14
JP6891123B2 (en) 2021-06-18
US11101113B2 (en) 2021-08-24
TWI713076B (en) 2020-12-11
WO2016148769A1 (en) 2016-09-22
CN107636793B (en) 2019-10-25
JP2018510470A (en) 2018-04-12
US10475626B2 (en) 2019-11-12

Similar Documents

Publication Publication Date Title
US11101113B2 (en) Ion-ion plasma atomic layer etch process
US20230230814A1 (en) Method and Apparatus for Plasma Processing
US10544505B2 (en) Deposition or treatment of diamond-like carbon in a plasma reactor
JP2648235B2 (en) Ion gun
US7363876B2 (en) Multi-core transformer plasma source
US9564297B2 (en) Electron beam plasma source with remote radical source
US10395903B2 (en) Self-sustained non-ambipolar direct current (DC) plasma at low power
US6236163B1 (en) Multiple-beam ion-beam assembly
US20180277340A1 (en) Plasma reactor with electron beam of secondary electrons
KR102204217B1 (en) Plasma-based material modification using a plasma source with magnetic confinement
US20130098873A1 (en) Overhead electron beam source for plasma ion generation in a workpiece processing region
JPH09289193A (en) Plasma generating equipment and its method, and plasma treatment equipment and its method
US10790153B2 (en) Methods and apparatus for electron beam etching process
US20170140900A1 (en) Uniform low electron temperature plasma source with reduced wafer charging and independent control over radical composition

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:COLLINS, KENNETH S.;RAMASWAMY, KARTIK;CARDUCCI, JAMES D.;AND OTHERS;SIGNING DATES FROM 20150326 TO 20150331;REEL/FRAME:045998/0047

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION