KR20190124323A - 플라즈마 반응기 및 플라즈마 반응기에서의 다이아몬드-유사 탄소의 증착 또는 처리 - Google Patents

플라즈마 반응기 및 플라즈마 반응기에서의 다이아몬드-유사 탄소의 증착 또는 처리 Download PDF

Info

Publication number
KR20190124323A
KR20190124323A KR1020197031033A KR20197031033A KR20190124323A KR 20190124323 A KR20190124323 A KR 20190124323A KR 1020197031033 A KR1020197031033 A KR 1020197031033A KR 20197031033 A KR20197031033 A KR 20197031033A KR 20190124323 A KR20190124323 A KR 20190124323A
Authority
KR
South Korea
Prior art keywords
chamber
workpiece
carbon
diamond
upper electrode
Prior art date
Application number
KR1020197031033A
Other languages
English (en)
Inventor
양 양
카르틱 라마스와미
케니스 에스. 콜린스
스티븐 레인
곤잘로 안토니오 몬로이
루시 첸
유에 구오
에스와라난드 벤카타수브라마니안
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20190124323A publication Critical patent/KR20190124323A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • C23C16/27Diamond only
    • C23C16/272Diamond only using DC, AC or RF discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/487Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using electron radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/302Controlling tubes by external information, e.g. programme control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32321Discharge generated by other radiation
    • H01J37/3233Discharge generated by other radiation using charged particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32669Particular magnets or magnet arrangements for controlling the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

챔버 내의 워크피스 상의 다이아몬드-유사 탄소의 증착을 수행하는 방법은, 챔버에서 워크피스를 지지하는 단계 ― 워크피스는 챔버의 천장에 매달려 있는 상부 전극과 대면함 ―; 챔버 내에 탄화수소 가스를 도입하는 단계; 및 챔버에 플라즈마를 생성하고 워크피스 상의 다이아몬드-유사 탄소의 증착을 발생시키는, 제1 주파수의 제1 RF 전력을 상부 전극에 인가하는 단계를 포함한다. RF 전력을 인가하는 것은 탄화수소 가스의 이온화를 향상시키기 위해 상부 전극으로부터 워크피스를 향하는 전자 빔을 생성한다.

Description

플라즈마 반응기 및 플라즈마 반응기에서의 다이아몬드-유사 탄소의 증착 또는 처리
본 개시내용은 플라즈마 반응기, 및 플라즈마 반응기에서의 워크피스, 이를테면 반도체 웨이퍼 상의 다이아몬드-유사 탄소의 증착 또는 처리에 관한 것이다.
워크피스를 프로세싱하기 위한 일부 플라즈마 소스들은, 그리드 필터(grid filter)에 의해 기판으로부터 분리된, 프로세싱 챔버의 구역을 통과하는 빔 경로를 갖는 전자 빔 소스를 포함한다.
다이아몬드-유사 탄소(diamond-like carbon; DLC)는 다양한 애플리케이션들, 이를테면 산업용 툴들, 의료 기기들 등에서 코팅으로서 사용되어 왔다. 다이아몬드-유사 탄소의 일부분을 갖는 탄소 층들은 일부 반도체 제작 프로세스들에 대해 에천트 마스크로서 사용되어 왔다. 다이아몬드-유사 탄소는 플라즈마에 의해 증착되어 왔다.
일 양상에서, 챔버 내의 워크피스 상의 다이아몬드-유사 탄소의 증착을 수행하는 방법은, 챔버에서 워크피스를 지지하는 단계 ― 워크피스는 챔버의 천장에 매달려 있는 상부 전극과 대면함 ―; 챔버 내에 탄화수소 가스를 도입하는 단계; 및 챔버에 플라즈마를 생성하고 워크피스 상의 다이아몬드-유사 탄소의 증착을 발생시키는, 제1 주파수의 제1 RF 전력을 상부 전극에 인가하는 단계를 포함한다. RF 전력을 인가하는 것은 탄화수소 가스의 이온화를 향상시키기 위해 상부 전극으로부터 워크피스를 향하는 전자 빔을 생성한다.
구현들은 다음의 특징들 중 하나 이상을 포함할 수 있다.
제1 주파수는 100 kHz 내지 27 MHz일 수 있다. 제1 주파수는 12 MHz 미만일 수 있고, 예컨대, 제1 주파수는 약 2 MHz일 수 있다. 제1 RF 전력이 상부 전극에 인가되는 동안, 제2 RF 전력은 워크피스를 지지하는 페데스탈 내의 하부 전극에 제2 주파수로 인가될 수 있다. 제1 주파수는 제2 주파수 이하일 수 있다.
불활성 가스가 챔버 내에 도입될 수 있고, 그에 따라, 플라즈마는 탄화수소 가스와 불활성 가스 둘 모두의 플라즈마가 된다.
워크피스 상의 다이아몬드-유사 탄소의 층의 증착 후에, 탄화수소 가스는 챔버로부터 제거될 수 있다. 탄화수소 가스를 제거한 후에, 불활성 가스가 챔버 내에 도입될 수 있고, 그리고 제3 RF 전력이 상부 전극에 제3 주파수로 인가될 수 있으며, 이는 챔버에 불활성 가스의 플라즈마를 생성하고, 상부 전극으로부터 워크피스를 향하는 전자 빔을 생성하며, 전자 빔은 다이아몬드-유사 탄소의 층에 충돌한다. 전자 빔에 의한 다이아몬드-유사 탄소의 층으로의 충돌은 층에서 내부 응력을 감소시킬 수 있다. 제3 RF 전력이 상부 전극에 인가되는 동안, 워크피스를 지지하는 페데스탈 내의 하부 전극에 제4 RF 전력이 제4 주파수로 인가될 수 있다.
다른 양상에서, 워크피스 상의 다이아몬드-유사 탄소의 층을 처리하는 방법은, 챔버에서 워크피스를 지지하는 단계 ― 다이아몬드-유사 탄소의 층은 상부 전극과 대면함 ―; 챔버 내에 불활성 가스를 도입하는 단계; 및 챔버에 플라즈마를 생성하고 상부 전극으로부터 워크피스를 향하는 전자 빔을 생성하는, 제1 주파수의 제1 RF 전력을 상부 전극에 인가하는 단계를 포함하며, 전자 빔은 다이아몬드-유사 탄소의 층에 충돌한다.
구현들은 다음의 특징들 중 하나 이상을 포함할 수 있다.
전자 빔에 의한 다이아몬드-유사 탄소의 층으로의 충돌은 층에서 내부 응력을 감소시킬 수 있다. 워크피스를 지지하는 페데스탈 내의 하부 전극에 제2 RF 전력이 제2 주파수로 인가될 수 있다. 제1 주파수는 제2 주파수 이하일 수 있다. 불활성 가스는 아르곤 또는 헬륨을 포함할 수 있다.
다른 양상에서, 워크피스 상의 다이아몬드-유사 탄소의 층을 처리하는 방법은, 챔버에서 워크피스를 지지하는 단계 ― 다이아몬드-유사 탄소의 층은 상부 전극과 대면함 ―; 챔버 내에 불활성 가스를 도입하는 단계; 및 챔버에 불활성 가스의 플라즈마가 생성되고 불활성 가스의 플라즈마에 다이아몬드-유사 탄소의 층이 노출되도록, 제1 주파수의 제1 RF 전력을 상부 전극에 인가하는 단계를 포함한다.
구현들은 다음의 특징들 중 하나 이상을 포함할 수 있다.
불활성 가스는 아르곤 또는 헬륨을 포함할 수 있다. 워크피스를 지지하는 페데스탈 내의 하부 전극에 제2 RF 전력이 제2 주파수로 인가될 수 있다.
다른 양상에서, 전자 빔 플라즈마 반응기는, 측벽을 갖는 플라즈마 챔버; 상부 전극; 상부 전극에 커플링된 제1 RF 소스 전력 생성기; 탄화수소 가스를 제공하기 위한 가스 공급부; 챔버에 탄화수소 가스를 전달하기 위한 가스 분배기; 챔버를 진공배기시키기 위해 챔버에 커플링된 진공 펌프; 상부 전극과 대면하는 워크피스를 홀딩하기 위한 워크피스 지지 페데스탈; 및 상부 전극, 가스 분배기, 및 진공 펌프를 동작시켜서, 워크피스 상의 다이아몬드-유사 탄소의 증착을 발생시키는 플라즈마를 챔버에 생성하고, 그리고 제1 RF 소스로 하여금, 탄화수소 가스의 이온화를 향상시키기 위해 상부 전극으로부터 워크피스를 향하는 전자 빔을 생성하는 RF 전력을 인가하게 하도록 구성된 제어기를 포함한다.
다른 양상에서, 전자 빔 플라즈마 반응기는, 측벽을 갖는 플라즈마 챔버; 상부 전극; 상부 전극에 커플링된 제1 RF 소스 전력 생성기; 불활성 가스를 제공하기 위한 가스 공급부; 챔버에 불활성 가스를 전달하기 위한 가스 분배기; 챔버를 진공배기시키기 위해 챔버에 커플링된 진공 펌프; 상부 전극과 대면하는 워크피스를 홀딩하기 위한 워크피스 지지 페데스탈; 및 상부 전극, 가스 분배기, 및 진공 펌프를 동작시켜서, 워크피스 상의 다이아몬드-유사 탄소의 층을 어닐링하는 플라즈마를 챔버에 생성하고, 그리고 제1 RF 소스로 하여금, 다이아몬드-유사 탄소의 층에 충돌하기 위해 상부 전극으로부터 워크피스를 향하는 전자 빔을 생성하는 RF 전력을 인가하게 하도록 구성된 제어기를 포함한다.
다른 양상에서, 전자 빔 플라즈마 반응기는, 측벽을 갖는 플라즈마 챔버; 상부 전극; 상기 상부 전극에 커플링된 제1 RF 소스 전력 생성기; 탄화수소 가스를 제공하기 위한 제1 가스 공급부; 불활성 가스를 제공하기 위한 제2 가스 공급부; 챔버에 탄화수소 가스 및 불활성 가스를 전달하기 위한 가스 분배기; 챔버를 진공배기시키기 위해 챔버에 커플링된 진공 펌프; 상부 전극과 대면하는 워크피스를 홀딩하기 위한 워크피스 지지 페데스탈; 및 상부 전극으로부터의 전자 빔을 이용하여 워크피스 상에 다이아몬드-유사 탄소의 층을 증착하는 것과 워크피스를 처리하는 것을 교번시키기 위해, 상부 전극, 가스 분배기, 및 진공 펌프를 동작시키도록 구성된 제어기를 포함한다.
구현들은 다음의 특징들 중 하나 이상을 포함할 수 있다.
제어기는, 챔버에 플라즈마를 생성하고 워크피스 상의 다이아몬드-유사 탄소의 층의 증착을 발생시키기 위해, 가스 분배기로 하여금 제1 가스 공급부로부터 챔버 내에 탄화수소 가스를 전달하게 하고, 제1 RF 전력 소스로 하여금 상부 전극에 제1 주파수로 제1 RF 전력을 인가하게 하도록 구성될 수 있다. 제2 RF 소스 전력 생성기는 하부 전극에 커플링될 수 있고, 그리고 하부 전극에 제2 주파수로 제2 RF 전력을 인가하도록 구성될 수 있다. 제어기는, 워크피스 상의 다이아몬드-유사 탄소의 층의 증착 후에, 진공 펌프로 하여금 챔버로부터 탄화수소 가스를 제거하게 하도록 구성될 수 있다. 제어기는, 챔버에 불활성 가스의 플라즈마를 생성하고 상부 전극으로부터 워크피스를 향하는 전자 빔을 생성하기 위해, 탄화수소 가스가 제거된 후에, 가스 분배기로 하여금 제2 가스 공급부로부터 챔버 내에 불활성 가스를 전달하게 하고, 제1 RF 전력 소스로 하여금 상부 전극에 제3 주파수로 제3 RF 전력을 인가하게 하도록 구성될 수 있으며, 전자 빔은 워크피스를 처리하기 위해 다이아몬드-유사 탄소의 층에 충돌한다.
다른 양상에서, 워크피스 상에 다이아몬드-유사 탄소의 층을 형성하는 방법은, 챔버에서 워크피스를 지지하는 단계 ― 워크피스는 상부 전극과 대면함 ―; 및 불활성 가스의 플라즈마 또는 상부 전극으로부터의 전자 빔을 이용하여 챔버 내의 워크피스 상에 다이아몬드-유사 탄소의 하위층을 증착하는 것과 하위층을 처리하는 것을 교번시킴으로써, 다이아몬드-유사 탄소의 층을 형성하기 위해, 복수의 연속적인 하위층들을 형성하는 단계를 포함한다.
구현들은 다음의 특징들 중 하나 이상을 포함할 수 있다.
워크피스 상에 다이아몬드-유사 탄소의 하위층을 증착하는 것은, 챔버 내에 탄화수소 가스를 도입하는 것, 및 챔버에 플라즈마를 생성하고 워크피스 상의 다이아몬드-유사 탄소의 하위층의 증착을 발생시키는, 제1 주파수의 제1 RF 전력을 상부 전극에 인가하는 것을 포함한다. 제1 RF 전력을 인가하는 것은 탄화수소 가스의 이온화를 향상시키기 위해 상부 전극으로부터 워크피스를 향하는 전자 빔을 생성할 수 있다.
하위층을 처리하는 것은, 챔버 내에 불활성 가스를 도입하는 것, 및 챔버에 불활성 가스의 플라즈마를 생성하는, 제2 주파수의 제1 RF 전력을 상부 전극에 인가하는 것을 포함할 수 있다. 제2 RF 전력을 인가하는 것은 워크피스 상의 다이아몬드-유사 탄소의 하위층에 충돌하는 전자 빔을 상부 전극으로부터 생성할 수 있다.
다른 양상에서, 챔버 내의 워크피스 상의 다이아몬드-유사 탄소의 층을 처리 또는 증착하는 방법은, 챔버에서 페데스탈 상에 워크피스를 지지하는 단계 ― 워크피스는 챔버의 천장에 매달려 있는 상부 전극과 대면함 ―; 챔버 내에 불활성 가스 및/또는 탄화수소 가스를 도입하는 단계; 기판 상의 다이아몬드-유사 탄소의 층을 어닐링하거나 또는 워크피스 상의 다이아몬드-유사 탄소의 증착을 발생시키기 위해, 챔버에 불활성 가스 및/또는 탄화수소 가스의 플라즈마를 생성하는, 제1 주파수의 제1 RF 전력을 상부 전극에 인가하는 단계; 및 페데스탈 내의 하부 전극에 동시에 복수의 별개의 주파수들로 제2 RF 전력을 인가하는 단계를 포함하며, 복수의 주파수들은 제2 주파수, 및 제2 주파수보다 더 높은 제3 주파수를 포함한다.
구현들은 다음의 특징들 중 하나 이상을 포함할 수 있다.
제1 주파수는 제3 주파수 미만일 수 있다. 제1 주파수는 제2 주파수 이하일 수 있다. 제2 주파수는 2 MHz 미만일 수 있다. 제3 주파수는 2 MHz를 초과할 수 있다.
다른 양상에서, 전자 빔 플라즈마 반응기는, 측벽을 갖는 플라즈마 챔버; 상부 전극; 상기 상부 전극에 커플링된 제1 RF 소스 전력 생성기 ― 제1 RF 소스 전력 생성기는 제1 주파수의 제1 RF 전력을 상부 전극에 인가하도록 구성됨 ―; 탄화수소 가스를 제공하기 위한 제1 가스 공급부; 불활성 가스를 제공하기 위한 제2 가스 공급부; 챔버에 탄화수소 가스 및 불활성 가스를 전달하기 위한 가스 분배기; 챔버를 진공배기시키기 위해 챔버에 커플링된 진공 펌프; 상부 전극과 대면하는 워크피스를 홀딩하기 위한 워크피스 지지 페데스탈; 하부 전극; 하부 전극에 커플링된 제2 RF 소스 전력 생성기 ― 제2 RF 소스 전력 생성기는 하부 전극에 동시에 복수의 주파수들로 제2 RF 전력을 인가하도록 구성되고, 복수의 주파수들은 제2 주파수, 및 제2 주파수보다 더 높은 제3 주파수를 포함함 ―; 및 워크피스 상의 다이아몬드-유사 탄소의 층을 증착 또는 어닐링하기 위해, 상부 전극, 하부 전극, 가스 분배기, 및 진공 펌프를 동작시키도록 구성된 제어기를 포함한다.
구현들은 다음의 특징들 중 하나 이상을 포함할 수 있다.
하부 전극은 워크피스 지지 페데스탈에 의해 지지될 수 있다.
제1 주파수는 제3 주파수 미만일 수 있다. 제1 주파수는 제2 주파수 이하일 수 있다. 제2 주파수는 2 MHz 미만일 수 있다. 제3 주파수는 2 MHz를 초과할 수 있다.
구현은 다음의 이점들 중 하나 이상을 포함할 수 있다(그러나 이에 제한되지는 않음). 그리드 필터를 개재시키지 않으면서 전자 빔이 기판에 가해질 수 있고, 그에 따라, 증가된 전자 밀도가 제공될 수 있다. 증착은 중간 전력 레벨들로, 예컨대 6 μm/시간 초과로 증가될 수 있다. 전자 빔은 탄화수소 가스들의 이온화를 향상시킬 수 있고, 탄화수소 밀도를 증가시킬 수 있다. 고 에너지 전자들의 비율이 증가될 수 있고, 이온들 대 중성들의 비율이 증가될 수 있다. 이는 막 밀도를 예컨대 약 2 g/cm3로 증가시킬 수 있다. 부가하여, 전자 빔은 막 응력을 감소시키기 위해, 증착된 다이아몬드-유사 탄소 막을 "경화"시킬 수 있다. 예컨대, 막 응력은 500 MPa 미만일 수 있다. 워크피스를 위한 지지 페데스탈은 가열될 필요가 없다.
하나 이상의 구현들의 세부사항들은 첨부 도면들 및 아래의 상세한 설명에서 제시된다. 다른 잠재적인 특징들, 양상들, 및 이점들은 상세한 설명, 도면들, 및 청구항들로부터 명백하게 될 것이다.
도 1은 플라즈마 반응기를 도시한다.
도 2는 제2 실시예에 따른 플라즈마 반응기의 다른 구현을 도시한다.
도 3은 다이아몬드-유사 탄소의 막을 증착하는 방법을 도시하는 블록 흐름도이다.
도 4는 다이아몬드-유사 탄소의 막을 처리하는 방법을 도시하는 블록 흐름도이다.
다양한 도면들에서 동일한 참조 번호들 및 명칭들은 동일한 엘리먼트들을 표시한다.
도입부
일부 프로세스들의 경우, 워크피스가 저-에너지 플라즈마의 존재 하에 있는 동안, 워크피스를 전자 빔에 노출시키는 것이 바람직하다. 일반적으로, 그러한 전자 빔의 생성은 고-에너지 플라즈마에 의해 달성된다. 하나의 기법은 상부 챔버와 하부 챔버 사이에 그리드 필터를 개재시키는 것이며; 상부 챔버에서의 전극으로부터 생성된 이차 전자들이 그리드 필터를 통해 하부 챔버 내로 전파할 수 있고; 그 하부 챔버에서, 저-에너지 플라즈마가 생성되고, 워크피스가 홀딩된다. 그리드 필터는 고 에너지 플라즈마가 워크피스에 도달하는 것을 방지하고, 또한, 원하는 전파 방향(일반적으로는 워크피스 표면에 수직인 방향)을 갖는 전자들이 하부 챔버 내로 통과하는 것을 보장한다. 그러나, 그리드 필터는 또한, 전자 빔의 밀도를 제한한다.
그러나, 그러한 그리드 필터가 없는 챔버에서 전자 빔을 생성하는 것이 가능하다. 적절한 반응기 구성, 예컨대 전극과 워크피스 사이의 거리를 설정함으로써, 플라즈마의 에너지는 전자 빔을 제공하는 이차 전자들을 생성하기 위해 전극 근처에서는 높을 수 있지만, 워크피스 근처에서는 낮을 수 있다.
부가하여, 워크피스, 예컨대, 집적 회로들의 제작에 사용되는 반도체 웨이퍼 상에 증착되는 다이아몬드-유사 탄소의 막의 밀도를 증가시키는 것이 바람직하다. 예컨대, 증가된 막 밀도는 에칭 정지 층으로서 우수한 성능을 제공할 수 있다. 증가된 막 밀도는 또한, 워크피스에 걸친 임계 치수 변동을 감소시킬 수 있다. 불행하게도, 막 밀도를 증가시키는 것은 막 응력이 증가되게 할 수 있으며, 이는 다이아몬드-유사 탄소 막이 워크피스로부터 박리되게 하거나 또는 워크피스가 휘어지게 할 수 있다. 예컨대, 약 1 μm의 막 두께, 500 MPa 미만의 응력, 및 200 μm 미만의 워크피스 휨에서 2 g/cm3를 초과하는 밀도를 갖는 다이아몬드-유사 탄소 막은 우수한 특성들을 제공할 수 있다.
다이아몬드-유사 탄소의 막의 제작을 개선하기 위해, 2개의 기법들이 사용될 수 있다. 첫번째로, 증착 동안, 고 에너지 전자 빔이 탄화수소 가스의 이온화를 향상시키기 위해 사용될 수 있으며; 이들 탄화수소 이온들은 막 밀도를 증가시키기 위해, 성장하는 막 내에 주입된다. 두번째로, 증착 동안 또는 증착 후에, 다이아몬드-유사 탄소의 막이 고 에너지 전자 빔에 노출될 수 있으며; 이는 다이아몬드-유사 탄소 막을 "경화"(또는 어닐링)시키고, 막 응력을 감소시킨다. 임의의 특정 이론에 제한되는 것은 아니지만, 이러한 경화 또는 어닐링은 탄소 막의 결합 구조를 변화시킬 수 있고, 예컨대, 댕글링 결합들을 감소시킬 수 있고 가교를 증가시킬 수 있다.
시스템
도 1을 참조하면, 전자 빔 플라즈마 반응기는 원통형 형상의 측벽(102)을 포함하는 챔버(100)를 정의하는 진공 챔버 바디를 갖는다. 천장(106)은 챔버(100) 위에 놓여 있고, 상부 전극(108)을 지지한다. 전극(108)은 프로세스-양립가능 재료, 이를테면 실리콘, 탄소, 실리콘 탄소 화합물 또는 실리콘-산화물 화합물로 형성될 수 있거나, 또는 금속 산화물, 이를테면 알루미늄 산화물, 이트륨 산화물 또는 지르코늄 산화물로 형성될 수 있다. 천장(106) 및 상부 전극(108)은 디스크-형상일 수 있다. 일부 구현들에서, 절연체 또는 유전체 링(109)이 상부 전극(108)을 둘러싼다.
워크피스(111)를 지지하기 위한 워크피스 지지 페데스탈(110)이 챔버(100)에 포지셔닝된다. 페데스탈(110)은 상부 전극(108)과 대면하는 워크피스 지지 표면(110a)을 갖고, 리프트 서보(112)에 의해 축 방향으로 이동가능할 수 있다. 일부 구현들에서, 워크피스 지지 페데스탈(110)은 워크피스 지지 표면(110a)을 형성하는 절연 퍽(302), 절연 퍽(302) 내부의 워크피스 전극(304), 및 워크피스 전극(304)에 연결된 척킹 전압 공급부(305)를 포함한다. 부가적으로, 절연 퍽(302) 아래에 놓여 있는 베이스 층(306)은 순환 공급부(310)로부터의 열 매체(예컨대, 액체)를 순환시키기 위한 내부 통로들(308)을 갖는다. 순환 공급부(310)는 열 싱크 또는 열 소스로서 기능할 수 있다.
VHF 주파수(예컨대, 160 MHz)를 갖는 RF 전력 생성기(120), 및 VHF 범위 미만 또는 HF 범위 미만의 (예컨대, MF 또는 LF 범위 내, 예컨대 2 MHz의) 주파수를 갖는 하위 주파수 RF 전력 생성기(122)가 임피던스 정합부(124)를 통하여 RF 피드 전도체(123)를 통해 상부 전극(108)에 커플링된다. 임피던스 정합부(124)는 RF 전력 생성기들(120 및 122)의 상이한 주파수들에서의 임피던스 정합 뿐만 아니라, 전력 생성기들을 서로 격리시키기 위한 필터링을 제공하도록 적응될 수 있다. RF 생성기들(120, 122)의 출력 전력 레벨들은 제어기(126)에 의해 독립적으로 제어된다. 아래에서 상세히 설명될 바와 같이, RF 전력 생성기들(120, 122)로부터의 전력은 상부 전극(108)에 커플링된다.
일부 구현들에서, 천장(106)은 전기 전도성일 수 있고, 상부 전극(108)과 전기적으로 접촉할 수 있으며, 임피던스 정합부(124)로부터의 전력은 천장(106)을 통해 상부 전극(108)으로 전도될 수 있다. 측벽(102)은 금속으로 형성될 수 있고 접지된다. 챔버(100) 내부의 접지된 내부 표면들의 표면적은 상부 전극(108)의 표면적의 적어도 2배일 수 있다. 챔버(100) 내부의 접지된 내부 표면들은 프로세스-양립가능 재료, 이를테면 실리콘, 탄소, 실리콘 탄소 화합물 또는 실리콘-산화물 화합물로 코팅될 수 있거나, 또는 재료, 이를테면 알루미늄 산화물, 이트륨 산화물 또는 지르코늄 산화물로 코팅될 수 있다.
일부 구현들에서, 천장(106)은 상부 전극(108)을 위한 지지부이고, 그리고 상부 전극(108)과 대면하는 척킹 전극(152)을 포함하는 절연 층(150)을 포함한다. D.C. 척킹 전압 공급부(154)는 천장(106)에 전극(108)을 정전기적으로 클램핑하기 위해 피드 전도체(155)를 통해 척킹 전극(152)에 커플링된다. D.C. 차단 캐패시터(156)가 임피던스 정합부(124)의 출력과 직렬로 연결될 수 있다. 제어기(126)는 D.C. 척킹 전압 공급부(154)를 제어할 수 있다. 임피던스 정합부(124)로부터의 RF 피드 전도체(123)는 상부 전극(108)에 직접적으로 연결되는 것이 아니라 전극 지지부 또는 천장(106)에 연결될 수 있다. 그러한 실시예에서, RF 피드 전도체(123)로부터의 RF 전력은 전극 지지부로부터 전극(108)에 용량성 커플링될 수 있다.
일 실시예에서, 가스 주입기들(130)은 밸브(132) 및/또는 밸브(134)를 통해 챔버(100) 내에 하나 이상의 프로세스 가스들을 제공한다. 진공 펌프(320)가 챔버(100)를 진공배기시키기 위해 사용될 수 있다.
플라즈마는 전자-방출 상부 전극(108)의 내부 표면의 에너제틱(energetic) 이온 충격을 포함하는 다양한 벌크(bulk) 및 표면 프로세스들에 의해 챔버(100)에서 생성될 수 있다. 상부 전극(108)의 이온 충격 에너지 및 플라즈마 밀도는 RF 전력 생성기들(120 및 122) 둘 모두의 함수들이다. 상부 전극(108)의 이온 충격 에너지는 RF 전력 생성기(122)로부터의 하위 주파수 전력에 의해 실질적으로 제어될 수 있으며, 챔버(100) 내의 플라즈마 밀도는 RF 전력 생성기(120)로부터의 VHF 전력에 의해 실질적으로 제어(향상)될 수 있다. 에너제틱 이차 전자들은 상부 전극(108)의 내부 표면으로부터 방출될 수 있다. 방출 표면으로부터의 에너제틱 전자들의 플럭스는 전자 빔을 포함할 수 있고, 그리고 상부 전극(108)의 내부 표면에 실질적으로 수직인 방향, 및 전형적으로는 약 10 eV 내지 5000 eV의 범위일 수 있는, 대략 상부 전극(108)의 이온 충격 에너지의 빔 에너지를 가질 수 있다. 상이한 프로세스들에 대한 충돌 단면적(collision cross-section)들은 전자 에너지에 따라 좌우된다. 낮은 에너지들에서, 여기(그리고 분자 가스들에서의 해리)에 대한 단면적들은 이온화에 대한 단면적들보다 더 크지만, 높은 에너지들에서는 그 반대이다. RF 전력 레벨(들)은 다양한 비탄성 전자 충돌 프로세스들을 목표로 하도록 유리하게 선택될 수 있다.
일부 구현들에서, 챔버(100) 내의 플라즈마 밀도는 선택적인 RF 전력 생성기(174) 및 코일 안테나(172)로부터의 RF 전력에 의해 실질적으로 제어(향상)될 수 있다.
전극 표면의 에너제틱 이온 충격으로 인해 상부 전극(108)으로부터 방출되는 이차 전자 플럭스로 구성된 전자 빔의 적어도 일부는 챔버(100)를 통해 전파하여, 빔 에너지 및 플럭스 뿐만 아니라 다른 요인들, 이를테면 압력 및 가스 조성에 따라 좌우되는 플라즈마 밀도로, 낮은 전자 온도 플라즈마를 생성한다. 전자 빔은 전극(108)의 표면과 워크피스의 표면 둘 모두에 실질적으로 수직인 빔 전파 방향을 갖는다. 에너제틱 빔 전자들은 또한, 워크피스(111) 또는 워크피스 지지 페데스탈(110)에 충돌할 수 있다. 남겨진 플라즈마는 전자 빔 플럭스에 의해 발생된 임의의 결과적인 표면 전하를 용이하게 방출(discharge)할 수 있다.
도 1에 도시된 바와 같이, 상부 전극(108)과 워크피스 지지 표면(110a) 사이에 그리드 필터 또는 유사한 배리어가 존재하지 않는다. 그러나, 상부 전극(108)과 워크피스 지지 표면(110a) 사이의 거리는 챔버(100)를 통해 수직으로 플라즈마에 온도 기울기를 설정할 수 있다. 특히, 거리(및 자석들 및 코일 안테나의 위치와 같은 다른 챔버 구성 피처들)는 예컨대 약 5 cm 이상으로 충분히 클 수 있고, 그에 따라, 플라즈마는 상부 전극(108)으로부터 이차 전자 플럭스를 생성하도록 상부 전극(108) 근처의 구역, 예컨대 챔버(100)의 상부 부분(100a)에서 충분히 고온이지만, 저온 플라즈마 프로세스들과 양립가능하도록 워크피스(111) 근처의 구역, 예컨대 하부 부분(100b)에서 충분히 저온이 된다. 부가하여, 상부 전극(108)과 워크피스 지지 표면(110a) 사이의 거리는 워크피스에 도달하는 이차 전자들이 제한된 각도 분포를 갖고 여전히 "빔"으로 고려될 수 있을 정도로 충분히 클 수 있다.
전자 빔에 실질적으로 평행한 실질적인 축방향-지향 자기장이, 전자 빔을 가이딩하는 것을 보조하여 챔버(100)를 통한 빔 운송을 개선하기 위해, 선택적으로 사용될 수 있다. 낮은 반복 주파수의 낮은 주파수 바이어스 전압 또는 임의의 파형이, 워크피스 지지 페데스탈(110) 상에 또는 그 내에 있는 하부 전극(114)에 인가될 수 있다. 하부 전극(114)은 워크피스 전극(304)에 의해 제공될 수 있거나, 또는 페데스탈(110) 내의 또는 그 상의 별개의 전극일 수 있다. 낮은 주파수 바이어스 전압 또는 파형은 플라즈마로부터 양 및/또는 음의 이온들을 선택적으로 또는 교번적으로 추출할 수 있고, 이들 이온들을 원하는 에너지 레벨들로 가속시켜서, 에칭, 세정, 증착, 또는 다른 재료 개질을 위해, 워크피스(111)의 표면에 충돌시킬 수 있다.
일부 구현들에서, RF 바이어스 전력 생성기(142)가 임피던스 정합부(144)를 통해 워크피스 지지 페데스탈(110)의 워크피스 전극(304)에 커플링된다.
일부 구현들에서, 자석(160)이 챔버(100)를 둘러싼다. 자석은 챔버(100)의 상부 챔버 부분(100a) 및 하부 챔버 부분(100b)에 각각 인접한 한 쌍의 자석들(160-1, 160-2)을 포함할 수 있다. 그 한 쌍의 자석들(160-1, 160-2)은 상부 챔버 부분(100a)으로부터 하부 챔버 부분(100b)으로 전파하는 전자 빔을 한정시키는 데 적합한 축방향 자기장을 제공할 수 있다.
일부 구현들에서, 챔버(100)에 대한 측벽(102) 내의 측면 윈도우(170)가, RF 전력이 통하여 유도성 커플링될 수 있는 재료(예컨대, 석영 또는 알루미늄 산화물)로 형성된다. 유도성 코일 안테나(172)가 측면 윈도우(170)를 둘러싸고, 그리고 임피던스 정합부(176)를 통해 RF 전력 생성기(174)에 의해 구동된다. 예시된 바와 같이, 윈도우(170)는 워크피스 지지 표면(110a)보다 상부 전극(108)에 상당히 더 가까이 있을 수 있는데, 예컨대, 챔버(100)의 상측 25% 내에 있을 수 있다. 원격 플라즈마 소스(280)는 하부 챔버(100b) 내에 플라즈마 종을 도입할 수 있다.
일부 구현들에서, 천장(106) 내부에서 열 전도성 액체 또는 매체들을 전도하기 위한 내부 통로들(178)은 열 매체 순환 공급부(180)에 연결된다. 열 매체 순환 공급부(180)는 열 싱크 또는 열 소스로서 작용한다. 전극(108)과 천장(106) 사이의 기계적 접촉은 전극(108)과 천장(106) 사이에 높은 열 컨덕턴스를 유지하기에 충분하다. 도 1의 실시예에서, 기계적 접촉력은 D.C. 척킹 전압 공급부(154)에 의해 제공되는 정전 클램핑력에 의해 조절된다.
대안적인 실시예에서, RF-구동형 코일 안테나(290)가 천장(106) 위에 제공될 수 있다.
마스터 제어기(128), 예컨대 범용 프로그램가능 컴퓨터가 플라즈마 반응기의 다양한 컴포넌트들(예컨대, RF 전력 공급부들(120, 122, 142, 154, 174, 350), 펌프들 및 밸브들(132, 136, 140, 320), 액추에이터들(112), 및 순환 공급부들(180, 310)) 중 일부 또는 전부에 연결되고 이들을 제어하도록 동작가능하다.
도 2는 도 1의 실시예의 변형을 도시하며, 여기서, (RF 생성기(120)로부터의) RF 전력 및 (RF 생성기(122)로부터의) 하위 주파수 RF 전력이 별개의 경로들을 통해 전극(108)에 전달된다. 도 2의 실시예에서, RF 생성기(120)는 전극(108)의 에지 위에 놓여 있는 폴드형 공진기(folded resonator)(195)를 통해 전극(108)에 커플링된다. 하위 주파수 RF 생성기(122)는 RF 임피던스 정합부(194)를 통해 RF 피드 전도체(123)를 통하여 전극(108)에 커플링된다. D.C. 척킹 전압 공급부(154)는 천장(106) 내의 통로를 통해 연장되는 피드 전도체(155)를 통해 척킹 전극(152)에 커플링된다.
일부 프로세스들의 경우, 탄화수소 가스가 챔버(100) 내에 공급되고, RF 전력이 전극(108)에 인가되고, RF 전력이 코일 안테나(172)에 선택적으로 인가되며, RPS 전력이 원격 플라즈마 소스(RPS)(280)에 선택적으로 인가된다. 선택적으로, 불활성 가스가 또한 챔버 내에 공급될 수 있다. 플라즈마가 상부 챔버(100)에 생성되며, 가속 전압이 접지 및 플라즈마에 대하여 전극(108) 상에 발생된다. 전극(108)의 결과적인 에너제틱 이온 충격은 전극 표면으로부터의 이차 전자 방출을 생성하며, 이는 전극 표면으로부터의 전자 빔 플럭스를 구성한다. 전자 빔 플럭스는 탄화수소 가스의 이온화를 향상시키는 전자 빔을 제공한다.
일부 프로세스들의 경우, 불활성 가스가 챔버(100) 내에 공급되고, RF 전력이 전극(108)에 인가되고, RF 전력이 코일 안테나(172)에 선택적으로 인가되며, RPS 전력이 원격 플라즈마 소스(RPS)(280)에 선택적으로 인가된다. 플라즈마가 상부 챔버(100)에 생성되며, 가속 전압이 접지 및 플라즈마에 대하여 전극(108) 상에 발생된다. 전극(108)의 결과적인 에너제틱 이온 충격은 전극 표면으로부터의 이차 전자 방출을 생성하며, 이는 전극 표면으로부터의 전자 빔 플럭스를 구성한다. 이러한 전자 빔 플럭스는 워크피스의 표면에 충돌하는 전자 빔을 제공한다.
위에서 설명된 전자 빔 플라즈마 반응기들 중 임의의 하나가, 전자 빔 플라즈마 반응기에서 워크피스를 프로세싱하는 다음의 방법을 수행하기 위해 이용될 수 있다.
이제 도 3을 참조하면, 챔버(100)에 가스가 공급된다(610). 아래에서 논의되는 바와 같이, 가스는 프로세스(예컨대, 증착 또는 "경화")에 따라 탄화수소 가스 및/또는 불활성 가스일 수 있다. 빔 전자들을 포함하는 플라즈마를 생성하기 위해, RF 전력이 상부 전극(108)에 인가된다(620). 빔 전자들은 전극(108)의 표면과 워크피스(111)의 표면 둘 모두에 실질적으로 수직인 빔 전파 방향을 갖는 전자 빔을 제공한다. 방법은 하부 전극(114)에 RF 전력을 인가하는 단계(630)를 선택적으로 포함할 수 있다. 방법은 워크피스(111)에 바이어스 전압을 커플링시켜 인가하는 단계(640)를 더 포함할 수 있다.
가스가 탄화수소들을 포함하는 경우, 상부 전극(108)에 인가되는 RF 전력은 탄화수소 가스를 이온화 및 해리시킬 수 있다. 하부 전극에 인가되는 RF 전력은 탄화수소 이온들을 가속시켜서, 성장되는 막 내에 주입시킬 수 있지만, 또한, 탄화수소 가스를 이온화 및 해리시킬 수도 있다. 부가하여, 빔 전자들은 또한, 탄화수소 가스를 이온화 및 해리시킬 수 있다.
가스가 순수하게 불활성인 경우, 빔 전자들은 또한, 불활성 가스를 이온화 및 해리시킬 수 있고, 그리고 통과하여 워크피스에 충돌할 수 있다.
제어기들, 예컨대 제어기(126 및/또는 128)는 디지털 전자 회로망으로, 또는 컴퓨터 소프트웨어, 펌웨어 또는 하드웨어로, 또는 이들의 조합들로 구현될 수 있다. 제어기는, 데이터 프로세싱 장치, 예컨대 프로그램가능 프로세서, 컴퓨터, 또는 다수의 프로세서들 또는 컴퓨터들에 의한 실행을 위한, 또는 그러한 데이터 프로세싱 장치의 동작을 제어하기 위한 하나 이상의 컴퓨터 프로그램 제품들, 즉, 정보 캐리어, 예컨대 비-일시적 머신 판독가능 저장 매체 또는 전파 신호에 유형으로 구현된 하나 이상의 컴퓨터 프로그램들을 포함할 수 있다. 컴퓨터 프로그램(프로그램, 소프트웨어, 소프트웨어 애플리케이션, 또는 코드라고 또한 알려져 있음)은 컴파일형 또는 해석형 언어들을 포함하는 임의의 형태의 프로그래밍 언어로 기록될 수 있으며, 컴퓨터 프로그램은, 독립형 프로그램 또는 모듈로서, 컴포넌트, 서브루틴, 또는 컴퓨팅 환경에서 사용하는 데 적합한 다른 유닛을 포함하는 임의의 형태로 배포될 수 있다. 컴퓨터 프로그램은 하나의 컴퓨터 상에서, 또는 하나의 위치에 있거나 또는 다수의 위치들에 걸쳐 분산되어 통신 네트워크에 의해 상호연결되는 다수의 컴퓨터들 상에서 실행되도록 배포될 수 있다.
본 명세서에서 설명되는 프로세스들 및 논리 흐름들은, 입력 데이터에 대해 동작하여 출력을 생성함으로써 기능들을 수행하도록 하나 이상의 컴퓨터 프로그램들을 실행하는 하나 이상의 프로그램가능 프로세서들에 의해 수행될 수 있다. 프로세스들 및 논리 흐름들은 또한, 특수 목적 논리 회로망, 예컨대 FPGA(field programmable gate array) 또는 ASIC(application specific integrated circuit)에 의해 수행될 수 있으며, 장치는 또한, 특수 목적 논리 회로망, 예컨대 FPGA 또는 ASIC로서 구현될 수 있다.
예컨대, 제어기(128)는 플라즈마 반응기의 컴포넌트들로 하여금 아래에서 설명되는 프로세스를 수행하게 하는 제어 신호들을 생성하도록 프로그래밍될 수 있다.
다이아몬드-유사 탄소의 증착 또는 처리
도 1 또는 도 2의 반응기가 다이아몬드-유사 탄소의 막의 증착 또는 처리를 수행하기 위해 이용될 수 있다. 일 예에서, 워크피스(111)는 다이아몬드-유사 탄소의 막이 상부에 증착될 반도전성 벌크 층(예컨대, 단결정질 실리콘)을 포함한다.
다이아몬드-유사 탄소의 증착을 위한 프로세스에서, 가스 공급부(138)에 의해 피드스톡(feedstock) 가스가 챔버(100)에 공급된다. 피드스톡 가스는 적어도 탄화수소 화합물, 예컨대 C2H2, CH2H2, C3H6, 노르보르나딘 등을 포함한다.
불활성 가스, 예컨대 아르곤 또는 헬륨이 또한 챔버(100)에 공급될 수 있다. 불활성 가스는 피드스톡 가스를 희석시키기 위해 사용될 수 있으며; 이는 플라즈마 밀도를 증가시킬 수 있다. 불활성 가스는 챔버(100) 내에 전달되기 전에 피드스톡 가스와 혼합될 수 있거나, 또는 불활성 가스는 별개의 노즐들(130, 134)에 의해 전달되고 챔버에서 혼합될 수 있다. 일부 구현들에서, 가스 공급부는 2 내지 100 mTorr의 총 압력(피드스톡 및 불활성 가스)을 설정할 수 있다.
제1 주파수의 RF 전력이 상부 전극(108)에 인가된다. 제1 주파수는 일반적으로, "낮은 주파수", 예컨대 100 kHz 내지 27 MHz이다. 제1 주파수는 13 MHz 미만일 수 있고, 예컨대, 제1 주파수는 2 MHz일 수 있다.
제2 주파수의 RF 전력이 또한, 하부 전극(114)에 인가된다. 제2 주파수는 일반적으로, "낮은 주파수", 예컨대 100 kHz 내지 27 MHz이다. 일부 구현들에서, 제1 및 제2 주파수는 동일한 주파수일 수 있다. 일부 구현들에서, 제2 주파수는 제1 주파수보다 더 높다. 예컨대, 제2 주파수는 2 MHz보다 더 높을 수 있고, 예컨대, 제2 주파수는 약 13 MHz일 수 있다.
상부 전극(108)으로의 RF 전력의 인가는 챔버(100)에서 플라즈마를 점화시킬 것이다. 플라즈마의 존재만으로도 일부 탄화수소 이온들(뿐만 아니라 불활성 가스의 이온들)이 생성될 것이며, 그 탄화수소 이온들은 워크피스 상에 증착되어 다이아몬드-유사 탄소 막을 성장시킬 수 있다.
부가하여, 전극(108)이 시스 가속 이온들에 의해 충격을 받게 되고, 그에 따라, 전극(108)이 이차 전자들을 방출하게 된다. 이차 전자들은 플라즈마 시스 전압에 의해 대략 수백 내지 수천 전자 볼트들의 에너지로 가속되어, 상부 전극(108)으로부터 워크피스(111)를 향해 전파하는 이차 전자 빔을 제공한다.
임의의 특정 이론에 의해 제한되는 것은 아니지만, 이차 전자 빔의 일부는 챔버(100) 내의 탄화수소 피드스톡 가스를 이온화시킬 수 있고, 그에 따라, 플라즈마 내의 탄화수소 이온 밀도를 증가시킬 수 있다. 플라즈마 내의 탄화수소 이온들은 하부 전극(114)에 인가되는 바이어스 전력에 의해 워크피스(111) 쪽으로 가속될 수 있다. 이는, 다이아몬드-유사 탄소 막이 증착되고 있을 때 탄화수소 이온들이 다이아몬드-유사 탄소 막에 주입되게 할 수 있고, 그에 따라, 막 밀도가 증가될 수 있다. 사실상, 막은 이온-주입 방식으로 성장될 수 있다.
여전히 임의의 특정 이론에 제한되는 것은 아니지만, 이러한 프로세싱 기법은 종래의 플라즈마들(여기서, 전극들이 RF 필드들에 의해 가열되어, 백그라운드 가스(background gas)들을 해리 및 이온화시킴)과 비교하여, 더 높은 비율의 고 에너지 전자들 대 저 에너지 전자들을 제공할 수 있다. 따라서, 이러한 프로세싱 기법은 종래의 플라즈마들과 비교하여 이온-대-중성 비율을 증가시킬 수 있다.
이러한 증착 프로세스는 비교적 낮은 온도, 예컨대 10-60 ℃로 워크피스에 대해 수행될 수 있다. 결과적으로, 워크피스(111)를 지지하는 페데스탈(110)은 가열될 필요가 없다. 일부 구현들에서, 페데스탈(110)은 냉각된다. 냉각제 가스, 예컨대 헬륨이 워크피스(111)의 배면과 페데스탈(110) 사이에서 유동하여, 워크피스(111)와 페데스탈(110) 사이의 열 전달을 개선할 수 있다. 워크피스(111)는, 예컨대 전극(304)에 척킹 전압을 인가함으로써, 페데스탈(110)에 정전기적으로 클램핑될 수 있다.
증착 프로세스는, 예컨대 5-100초 동안 진행될 수 있다. 위의 가이드라인들에 따른, 적절한 전력 레벨들 및 다른 프로세싱 조건들의 선택은 6 μm/시간 초과의 증착 레이트를 제공할 수 있다. 부가하여, 다이아몬드-유사 탄소의 결과적인 막은 2 g/cm3 초과의 밀도를 가질 수 있다.
증착을 위해, 전극(108)은 탄소로 형성될 수 있다. 이차 전자 빔들을 생성하는 것에 부가하여, 스퍼터링된 탄소 원자들이 또한 워크피스 상에 재증착될 수 있고, 그에 따라, 탄화수소 플라즈마 DLC 증착에 기여할 수 있다. 스퍼터링된 탄소 원자들은 이들에 결합된 수소 원자들을 갖지 않기 때문에, 이러한 스퍼터링 증착 컴포넌트는 막 밀도를 증가시키는 경향을 갖는다. 따라서, 막 밀도를 증가시키고, 막 응력을 조절하기 위해, 탄소 전극이 사용될 수 있다.
다이아몬드-유사 탄소 막의 "경화"를 위한 프로세스가, 기판 상에 이미 형성되어 있는 다이아몬드-유사 탄소의 막에 대해 시작된다. 다이아몬드-유사 탄소의 막은 위에서 레이아웃된 프로세스에 따라 또는 상이한 프로세스에 의해 형성될 수 있다. 부가하여, 다이아몬드-유사 탄소 막은 다이아몬드-유사 탄소 막의 증착을 위해 사용되었던 챔버와 동일한 챔버에서 경화될 수 있거나, 또는 상이한 챔버에서 경화될 수 있다. 동일한 챔버에서 경화가 이루어지는 경우, 증착 및 경화 단계들 사이에서, 워크피스는 챔버로부터 제거될 필요가 없다.
"경화" 프로세스에서, 불활성 가스, 예컨대 아르곤 또는 헬륨이 챔버(100)에 공급된다(이 프로세스에서 피드스톡 가스는 공급되지 않음). 가스 공급부는 10 내지 200 mTorr의 압력을 설정할 수 있다.
위에서 설명된 바와 같이, RF 전력이 상부 전극(108) 및 하부 전극(114)에 공급된다. 일부 구현들에서, 경화를 위해 사용되는 주파수들은 증착을 위해 사용된 주파수들과 동일할 수 있다. 일부 구현들에서, 경화를 위해 사용되는 주파수들은 증착을 위해 사용된 주파수들과 상이할 수 있다. 주파수들은 100 KHz 내지 80 MHz의 범위일 수 있다.
워크피스는, 예컨대 2초 내지 5분 동안, 이들 조건들을 거칠 수 있다.
임의의 특정 이론에 제한되는 것은 아니지만, 이차 전자 빔의 일부가 불활성 가스의 플라즈마를 통과하여, 워크피스(111) 상의 층에 직접적으로 충돌할 수 있다. 이들 전자들은 층으로부터 수소를 축출할 수 있고, 그리고 댕글링 결합들을 감소시키고 가교를 증가시킬 수 있다. 결과로서, 증착된 층에서의 응력이 감소될 수 있다.
다이아몬드-유사 탄소 막의 "경화"를 위한 대안적인 프로세스는, 예컨대 불활성 가스의 플라즈마로, 위에서 논의된 프로세스로서 수행되지만, 상부 전극(108) 및 하부 전극(114)에 인가되는 전력 및 주파수들은 이차 전자 빔이 생성되지 않도록 이루어진다. 따라서, 워크피스는 단순히 불활성 가스의 플라즈마에 노출된다.
일부 구현들에서, 다이아몬드-유사 탄소의 막은 증착 및 "경화" 프로세스들을 반복적으로 교번시킴으로써 성장될 수 있다. 프로세스들 둘 모두에 대해 동일한 챔버가 사용될 수 있으며; 워크피스는 프로세스들 사이에서 제거될 필요가 없다. 예컨대, 다이아몬드-유사 탄소의 초기 층의 증착 후에, 피드스톡 가스가 챔버(100)로부터 진공배기될 수 있고, 챔버(100)가 불활성 가스로 재충전될 수 있다. 이어서, 이 불활성 가스는 "경화" 프로세스를 수행하기 위해 사용된다. 경화 프로세스 후에, 피드스톡 가스가 챔버(100) 내에 재도입되고, 증착 프로세스가 반복되어, 초기 층 위에 다이아몬드-유사 탄소의 다른 층을 형성한다. 이어서, 다이아몬드-유사 탄소의 제2 층이 "경화" 프로세스를 거칠 수 있다. 도 4를 참조하면, 증착 단계(650) 및 "경화" 단계(660)는 원하는 두께의 막이 형성될 때까지 반복(670)되어, 워크피스 상에 연속적인 층들이 증착되고 처리될 수 있다.
일부 구현들에서, 다수의 주파수들의 바이어스 전력이, 동일한 전극, 예컨대 하부 전극(114)에 동시에 인가될 수 있다. 다수의 주파수들의 바이어스 전력의 사용은 막 밀도를 향상시키고 막 응력을 감소시킬 수 있다. 하위 주파수 RF 전력은 이온 충격 에너지를 부스트(boost)할 수 있으며, 상위 주파수 RF 전력을 동시에 인가하는 것은 이온 플럭스를 증가시킬 수 있다. 주파수들 각각은 100 KHz 내지 80 MHz의 범위일 수 있다. 하위 주파수는 2 MHz 이하일 수 있는 반면에, 상위 주파수는 2 MHz를 초과할 수 있다. 예컨대, 2 MHz와 13 MHz 또는 400 KHz와 13 MHz 등의 조합이 하부 전극(114)에 인가될 수 있다. 부가하여, 3개 이상의 주파수들이 인가될 수 있다.
전술된 바가 다양한 구현들에 관한 것이지만, 다음의 청구항들의 범위 내에 있는 다른 구현들이 고안될 수 있다.

Claims (19)

  1. 전자 빔 플라즈마 반응기로서,
    측벽을 갖는 플라즈마 챔버;
    상부 전극;
    상기 상부 전극과 대면하는 워크피스(workpiece)를 홀딩하기 위한 워크피스 지지부 ― 상기 지지부 상의 상기 워크피스는 상기 상부 전극의 클리어 뷰(clear view)를 가짐 ―;
    상기 상부 전극에 커플링된 제1 RF 전력 소스;
    가스 공급부;
    상기 챔버를 진공배기시키기 위해 상기 챔버에 커플링된 진공 펌프;
    상기 상부 전극으로부터 상기 워크피스를 향하는 전자 빔을 생성하는, 상기 챔버의 상부 부분에서의 플라즈마, 및 상기 워크피스를 포함하는, 상기 챔버의 하부 부분에서의 더 낮은 전자-온도 플라즈마를 생성하기 위해, 상기 상부 전극에 RF 전력을 인가하도록 상기 제1 RF 전력 소스를 동작시키고, 가스 분배기 및 상기 진공 펌프를 동작시키도록 구성된 제어기
    를 포함하는,
    전자 빔 플라즈마 반응기.
  2. 제1 항에 있어서,
    상기 제어기는, 상기 상부 전극으로부터 방출되는 상기 전자 빔의 적어도 일부가 낮은 전자-온도 플라즈마를 생성하도록, 상기 제1 RF 전력 소스를 동작시키도록 구성되는,
    전자 빔 플라즈마 반응기.
  3. 제1 항에 있어서,
    상기 워크피스 지지부에 커플링된 바이어스 전압 생성기를 포함하는,
    전자 빔 플라즈마 반응기.
  4. 제1 항에 있어서,
    상기 상부 전극은, 실리콘, 탄소, 실리콘 탄화물, 실리콘 산화물, 알루미늄 산화물, 이트륨 산화물, 또는 지르코늄 산화물 중 하나를 포함하는,
    전자 빔 플라즈마 반응기.
  5. 제1 항에 있어서,
    상기 챔버의 상기 상부 부분에 인접하고 상기 상부 부분을 둘러싸는 제1 전자석 또는 영구 자석, 및 상기 챔버의 상기 하부 부분에 인접하고 상기 하부 부분을 둘러싸는 제2 전자석 또는 영구 자석을 포함하는,
    전자 빔 플라즈마 반응기.
  6. 제1 항에 있어서,
    상기 챔버의 상기 상부 부분에서의 상기 측벽 내의 윈도우, 상기 윈도우 주위의 코일 안테나, 및 상기 코일 안테나에 커플링된 RF 생성기를 포함하는,
    전자 빔 플라즈마 반응기.
  7. 제1 항에 있어서,
    상기 가스 공급부는 상기 챔버에 불활성 가스를 공급하도록 구성되는,
    전자 빔 플라즈마 반응기.
  8. 제1 항에 있어서,
    상기 가스 공급부는 상기 챔버에 프로세스 가스를 공급하도록 구성되는,
    전자 빔 플라즈마 반응기.
  9. 제1 항에 있어서,
    상기 상부 전극과 상기 워크피스 지지부 사이의 거리는 상기 챔버를 통해 수직으로 상기 플라즈마에 온도 기울기를 설정하기에 충분히 큰,
    전자 빔 플라즈마 반응기.
  10. 제1 항에 있어서,
    상기 챔버의 상기 하부 부분에서의 상기 더 낮은 전자-온도 플라즈마는 다이아몬드-유사 탄소의 층을 증착 또는 어닐링하기 위한 전자-온도 이하의 전자-온도를 갖는,
    전자 빔 플라즈마 반응기.
  11. 워크피스 상의 다이아몬드-유사 탄소의 증착을 수행하는 방법으로서,
    챔버에서 상기 워크피스를 지지하는 단계 ― 상기 워크피스는 상부 전극과 대면함 ―;
    상기 챔버 내에 탄화수소 가스를 도입하는 단계; 및
    상기 챔버에 플라즈마를 생성하고 상기 워크피스 상의 다이아몬드-유사 탄소의 증착을 발생시키는, 제1 주파수의 제1 RF 전력을 상기 상부 전극에 인가하는 단계
    를 포함하며,
    상기 RF 전력을 인가하는 것은 상기 탄화수소 가스의 이온화를 향상시키기 위해 상기 상부 전극으로부터 상기 워크피스를 향하는 전자 빔을 생성하는,
    워크피스 상의 다이아몬드-유사 탄소의 증착을 수행하는 방법.
  12. 워크피스 상의 다이아몬드-유사 탄소의 층을 처리하는 방법으로서,
    챔버에서 상기 워크피스를 지지하는 단계 ― 상기 다이아몬드-유사 탄소의 층은 상부 전극과 대면함 ―;
    상기 챔버 내에 불활성 가스를 도입하는 단계; 및
    상기 챔버에 플라즈마를 생성하고 상기 상부 전극으로부터 상기 워크피스를 향하는 전자 빔을 생성하는, 제1 주파수의 제1 RF 전력을 상기 상부 전극에 인가하는 단계
    를 포함하며,
    상기 전자 빔은 상기 다이아몬드-유사 탄소의 층에 충돌하는,
    워크피스 상의 다이아몬드-유사 탄소의 층을 처리하는 방법.
  13. 워크피스 상의 다이아몬드-유사 탄소의 층을 처리하는 방법으로서,
    챔버에서 상기 워크피스를 지지하는 단계 ― 상기 다이아몬드-유사 탄소의 층은 상부 전극과 대면함 ―;
    상기 챔버 내에 불활성 가스를 도입하는 단계; 및
    상기 챔버에 상기 불활성 가스의 플라즈마가 생성되고 상기 불활성 가스의 플라즈마에 상기 다이아몬드-유사 탄소의 층이 노출되도록, 제1 주파수의 제1 RF 전력을 상기 상부 전극에 인가하는 단계
    를 포함하는,
    워크피스 상의 다이아몬드-유사 탄소의 층을 처리하는 방법.
  14. 전자 빔 플라즈마 반응기로서,
    측벽을 갖는 플라즈마 챔버;
    상부 전극;
    상기 상부 전극에 커플링된 제1 RF 소스 전력 생성기;
    탄화수소 가스를 제공하기 위한 가스 공급부;
    상기 챔버에 상기 탄화수소 가스를 전달하기 위한 가스 분배기;
    상기 챔버를 진공배기시키기 위해 상기 챔버에 커플링된 진공 펌프;
    상기 상부 전극과 대면하는 워크피스를 홀딩하기 위한 워크피스 지지 페데스탈; 및
    상기 상부 전극, 상기 가스 분배기, 및 상기 진공 펌프를 동작시켜서, 상기 워크피스 상의 다이아몬드-유사 탄소의 증착을 발생시키는 플라즈마를 상기 챔버에 생성하고, 그리고 상기 제1 RF 소스로 하여금, 상기 탄화수소 가스의 이온화를 향상시키기 위해 상기 상부 전극으로부터 상기 워크피스를 향하는 전자 빔을 생성하는 RF 전력을 인가하게 하도록 구성된 제어기
    를 포함하는,
    전자 빔 플라즈마 반응기.
  15. 전자 빔 플라즈마 반응기로서,
    측벽을 갖는 플라즈마 챔버;
    상부 전극;
    상기 상부 전극에 커플링된 제1 RF 소스 전력 생성기;
    불활성 가스를 제공하기 위한 가스 공급부;
    상기 챔버에 상기 불활성 가스를 전달하기 위한 가스 분배기;
    상기 챔버를 진공배기시키기 위해 상기 챔버에 커플링된 진공 펌프;
    상기 상부 전극과 대면하는 워크피스를 홀딩하기 위한 워크피스 지지 페데스탈; 및
    상기 상부 전극, 상기 가스 분배기, 및 상기 진공 펌프를 동작시켜서, 상기 워크피스 상의 다이아몬드-유사 탄소의 층을 어닐링하는 플라즈마를 상기 챔버에 생성하고, 그리고 상기 제1 RF 소스로 하여금, 상기 다이아몬드-유사 탄소의 층에 충돌하기 위해 상기 상부 전극으로부터 상기 워크피스를 향하는 전자 빔을 생성하는 RF 전력을 인가하게 하도록 구성된 제어기
    를 포함하는,
    전자 빔 플라즈마 반응기.
  16. 전자 빔 플라즈마 반응기로서,
    측벽을 갖는 플라즈마 챔버;
    상부 전극;
    상기 상부 전극에 커플링된 제1 RF 소스 전력 생성기;
    탄화수소 가스를 제공하기 위한 제1 가스 공급부;
    불활성 가스를 제공하기 위한 제2 가스 공급부;
    상기 챔버에 상기 탄화수소 가스 및 상기 불활성 가스를 전달하기 위한 가스 분배기;
    상기 챔버를 진공배기시키기 위해 상기 챔버에 커플링된 진공 펌프;
    상기 상부 전극과 대면하는 워크피스를 홀딩하기 위한 워크피스 지지 페데스탈; 및
    상기 상부 전극으로부터의 전자 빔을 이용하여 상기 워크피스 상에 다이아몬드-유사 탄소의 층을 증착하는 것과 상기 워크피스를 처리하는 것을 교번시키기 위해, 상기 상부 전극, 상기 가스 분배기, 및 상기 진공 펌프를 동작시키도록 구성된 제어기
    를 포함하는,
    전자 빔 플라즈마 반응기.
  17. 워크피스 상에 다이아몬드-유사 탄소의 층을 형성하는 방법으로서,
    챔버에서 상기 워크피스를 지지하는 단계 ― 상기 워크피스는 상부 전극과 대면함 ―; 및
    불활성 가스의 플라즈마 또는 상기 상부 전극으로부터의 전자 빔을 이용하여 상기 챔버 내의 상기 워크피스 상에 다이아몬드-유사 탄소의 하위층을 증착하는 것과 상기 하위층을 처리하는 것을 교번시킴으로써, 상기 다이아몬드-유사 탄소의 층을 형성하기 위해, 복수의 연속적인 하위층들을 형성하는 단계
    를 포함하는,
    워크피스 상에 다이아몬드-유사 탄소의 층을 형성하는 방법.
  18. 챔버 내의 워크피스 상의 다이아몬드-유사 탄소의 층을 처리 또는 증착하는 방법으로서,
    상기 챔버에서 페데스탈 상에 상기 워크피스를 지지하는 단계 ― 상기 워크피스는 상기 챔버의 천장에 매달려 있는 상부 전극과 대면함 ―;
    상기 챔버 내에 불활성 가스 및/또는 탄화수소 가스를 도입하는 단계;
    상기 기판 상의 다이아몬드-유사 탄소의 층을 어닐링하거나 또는 상기 워크피스 상의 다이아몬드-유사 탄소의 증착을 발생시키기 위해, 상기 챔버에 불활성 가스 및/또는 탄화수소 가스의 플라즈마를 생성하는, 제1 주파수의 제1 RF 전력을 상기 상부 전극에 인가하는 단계; 및
    상기 페데스탈 내의 하부 전극에 동시에 복수의 별개의 주파수들로 제2 RF 전력을 인가하는 단계
    를 포함하며,
    상기 복수의 주파수들은 상기 제1 주파수보다 더 높은 제3 주파수 및 제2 주파수를 포함하는,
    챔버 내의 워크피스 상의 다이아몬드-유사 탄소의 층을 처리 또는 증착하는 방법.
  19. 전자 빔 플라즈마 반응기로서,
    측벽을 갖는 플라즈마 챔버;
    상부 전극;
    상기 상부 전극에 커플링된 제1 RF 소스 전력 생성기 ― 상기 제1 RF 소스 전력 생성기는 제1 주파수의 제1 RF 전력을 상기 상부 전극에 인가하도록 구성됨 ―;
    탄화수소 가스를 제공하기 위한 제1 가스 공급부;
    불활성 가스를 제공하기 위한 제2 가스 공급부;
    상기 챔버에 상기 탄화수소 가스 및 상기 불활성 가스를 전달하기 위한 가스 분배기;
    상기 챔버를 진공배기시키기 위해 상기 챔버에 커플링된 진공 펌프;
    상기 상부 전극과 대면하는 워크피스를 홀딩하기 위한 워크피스 지지 페데스탈;
    하부 전극;
    상기 하부 전극에 커플링된 제2 RF 소스 전력 생성기 ― 상기 제2 RF 소스 전력 생성기는 상기 하부 전극에 동시에 복수의 주파수들로 제2 RF 전력을 인가하도록 구성되고, 상기 복수의 주파수들은 상기 제1 주파수보다 더 높은 제3 주파수 및 제2 주파수를 포함함 ―; 및
    상기 워크피스 상의 다이아몬드-유사 탄소의 층을 증착 또는 어닐링하기 위해, 상기 상부 전극, 상기 하부 전극, 상기 가스 분배기, 및 상기 진공 펌프를 동작시키도록 구성된 제어기
    를 포함하는,
    전자 빔 플라즈마 반응기.
KR1020197031033A 2017-03-24 2018-03-14 플라즈마 반응기 및 플라즈마 반응기에서의 다이아몬드-유사 탄소의 증착 또는 처리 KR20190124323A (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201762476186P 2017-03-24 2017-03-24
US62/476,186 2017-03-24
US15/717,897 US20180274100A1 (en) 2017-03-24 2017-09-27 Alternating between deposition and treatment of diamond-like carbon
US15/717,822 US10544505B2 (en) 2017-03-24 2017-09-27 Deposition or treatment of diamond-like carbon in a plasma reactor
US15/717,897 2017-09-27
US15/717,822 2017-09-27
PCT/US2018/022453 WO2018175182A1 (en) 2017-03-24 2018-03-14 Plasma reactor and deposition or treatment of diamond-like carbon in a plasma reactor

Publications (1)

Publication Number Publication Date
KR20190124323A true KR20190124323A (ko) 2019-11-04

Family

ID=63582216

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197031033A KR20190124323A (ko) 2017-03-24 2018-03-14 플라즈마 반응기 및 플라즈마 반응기에서의 다이아몬드-유사 탄소의 증착 또는 처리

Country Status (6)

Country Link
US (2) US20180274100A1 (ko)
JP (1) JP2020514554A (ko)
KR (1) KR20190124323A (ko)
CN (1) CN110419091A (ko)
TW (1) TW201903179A (ko)
WO (1) WO2018175182A1 (ko)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180274100A1 (en) 2017-03-24 2018-09-27 Applied Materials, Inc. Alternating between deposition and treatment of diamond-like carbon
KR102421625B1 (ko) 2017-06-27 2022-07-19 캐논 아네르바 가부시키가이샤 플라스마 처리 장치
KR20220031132A (ko) 2017-06-27 2022-03-11 캐논 아네르바 가부시키가이샤 플라스마 처리 장치
WO2019003309A1 (ja) 2017-06-27 2019-01-03 キヤノンアネルバ株式会社 プラズマ処理装置
KR102257134B1 (ko) 2017-06-27 2021-05-26 캐논 아네르바 가부시키가이샤 플라스마 처리 장치
WO2019199681A1 (en) 2018-04-09 2019-10-17 Applied Materials, Inc. Carbon hard masks for patterning applications and methods related thereto
US11158507B2 (en) 2018-06-22 2021-10-26 Applied Materials, Inc. In-situ high power implant to relieve stress of a thin film
FI3817517T3 (fi) * 2018-06-26 2024-09-03 Canon Anelva Corp Plasmakäsittelylaite, plasmakäsittelymenetelmä, ohjelma ja muistiväline
US11842897B2 (en) * 2018-10-26 2023-12-12 Applied Materials, Inc. High density carbon films for patterning applications
CN113196123A (zh) * 2018-12-17 2021-07-30 应用材料公司 用于光学设备制造的电子束装置
CN113474483A (zh) * 2019-02-07 2021-10-01 朗姆研究公司 能时间和/或空间上调制一或更多等离子体的衬底处理
CN114072898A (zh) 2019-05-24 2022-02-18 应用材料公司 基板处理腔室
CN110527986A (zh) * 2019-10-18 2019-12-03 南京华伯新材料有限公司 一种pecvd薄膜沉积腔室及pecvd工艺
KR20210100535A (ko) * 2020-02-05 2021-08-17 에이에스엠 아이피 홀딩 비.브이. 탄소 재료를 포함한 구조체를 형성하는 방법, 이 방법을 사용하여 형성된 구조체, 및 이 구조체를 형성하기 위한 시스템
WO2022005703A1 (en) * 2020-06-29 2022-01-06 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
US11699585B2 (en) * 2020-10-21 2023-07-11 Applied Materials, Inc. Methods of forming hardmasks
CN114196936A (zh) * 2021-12-13 2022-03-18 昆山汇创杰纳米科技有限公司 一种新型离子束法制备dlc涂层的制备工艺
US20230335402A1 (en) * 2022-04-15 2023-10-19 Applied Materials, Inc. Methods of forming thermally stable carbon film

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4645977A (en) 1984-08-31 1987-02-24 Matsushita Electric Industrial Co., Ltd. Plasma CVD apparatus and method for forming a diamond like carbon film
GB8629634D0 (en) * 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US5171607A (en) * 1990-01-29 1992-12-15 Bausch & Lomb Incorporated Method of depositing diamond-like carbon film onto a substrate having a low melting temperature
DE4022708A1 (de) 1990-07-17 1992-04-02 Balzers Hochvakuum Aetz- oder beschichtungsanlagen
US5865896A (en) 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
JPH07161493A (ja) 1993-12-08 1995-06-23 Fujitsu Ltd プラズマ発生装置及び方法
JP3080843B2 (ja) 1994-08-24 2000-08-28 松下電器産業株式会社 薄膜形成方法及び装置
US5711773A (en) * 1994-11-17 1998-01-27 Plasmoteg Engineering Center Abrasive material for precision surface treatment and a method for the manufacturing thereof
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US5965217A (en) * 1996-10-08 1999-10-12 Citizen Watch Co., Ltd. Method of forming DLC films over inner surface of cylindrical member
JP3598717B2 (ja) 1997-03-19 2004-12-08 株式会社日立製作所 プラズマ処理装置
US6085688A (en) 1998-03-27 2000-07-11 Applied Materials, Inc. Method and apparatus for improving processing and reducing charge damage in an inductively coupled plasma reactor
TW455912B (en) 1999-01-22 2001-09-21 Sony Corp Method and apparatus for film deposition
US6451389B1 (en) 1999-04-17 2002-09-17 Advanced Energy Industries, Inc. Method for deposition of diamond like carbon
US6582777B1 (en) 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6749813B1 (en) * 2000-03-05 2004-06-15 3M Innovative Properties Company Fluid handling devices with diamond-like films
JP2002289585A (ja) 2001-03-26 2002-10-04 Ebara Corp 中性粒子ビーム処理装置
US20020187349A1 (en) * 2001-06-11 2002-12-12 Richter J. Hans Diamond-like carbon coating for optical media molds
US6658895B2 (en) * 2001-08-16 2003-12-09 Richter Precision, Inc. Carbon nitride coating for optical media discs
JP3820188B2 (ja) 2002-06-19 2006-09-13 三菱重工業株式会社 プラズマ処理装置及びプラズマ処理方法
US7138180B2 (en) 2003-10-16 2006-11-21 Wisconsin Alumni Research Foundation Hard carbon films formed from plasma treated polymer surfaces
US7276283B2 (en) * 2004-03-24 2007-10-02 Wisconsin Alumni Research Foundation Plasma-enhanced functionalization of carbon-containing substrates
US7459188B2 (en) 2004-12-02 2008-12-02 Alliance For Sustainable Energy, Llc Method and apparatus for making diamond-like carbon films
JP4953153B2 (ja) * 2005-07-28 2012-06-13 住友電気工業株式会社 マイクロ波プラズマcvd装置
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US7771790B2 (en) * 2006-08-30 2010-08-10 Tokyo Electron Limited Method and system for fabricating a nano-structure
US7829469B2 (en) * 2006-12-11 2010-11-09 Tokyo Electron Limited Method and system for uniformity control in ballistic electron beam enhanced plasma processing system
US8101444B2 (en) * 2007-08-17 2012-01-24 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
FI123322B (fi) * 2007-12-17 2013-02-28 Beneq Oy Menetelmä ja laitteisto plasman muodostamiseksi
KR101025932B1 (ko) * 2008-10-06 2011-03-30 김용환 전자빔 후처리를 이용한 투명성 산화 전극 제조 방법
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US8343371B2 (en) 2010-01-15 2013-01-01 Tokyo Electron Limited Apparatus and method for improving photoresist properties using a quasi-neutral beam
US8547006B1 (en) * 2010-02-12 2013-10-01 Calabazas Creek Research, Inc. Electron gun for a multiple beam klystron with magnetic compression of the electron beams
US8828883B2 (en) * 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
KR20120022251A (ko) * 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US20120088371A1 (en) 2010-10-07 2012-04-12 Applied Materials, Inc. Methods for etching substrates using pulsed dc voltage
JP5808198B2 (ja) * 2011-08-26 2015-11-10 株式会社ジェイテクト スプラインシャフトのdlc被膜の形成方法
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US20180277340A1 (en) 2017-03-24 2018-09-27 Yang Yang Plasma reactor with electron beam of secondary electrons
US20180274100A1 (en) 2017-03-24 2018-09-27 Applied Materials, Inc. Alternating between deposition and treatment of diamond-like carbon

Also Published As

Publication number Publication date
US20180274100A1 (en) 2018-09-27
US10544505B2 (en) 2020-01-28
US20180274089A1 (en) 2018-09-27
JP2020514554A (ja) 2020-05-21
TW201903179A (zh) 2019-01-16
CN110419091A (zh) 2019-11-05
WO2018175182A1 (en) 2018-09-27

Similar Documents

Publication Publication Date Title
US10544505B2 (en) Deposition or treatment of diamond-like carbon in a plasma reactor
US20180277340A1 (en) Plasma reactor with electron beam of secondary electrons
US11101113B2 (en) Ion-ion plasma atomic layer etch process
TWI814763B (zh) 蝕刻設備及方法
US20230230814A1 (en) Method and Apparatus for Plasma Processing
US20200075346A1 (en) Apparatus and process for electron beam mediated plasma etch and deposition processes
JP3381916B2 (ja) 低周波誘導型高周波プラズマ反応装置
KR102311575B1 (ko) 피처리체를 처리하는 방법
US20090004873A1 (en) Hybrid etch chamber with decoupled plasma controls
KR102309941B1 (ko) 피처리체를 처리하는 방법
US11043387B2 (en) Methods and apparatus for processing a substrate
KR100835355B1 (ko) 플라즈마를 이용한 이온주입장치
US6858838B2 (en) Neutral particle beam processing apparatus
JP2022102856A (ja) プラズマ処理装置及びプラズマ処理方法
KR102704743B1 (ko) 식각 장치 및 이를 이용한 식각 방법
KR20230166832A (ko) 식각 장치 및 이를 이용한 식각 방법
KR20240019752A (ko) 웨이퍼 처리 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application