KR102556145B1 - 막 균일성 개선을 위한 하드웨어 및 프로세스 - Google Patents

막 균일성 개선을 위한 하드웨어 및 프로세스 Download PDF

Info

Publication number
KR102556145B1
KR102556145B1 KR1020150177932A KR20150177932A KR102556145B1 KR 102556145 B1 KR102556145 B1 KR 102556145B1 KR 1020150177932 A KR1020150177932 A KR 1020150177932A KR 20150177932 A KR20150177932 A KR 20150177932A KR 102556145 B1 KR102556145 B1 KR 102556145B1
Authority
KR
South Korea
Prior art keywords
process gas
period
flow
substrate
flow path
Prior art date
Application number
KR1020150177932A
Other languages
English (en)
Other versions
KR20160075331A (ko
Inventor
프루쇼탐 쿠마
강후
애드리언 라보이
이정 치우
프랭크 엘. 파스콸레
준 첸
끌로에 발다세로니
샹카 스와미나단
카를 에프. 리저
데이비드 찰스 스미스
웨이-지 라이
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160075331A publication Critical patent/KR20160075331A/ko
Application granted granted Critical
Publication of KR102556145B1 publication Critical patent/KR102556145B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/205

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명자들은 기판 프로세싱 장치에서 사용하기 위한 멀티-스테이지 프로세스 가스 전달 시스템을 고안하였다. 특정한 구현예들에서, 제 1 프로세스 가스는 기판 프로세싱 챔버 내의 기판으로 먼저 전달될 수도 있다. 제 2 프로세스 가스는 기판의 고른 도즈를 보조하기 위해 기판으로 나중에 전달될 수도 있다. 제 1 프로세스 가스 및 제 2 프로세스 가스의 전달은 동시에 중단될 수도 있고 또는 서로 다른 시간들에 중단될 수도 있다.

Description

막 균일성 개선을 위한 하드웨어 및 프로세스{HARDWARE AND PROCESS FOR FILM UNIFORMITY IMPROVEMENT}
현재 증착 프로세스들은 기판 상으로 전구체를 전달하기 위해 샤워헤드 또는 다른 프로세스 가스 전달 장치를 통해 캐리어 가스 및 전구체를 흘린다. 기판은 기판 프로세싱 챔버 내에 홀딩될 수도 있다. 기판 프로세싱 챔버 내에서 프로세스 가스의 플로우는 다른 인자들과 함께, 기판의 고르지 않은 도즈를 발생시킬 수도 있다. 고르지 않게 도즈된 기판들은 프로세싱된 기판들의 품질에 영향을 줄 수도 있다.
본 명세서에 기술된 주제의 하나 이상의 구현예들의 상세들은 첨부된 도면들 및 이하의 기술에 언급되었다. 다른 특징들, 양태들, 및 장점들이 기술, 도면들, 및 청구항들로부터 명백해질 것이다. 이하의 도면들의 상대적인 치수들은 스케일링된 도면들로 구체적으로 지시되지 않는 한 스케일대로 도시되지 않을 수도 있다는 것을 주의한다.
특정한 구현예들에서, 증착 프로세스 동안 기판으로의 전구체 도즈를 제어하는 방법이 제공된다. 방법은: (a) 제 1 프로세스 가스가 제 1 캐리어 가스 및 전구체를 포함하도록, ALD 증착 사이클의 도즈 페이즈의 제 1 기간 동안 기판으로 제 1 프로세스 가스를 흘리는 단계, (b) 제 2 기간은 제 1 기간이 시작한 후에 시작하도록, ALD 증착 사이클의 도즈 페이즈의 제 2 기간 동안 기판으로 제 2 프로세스 가스를 흘리는 단계로서, 제 1 기간 및 제 2 기간은 적어도 부분적으로 오버랩하고, 제 2 프로세스 가스는 제 2 캐리어 가스를 포함하고, 제 2 프로세스 가스는 제 2 기간이 제 1 기간과 오버랩하는 기간의 적어도 일부 동안 기판으로의 전달 전에 제 1 프로세스 가스와 혼합되고, 총 프로세스 가스의 체적 플로우 레이트는 단계 (a) 로부터 (b) 로 증가하는, 제 2 프로세스 가스를 흘리는 단계, (c) 단계 (a) 및 (b) 에서의 플로우를 정지하는 단계, 및 (d) 단계 (c) 후에, 단계 (a) 및 (b) 에서의 ALD 증착 사이클과 상이한 ALD 증착 사이클 동안 기판에 대해 단계 (a) 및 (b) 를 반복하는 단계를 포함한다.
방법의 일부 이러한 구현예들에서, 제 1 프로세스 가스의 적어도 일부는 기판 상에 흡착될 수도 있고 방법은 단계 (c) 후 그리고 단계 (d) 전에, 기판 상에 막층을 형성하도록 흡착된 전구체를 반응시키는 단계를 더 포함할 수도 있다. 방법의 일부 구현예들에서, 흡착된 전구체를 반응시키는 단계는 기판이 흡착된 전구체로 완전히 포화되지 않을 때 수행될 수도 있다.
방법의 일부 다른 또는 부가적인 구현예들에서, 제 2 프로세스 가스는 전구체를 함유하지 않을 수도 있다.
방법의 일부 다른 또는 부가적인 구현예들에서, 제 1 기간은 제 2 기간이 종료된 후에 종료할 수도 있다.
방법의 일부 다른 또는 부가적인 구현예들에서, 제 2 기간은 제 1 기간이 종료된 후에 종료할 수도 있다. 방법의 일 구현예들에서, 제 1 기간이 종료된 후 지속되는 제 2 기간의 일부 동안 전달된 프로세스 가스는 기판을 둘러싸는 볼륨으로부터 적어도 일부의 흡착되지 않은 전구체를 제거한다.
방법의 일부 다른 또는 부가적인 구현예들에서, 제 1 프로세스 가스는 제 1 플로우 경로를 통해 전달될 수도 있고, 제 2 프로세스 가스는 제 2 플로우 경로를 통해 전달될 수도 있고, 제 2 플로우 경로는 제 1 플로우 경로에 유체적으로 연통될 수도 있고, 제 2 프로세스 가스는 제 1 플로우 경로의 적어도 일부에서 제 1 프로세스 가스와 혼합될 수도 있다.
방법의 일부 다른 또는 부가적인 구현예들에서, 단계 (a) 내지 (c) 는 약 5 초 이하의 기간에 수행될 수도 있다.
방법의 일부 다른 또는 부가적인 구현예들에서, 기판은 직경이 약 450 ㎜ 이하일 수도 있다.
방법의 일부 다른 또는 부가적인 구현예들에서, 방법은: (e) 단계 (a) 후에, 제 3 기간이 제 1 기간이 시작된 후에 시작되도록, ALD 증착 사이클의 도즈 페이즈의 제 3 기간 동안 기판으로 제 3 프로세스 가스를 흘리는 단계로서, 제 1 기간 및 제 3 기간은 적어도 부분적으로 오버랩되고, 제 3 프로세스 가스는 제 3 캐리어 가스를 포함하고, 제 3 프로세스 가스는 제 3 기간이 제 1 기간과 오버랩하는 기간의 적어도 일부 동안 기판으로의 전달 전에 적어도 제 1 프로세스 가스와 혼합되고, 총 프로세스 가스의 체적 플로우 레이트는 단계 (a) 로부터 (e) 로 증가하는, 제 3 프로세스 가스를 흘리는 단계를 더 포함할 수도 있다.
방법의 일부 다른 또는 부가적인 구현예들에서, 제 2 캐리어 가스는 제 1 캐리어 가스 및 제 1 캐리어 가스와 상이한 캐리어 가스로 구성된 그룹으로부터 선택될 수도 있다.
특정한 구현예들에서, 장치가 제공될 수도 있다. 장치는: 기판을 수용하도록 구성된 기판 홀더, 기판 홀더에 의해 수용된 기판으로 프로세스 가스를 전달하도록 구성되고, 샤워헤드 유입부를 갖는 샤워헤드, 샤워헤드 유입부에 유체적으로 연통되고, 하나 이상의 제 1 밸브들을 갖는 제 1 플로우 경로, 제 1 플로우 경로에 유체적으로 연통되고, 하나 이상의 제 2 밸브들을 갖는 제 2 플로우 경로, 및 하나 이상의 제어기들을 포함할 수도 있다. 하나 이상의 제어기들은: (a) ALD 증착 사이클의 도즈 페이즈의 제 1 기간 동안 기판으로 제 1 프로세스 가스를 흘리도록 제 1 밸브들을 플로우 온 위치로 스위칭하는 동작으로서, 제 1 프로세스 가스는 제 1 캐리어 가스 및 전구체를 포함하는, 제 1 밸브들을 플로우 온 위치로 스위칭하는 동작, (b) ALD 증착 사이클의 도즈 페이즈의 제 2 기간 동안 기판으로 제 2 프로세스 가스를 흘리도록 제 2 밸브들을 플로우 온 위치로 스위칭하는 동작으로서, 제 2 기간은 제 1 기간이 시작한 후에 시작하고, 제 1 기간 및 제 2 기간은 적어도 부분적으로 오버랩하고, 제 2 프로세스 가스는 제 2 캐리어 가스를 포함하고, 제 2 프로세스 가스는 제 2 기간이 제 1 기간과 오버랩하는 기간의 적어도 일부 동안 제 1 프로세스 가스와 혼합되고, 총 프로세스 가스의 체적 플로우 레이트는 동작 (a) 로부터 (b) 로 증가하는, 제 2 밸브들을 플로우 온 위치로 스위칭하는 동작, (c) 동작 (a) 후에, 기판으로 제 1 프로세스 가스를 흘리는 것을 중단하도록 제 1 밸브들을 플로우 오프 위치로 스위칭하는 동작, (d) 동작 (b) 후에, 기판으로 제 2 프로세스 가스를 흘리는 것을 중단하도록 제 2 밸브들을 플로우 오프 위치로 스위칭하는 동작, 및 (e) 동작 (c) 및 (d) 후에, 동작 (a) 및 (b) 의 ALD 증착 사이클과 상이한 ALD 증착 사이클 동안 기판에 대해 동작 (a) 및 (b) 를 반복하는 동작을 하도록 구성된다.
장치의 일부 이러한 구현예들에서, 제 1 프로세스 가스의 적어도 일부는 기판 상에 흡착될 수도 있고, 하나 이상의 제어기들은: (f) 동작 (c) 및 (d) 후에 그리고 동작 (e) 전에, 기판 상에 막층을 형성하도록 흡착된 전구체를 반응시키는 동작을 하도록 더 구성될 수도 있다. 장치의 일부 구현예들에서, 동작 (f) 가 시작될 때, 기판은 흡착된 전구체로 완전히 포화되지 않을 수도 있다.
장치의 일부 다른 또는 부가적인 구현예들에서, 하나 이상의 제어기들은 제 1 밸브가 개방되고 제 2 밸브가 폐쇄될 때 제 2 프로세스 가스를 제 2 플로우 경로에 충전하는 동작을 하도록 더 구성될 수도 있다. 장치의 일부 구현예들에서, 장치는 제 2 플로우 경로에 유체로 연통된 방향전환기 경로를 더 포함할 수도 있고, 하나 이상의 제어기들은: (f) 제 2 밸브가 플로우 오프 위치에 있을 때 제 2 프로세스 가스를 제 2 플로우 경로로부터 방향전환기 경로를 통해 흘리는 동작을 하도록 더 구성될 수도 있다. 일부 이러한 구현예들에서, 장치는 방향전환기 경로 내에 방향전환기 밸브들을 더 포함할 수도 있고, 동작 (f) 는 제 2 밸브가 플로우 오프 위치로 스위칭될 때 방향전환기 밸브들을 플로우 온 위치로 스위칭하는 동작을 포함할 수도 있다.
장치의 일부 다른 또는 부가적인 구현예들에서, 제 2 플로우 경로는 제 1 플로우 경로 내로 종단될 수도 있고, 제 2 프로세스 가스는 제 2 플로우 경로가 제 1 플로우 경로 내로 종단되는 제 1 플로우 경로 다운스트림의 적어도 일부에서 제 1 프로세스 가스와 혼합될 수도 있다.
장치의 일부 다른 또는 부가적인 구현예들에서, 제 1 기간은 제 2 기간이 종료된 후에 종료할 수도 있다.
장치의 일부 다른 또는 부가적인 구현예들에서, 제 2 기간은 제 1 기간이 종료한 후에 종료할 수도 있다. 일부 이러한 구현예들에서, 제 1 기간이 종료된 후 지속되는 제 2 기간의 일부 동안 전달된 프로세스 가스는 기판을 둘러싸는 볼륨으로부터 적어도 일부의 흡착되지 않은 전구체를 제거하도록 사용될 수도 있다.
장치의 일부 다른 또는 부가적인 구현예들에서, 하나 이상의 제어기들은 약 5 초 이하의 기간에 동작 (a) 내지 (d) 를 수행하도록 구성될 수도 있다.
장치의 일부 다른 또는 부가적인 구현예들에서, 기판은 직경이 약 450 ㎜ 이하이다.
장치의 일부 다른 또는 부가적인 구현예들에서, 장치는 제 1 플로우 경로에 유체로 연통되고, 하나 이상의 제 3 밸브들을 갖는 제 3 플로우 경로를 더 포함할 수도 있어서, 하나 이상의 제어기들은: (f) 제 1 기간이 시작된 후에 제 3 기간이 시작되도록, ALD 증착 사이클의 도즈 페이즈의 제 3 기간 동안 기판으로 제 3 프로세스 가스를 흘리도록 제 3 밸브를 플로우 온 위치로 스위칭하는 동작으로서, 제 1 기간 및 제 3 기간은 적어도 부분적으로 오버랩되고, 제 3 프로세스 가스는 제 3 캐리어 가스를 포함하고, 제 3 프로세스 가스는 제 3 기간이 제 1 기간과 오버랩하는 기간의 적어도 일부 동안 적어도 제 1 프로세스 가스와 혼합되고, 총 프로세스 가스의 체적 플로우 레이트는 동작 (a) 로부터 (f) 로 증가하는, 하나 이상의 제 3 밸브들을 플로우 온 위치로 스위칭하는 동작, 및 (g) 동작 (f) 후에, 기판으로 제 3 프로세스 가스를 흘리는 것을 중단하도록 제 3 밸브를 플로우 오프 위치로 스위칭하는 동작을 하도록 더 구성될 수도 있다.
장치의 일부 다른 또는 부가적인 구현예들에서, 제 2 캐리어 가스는 제 1 캐리어 가스 및 제 1 캐리어 가스와 상이한 캐리어 가스로 구성된 그룹으로부터 선택될 수도 있다.
장치의 일부 다른 또는 부가적인 구현예들에서, 장치는 제 1 플로우 경로에 유체로 연통되고 제 1 프로세스 가스의 전구체를 제공하도록 구성된 전구체 소스, 및 적어도 제 1 플로우 경로에 유체로 연통되고 적어도 제 1 프로세스 가스의 제 1 캐리어 가스를 제공하도록 구성된 캐리어 가스 소스를 더 포함할 수도 있다. 일부 이러한 구현예들에서, 캐리어 가스 소스는 부가적으로 제 2 플로우 경로에 유체로 연통될 수도 있고 제 2 프로세스 가스의 제 2 캐리어 가스를 제공하도록 구성될 수도 있다.
장치의 일부 다른 또는 부가적인 구현예들에서, 동작 (b) 에서 적어도 제 2 프로세스 가스의 플로우는 질량 유량 제어기에 의해 제어되지 않을 수도 있다.
본 발명의 이들 및 다른 특징들은 도면들을 참조하여 이하에 보다 상세히 기술될 것이다.
도 1은 단일 프로세스 스테이션을 갖는 프로세싱 챔버를 갖는 기판 프로세싱 장치의 개략도이다.
도 2는 증착 프로세스들을 통해 기판 상에 재료의 막들을 형성하기 위한 동작들의 기본 시퀀스를 도시하는 차트이다.
도 3은 증착 프로세스 동안 프로세싱 챔버 내에서 전구체 플로우를 예시하는 기판 프로세스 스테이션의 개략도이다.
도 4는 기판 프로세스 스테이션을 위한 통상적인 전구체 전달 시스템의 개략적인 대표도이다.
도 5a는 기판 프로세스 스테이션을 위한 다단계 전구체 전달 시스템의 구성의 개략적인 대표도이다.
도 5b는 기판 프로세스 스테이션을 위한 다단계 전구체 전달 시스템의 또 다른 구성의 개략적인 대표도이다.
도 5c는 기판 프로세스 스테이션을 위한 다단계 전구체 전달 시스템의 부가적인 대안적인 구성의 개략적인 대표도이다.
도 6a는 다단계 전구체 전달 시스템을 사용하는 증착 프로세스의 동작들의 예시적인 시퀀스의 흐름도이다.
도 6b는 다단계 전구체 전달 시스템을 사용하는 증착 프로세스의 동작들의 또 다른 예시적인 시퀀스의 흐름도이다.
도 6c는 다단계 전구체 전달 시스템을 사용하는 증착 프로세스의 동작들의 부가적인 예시적인 시퀀스의 흐름도이다.
도 7a는 도 5a의 다단계 전구체 전달 시스템을 사용하는 전구체 전달 동작들의 시퀀스의 단계를 도시한다.
도 7b는 도 5a의 다단계 전구체 전달 시스템을 사용하는 전구체 전달 동작들의 시퀀스의 부가적인 단계를 도시한다.
도 7c는 도 5a의 다단계 전구체 전달 시스템을 사용하는 전구체 전달 동작들의 시퀀스의 또 다른 단계를 도시한다.
도 7d는 도 5a의 다단계 전구체 전달 시스템을 사용하는 전구체 전달 동작들의 시퀀스의 추가 단계를 도시한다.
도 8은 증착 프로세스들 동안 다단계 전구체 전달 시스템을 사용하여 전구체를 흘리기 위한 동작들의 기본 시퀀스를 도시하는 차트이다.
도 9a는 다양한 전구체 전달 구성들을 사용하여 프로세싱된 예시적인 웨이퍼들의 다양한 웨이퍼 균일도를 나타내는 차트이다.
도 9b는 다양한 전구체 전달 구성들을 사용하여 프로세싱된 예시적인 웨이퍼들의 다양한 웨이퍼 균일도를 나타내는 부가적인 차트이다.
도 9c는 다양한 전구체 전달 구성들을 사용하여 프로세싱된 예시적인 웨이퍼들의 다양한 웨이퍼 균일도를 나타내는 또 다른 차트이다.
본 명세서에 기술된 주제의 하나 이상의 구현예들의 상세들은 첨부된 도면들 및 이하의 기술에 언급된다. 다른 특징들, 양태들, 및 장점들이 기술, 도면들, 및 청구항들로부터 명백해질 것이다. 이하의 도면들에서 상대적인 치수들은 스케일링된 도면들로 구체적으로 지시되지 않는 한, 스케일대로 도시될 필요는 없다는 것을 주의한다.
본 명세서에서 사용된 바와 같이, 용어 "반도체 웨이퍼"는 예를 들어, 실리콘과 같은 반도체 재료로 이루어진 웨이퍼들 및 예를 들어, 유전체들 및/또는 도전체들과 같이 일반적으로 반도체로 식별되지 않지만, 통상적으로 이들 위에 반도체 재료들이 제공되는 재료들로 이루어진 웨이퍼들 양자를 지칭할 수도 있다는 것이 이해된다. SOI (silicon on insulator) 웨이퍼들이 일예이다. 본 개시에 기술된 장치들 및 방법들은 200 ㎜, 300 ㎜, 및 450 ㎜ 직경의 반도체 웨이퍼들을 포함하는, 다수의 크기들의 반도체 웨이퍼들의 프로세싱에 사용될 수도 있다.
반도체 기판들 상에 막들을 증착하기 위한 동작들은 대체로 도 1에 도시된 것과 같은 기판 프로세싱 장치에서 수행될 수도 있다. 도 1은 단일 프로세스 스테이션을 갖는 프로세싱 챔버를 갖는 기판 프로세싱 장치의 개략도이다.
간결성을 위해, 프로세싱 장치 (100) 는 저압 분위기를 유지하기 위해 프로세스 챔버 바디 (102) 를 갖는 독립된 프로세스 스테이션으로서 도시된다. 그러나, 복수의 프로세스 스테이션들은 공통 프로세스 툴 분위기 내 -예를 들어, 공통 반응 챔버 내- 에 포함될 수도 있다는 것이 이해될 것이다. 또한, 일부 구현예들에서, 본 명세서에서 논의된 것들을 포함하는, 프로세싱 장치 (100) 의 하나 이상의 하드웨어 파라미터들은, 하나 이상의 시스템 제어기들에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다.
일부 구현예들에서, 제어기는 본 명세서에 기술된 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 전구체 전달 장비, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수도 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 전달 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 전달들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 구현예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르도록 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들이다.
다시 도 1을 참조하면, 프로세스 스테이션 (100) 은 분배 샤워헤드 (106) 로 프로세스 가스들을 전달하기 위해 반응 물질 전달 시스템 (101) 과 유체적으로 연통한다. 반응 물질 전달 시스템 (101) 은 샤워헤드 (106) 로의 전달을 위해 프로세스 가스들을 블렌딩 (blending) 및/또는 컨디셔닝 (conditioning) 하기 위한 혼합 베젤 (104) 을 포함한다. 하나 이상의 혼합 베젤 유입부 밸브들 (120) 이 혼합 베젤 (104) 로의 프로세스 가스들의 도입을 제어할 수도 있다.
일부 반응 물질들은 기화 및 프로세스 챔버 (102) 로의 후속하는 전달 전에 액체 형태로 저장될 수도 있다. 도 1의 구현예는 혼합 베젤 (104) 로 공급될 액체 반응물질을 기화하기 위한 기화 기점 (103) 을 포함한다. 일부 구현예들에서, 기화 지점 (103) 은 가열된 액체 주입 모듈일 수도 있다. 일부 부가적인 구현예들에서, 기화 지점 (103) 은 가열된 기화기일 수도 있다.
일부 구현예들에서, 기화 지점 (103) 의 업스트림에 LFC (liquid flow controller) 가 기화 및 프로세싱 챔버 (102) 로의 전달을 위해 액체의 질량 유량을 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 열적 MFM (mass flow meter) 을 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다.
샤워헤드 (106) 는 프로세스 스테이션에서 기판 (112) 을 향하여 프로세스 가스들 및/또는 반응물질들 (예를 들어, 막 전구체들) 을 분배하고, 이의 플로우는 샤워헤드로부터 업스트림의 하나 이상의 밸브들 (예를 들어, 밸브들 (120, 120A, 105)) 에 의해 제어된다. 도 1에 도시된 구현예에서, 기판 (112) 은 샤워헤드 (106) 아래에 위치되고, 페데스탈 (108) 상에 얹힌 것으로 도시된다. 샤워헤드 (106) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (112) 으로 프로세스 가스들을 분배하기 위해 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다는 것이 이해될 것이다.
일부 구현예들에서, 마이크로볼륨 (107) 은 샤워헤드 (106) 아래에 위치된다. 프로세싱 챔버의 전체 볼륨에서보다 기판 가까이의 프로세스 스테이션의 마이크로볼륨 내에서 ALD 프로세스와 같은, 증착 프로세스를 수행하는 것은 반응물질 노출 및 스윕핑 시간을 감소시킬 수도 있고, 프로세스 조건들 (예를 들어, 압력, 온도, 등) 을 변경하기 위한 시간들을 감소시킬 수도 있고, 프로세스 가스들에 대한 프로세스 스테이션 로보틱스의 노출을 제한하는 등을 할 수 있다. 예시적인 마이크로볼륨 사이즈들은 이로 제한되는 것은 아니지만, 0.1 리터 내지 2 리터의 체적을 포함한다.
일부 구현예들에서, 페데스탈 (108) 은 기판 (112) 을 마이크로볼륨 (107) 에 노출시키고/시키거나 마이크로볼륨 (107) 의 체적을 변화시키기 위해 상승되거나 하강될 수도 있다. 예를 들어, 기판 이송 페이즈에서, 페데스탈 (108) 은 기판 (112) 으로 하여금 페데스탈 (108) 상으로 로딩되게 하도록 하강될 수도 있다. 기판 상의 증착 프로세스 페이즈 동안, 페데스탈 (108) 은 마이크로볼륨 (107) 내에 기판 (112) 을 포지셔닝하기 위해 상승될 수도 있다. 일부 구현예들에서, 마이크로볼륨 (107) 은 증착 프로세스 동안 고 플로우 임피던스 영역을 생성하기 위해 페데스탈 (108) 의 일부뿐만 아니라 기판 (112) 을 완전히 둘러쌀 수도 있다.
선택적으로, 페데스탈 (108) 은 마이크로볼륨 (107) 내에서 프로세스 압력, 반응물질 농도, 등을 조절하기 위해 일부의 증착 프로세스 동안 하강되고/되거나 상승될 수도 있다. 프로세스 챔버 바디 (102) 가 프로세스 동안 기준 압력으로 유지되는 일 시나리오에서, 페데스탈 (108) 을 하강시키는 것은 마이크로볼륨 (107) 으로 하여금 배기되게 할 수도 있다. 일부 구현예들에서, 페데스탈 높이는 적합한 시스템 제어기에 의해 프로그램적으로 조정될 수도 있다는 것이 이해될 것이다.
본 명세서에 기술된 예시적인 마이크로볼륨 변화들이 높이-조정가능한 페데스탈을 참조하지만, 일부 구현예들에서, 샤워헤드 (106) 의 위치는 마이크로볼륨 (107) 의 체적을 변화시키기 위해 페데스탈 (108) 에 대해 조정될 수도 있다는 것이 이해될 것이다. 또한, 페데스탈 (108) 및/또는 샤워헤드 (106) 의 수직 위치는 본 개시의 범위 내에 있는 임의의 적합한 메커니즘에 의해 변화될 수도 있다는 것이 이해될 것이다. 일부 구현예들에서, 페데스탈 (108) 은 기판 (112) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 구현예들에서, 하나 이상의 이들 예시적인 조정들은, 전술한 동작들의 전부 또는 서브세트를 수행하기 위한 머신-판독가능 인스트럭션들을 갖는, 하나 이상의 적합한 시스템 제어기들에 의해 프로그램적으로 수행될 수도 있다는 것이 이해될 것이다.
부가적으로, 도 1에서, 샤워헤드 (106) 및 페데스탈 (108) 은 플라즈마에 전력을 공급하기 위해 RF 전력 공급부 (114) 및 매칭 네트워크 (116) 와 전기적으로 통신한다. 일부 구현예들에서, 플라즈마 에너지는 (예를 들어, 적절한 머신-판독가능 인스트럭션들을 갖는 시스템 제어기를 통해) 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (114) 및 매칭 네트워크 (116) 는 목표된 조성의 라디컬 종을 갖는 플라즈마를 형성하도록, 임의의 적합한 전력으로 동작될 수도 있다. 유사하게, RF 전력 공급부 (114) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 구현예들에서, RF 전력 공급부 (114) 는 서로 독립적으로 고 주파수 RF 전력 소스 및 저 주파수 RF 전력 소스를 제어하도록 구성될 수도 있다. 예시적인 저 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 50 ㎑ 내지 500 ㎑의 주파수를 포함할 수도 있다. 예시적인 고 주파수 RF 주파수들은 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓의 주파수들을 포함할 수도 있다. 표면 반응들을 위한 플라즈마 에너지를 제공하도록 임의의 적합한 파라미터들이 이산적으로 또는 연속적으로 조절될 수도 있다는 것이 이해될 것이다. 비한정적인 일 예에서, 플라즈마 전력은 연속적으로 전력이 공급되는 플라즈마들에 대해 기판 표면과의 이온 충돌을 감소시키기 위해 간헐적으로 펄싱될 수도 있다.
일부 구현예들에서, 페데스탈 (108) 은 히터 (110) 를 통해 온도 제어될 수도 있다. 또한, 일부 실시예들에서, 프로세싱 장치 (100) 를 위한 압력 제어가 버터플라이 밸브 (118) 와 같은 하나 이상의 밸브로 동작된 진공 소스들에 의해 제공될 수도 있다. 도 1의 구현예에 도시된 바와 같이, 버터플라이 밸브 (118) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀한다. 그러나, 일부 구현예들에서, 프로세싱 장치 (100) 의 압력 제어는 또한 프로세싱 챔버 (102) 에 도입된 하나 이상의 가스들의 플로우 레이트를 변화시킴으로써 조정될 수도 있다. 일부 구현예들에서, -버터플라이 밸브 (118) 와 같은- 하나 이상의 밸브로 동작된 진공 소스들은 적절한 ALD 동작 페이즈들 동안 프로세스 스테이션들을 둘러싸는 볼륨들로부터 막 전구체를 제거하기 위해 사용될 수도 있다.
프로세싱 장치 (100) 는 클린룸 또는 제조 설비 내에 설치될 때 설비들 (미도시) 에 커플링될 수도 있다. 설비들은 프로세싱 가스들, 진공, 온도 제어 및 환경 입자 제어를 제공하는 플러빙을 포함할 수도 있다. 특정한 구현예들에서, 본 명세서에 상세히 기술된 기법들 및 프로세스들은 50 ℃ 미만, 100 ℃ 미만, 200 ℃ 미만의 주변 온도들, 또는 기판들을 프로세싱하거나, 디펙트들을 검출하거나, 디펙트들을 식별하기에 적합한 임의의 온도로 수행될 수도 있다. 이들 설비들은 제조 설비 내에 설치될 때, 프로세싱 장치 (100) 에 커플링될 수도 있다. 부가적으로, 프로세싱 장치 (100) 는 로보틱스로 하여금 통상적인 자동화를 사용하여 프로세싱 장치 (100) 내로 그리고 외부로 반도체 웨이퍼들을 이송하게 하는 이송 챔버에 커플링될 수도 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 레이저 계측 디바이스, 전자 생성 장비, 및 기판 디펙트 검출 또는 식별에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
일부 구현예들에서, 시스템 제어기와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽적인 소프트웨어 디스플레이 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등의 사용자 입력 디바이스들을 포함할 수도 있다.
도 1에 기숟뢴 기판 프로세싱 장치와 같은 장비를 사용하여, ALD 프로세스들과 같은, 증착 프로세스들을 통해 기판 상에 재료의 막들을 형성하기 위한 동작들의 기본 시퀀스가 도 2에 예시된다. 도 2는 증착 프로세스들을 통해 기판 상에 재료의 막들을 형성하기 위한 동작들의 기본 시퀀스를 도시하는 차트이다. 도 2는 사이클 각각이 전구체 전달, RF 전력 전달, 반응물질 가스 전달, 및 프로세싱 챔버의 가압 (pressurization) 을 포함하는, 4개의 증착 사이클들에 대한 프로세스 단계들을 예시한다. 특정한 구현예들에서, 도 2에 예시된 증착 사이클 각각은 100 밀리초, 1 초, 2 초, 5 초, 또는 10 초 미만의 기간 내에 수행될 수도 있다. 도 2의 프로세스 단계들은 대응하는 선들을 통해 도시되고, 온 또는 오프인 불리언 값들로서 표현된다. 대응하는 선들이 도 2에 예시된 "온" 위치에 있을 때 프로세스 단계는 온되고, 대응하는 선이 도 2에 예시된 "오프" 위치에 있을 때 프로세스 단계는 오프된다.
모든 4개의 증착 사이클들 동안, 프로세싱 챔버는 가압될 수도 있다. 증착 사이클 (202) 은 도 2에서 하이라이트된다. 증착 사이클 (202) 에서, 증착 사이클의 제 1 페이즈는 도즈 페이즈 (204) 일 수도 있다. 도즈 페이즈 (204) 동안, 전구체는 프로세싱 챔버로 전달되지만, RF 전력은 오프되고 반응물질 가스 또는 가스들은 전달되지 않는다. 도즈 페이즈 (204) 동안, 기판은 전구체를 흡착할 수도 있고 기판 상에 흡착층을 형성할 수도 있다.
도즈 페이즈 (204) 후에, 이어서 증착 사이클 (202) 의 퍼지 페이즈 (206) 가 있을 수도 있다. 퍼지 페이즈 (206) 동안, 전구체 전달은 정지하지만, RF 전력은 여전히 오프되고 반응물질 가스들은 여전히 전달되지 않는다. 퍼지 페이즈 (206) 는 흡착된 전구체를 둘러싸는 볼륨으로부터 적어도 일부의 흡착되지 않은 막 전구체 및/또는 반응물질 부산물을 제거할 수도 있다.
퍼지 페이즈 (206) 후에, 이어서 증착 사이클 (202) 은 변환 페이즈 (208) 로 들어갈 수도 있다. 변환 페이즈 (208) 동안, 반응물질 가스 또는 가스들이 또한 전달되는 동안 RF 전력은 턴온된다. 변환 페이즈 (208) 동안, 흡착된 막 전구체는 기판 상에 막층을 형성하도록 반응할 수도 있다.
마지막으로, 변환 페이즈 (208) 의 완료 후, 증착 사이클 (202) 은 후 RF 퍼지 페이즈 (post RF purge phase)(210) 로 들어갈 수도 있다. 후 RF 퍼지 페이즈 (210) 는 흡착된 전구체의 반응 후에 막층을 둘러싸는 볼륨으로부터 모든 존재하는 탈착된 막 전구체 및/또는 반응물질 부산물을 제거할 수도 있다.
특정한 구현예들에서, 도즈 페이즈는 기판이 전구체로 완전히 포화되기 전에 완료될 수도 있다. 이러한 구현예들은 보다 높은 기판 처리량으로 유도할 수도 있는, 증착 사이클 당 보다 짧은 프로세싱 시간을 가능하게 할 수도 있다. 이는 통상적으로 프로세싱 단계 당 수십 또는 수백 회의 증착 사이클들을 갖고 따라서 증착 사이클 당 절약된 임의의 시간이 사이클들의 수로 승산되는 (multiplied) ALD 프로세스들에 대해 특히 진실일 수도 있다. 그러나, 이러한 구현예들에서, 기판은 기판이 전구체로 완전히 포화되지 않을 때 고르지 않게 도즈될 수도 있다. 고르지 않게 도즈된 기판들은 기판의 다른 부분들보다 보다 큰 두께를 갖는 기판의 특정한 부분들을 사용하여 프로세싱될 때 불균일할 수도 있다. 불균일한 기판들은 프로세싱된 기판들의 품질에 영향을 줄 수도 있다.
일부 구현예들에서, 다층 증착 막은 예를 들어, 일 조성을 갖는 복수의 층들을 순차적으로 컨포멀하게 증착하고, 이어서 또 다른 조성을 갖는 복수의 층들을 순차적으로 컨포멀하게 증착하고, 이어서 이들 두 시퀀스들을 잠재적으로 반복 및 교번함으로써 형성된 교변하는 조성의 영역들/부분들을 포함할 수도 있다. 증착된 ALD 막들의 이들 양태들의 일부는, 예를 들어, 모든 목적들을 위해 전체가 본 명세서에 참조로서 인용된, 2012년 9월 7일 출원되고 명칭이 "CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION" (대리인 관리 번호 NOVLP488) 인 미국 특허 출원 번호 제 13/607,386 호에 기술된다. 또한, -아래에 놓인 타깃 IC 구조체 또는 기판 영역을 도핑하기 위해 사용된 막들을 포함하는- 교번하는 조성의 부분들을 갖는 컨포멀한 막들뿐만 아니라 이들 막들을 형성하는 방법들의 다른 예들은: 2011년 4월 11일 출원되고 명칭이 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION" (대리인 관리 번호 NOVLP405) 인 미국 특허 출원 번호 제 13/084,399 호; 2011년 9월 23일 출원되고 명칭이 "PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION", 이고 현재 미국 특허 제 8,637,411 호 (대리인 관리 번호 NOVLP427) 인 미국 특허 출원 번호 제 13/242,084 호; 2011년 9월 1일 출원되고 명칭이 "PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION" (대리인 관리 번호 NOVLP428) 인 미국 특허 출원 번호 제 13/224,240 호; 2012년 9월 7일 출원되고 명칭이 "CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION" (대리인 관리 번호 NOVLP488) 인 미국 특허 출원 번호 제 13/607,386 호; 및 2014년 2월 28일 출원되고 명칭이 "CAPPED ALD FILMS FOR DOPING FIN-SHAPED CHANNEL REGIONS OF 3-D IC TRANSISTORS" 인 미국 특허 출원 번호 제 14/194,549 호에 상세히 기술되고, 이들 각각은 모든 목적들을 위해 전체가 본 명세서에 참조로서 인용되었다.
상기 참조된 명세서들에 상세히 기술된 바와 같이, ALD 프로세스들은 종종 컨포멀한 실리콘 산화물 막들 (SiOx) 을 증착하기 위해 사용되지만, ALD 프로세스들은 또한 전술한 인용된 명세서들에 개시된 바와 같이 다른 화학물질들의 컨포멀한 유전체 막들을 증착하기 위해 또한 사용될 수도 있다. ALD-형성된 유전체 막들은, 일부 구현예들에서, 실리콘 탄화물 (SiC) 재료, 실리콘 질화물 (SiN) 재료, 실리콘 탄화질화물 (SiCN) 재료, 또는 이들의 조합을 함유할 수도 있다. 실리콘-탄소-산화물들 및 실리콘-탄소-산화질화물들, 및 실리콘-탄소-질화물들은 또한 일부 구현예 ALD-형성된 막들 내에 형성될 수도 있다. 이들 타입들의 막들을 증착하기 위한 방법들, 기법들, 및 동작들은 2012년 6월 12일 출원되고 명칭이 "REMOTE PLASMA BASED DEPOSITION OF SiOC CLASS OF FILMS"이고, 대리인 관리 번호 NOVLP466/NVLS003722인 미국 특허 출원 번호 제 13/494,836 호; 2013년 5월 31일 출원되고 명칭이 "METHOD TO OBTAIN SiC CLASS OF FILMS OF DESIRED COMPOSITION AND FILM PROPERTIES"이고, 대리인 관리 번호 LAMRP046/3149인 미국 특허 출원 번호 제 13/907,699 호; 명칭이 "GROUND STATE HYDROGEN RADICAL SOURCES FOR CHEMICAL VAPOR DEPOSITION OF SILICON-CARBON-CONTAINING FILMS"인 미국 특허 출원 번호 제 14/062,648 호; 및 2014년 2월 28일 출원되고 명칭이 "CAPPED ALD FILMS FOR DOPING FIN-SHAPED CHANNEL REGIONS OF 3-D IC TRANSISTORS"인 미국 특허 출원 번호 제 14/194,549 호에 상세히 기술되고, 이들 각각은 모든 목적들을 위해 전체가 참조로서 본 명세서에 인용되었다.
ALD를 통한 막 증착의 다른 예들은 상기에 참조로서 인용되고 열거된 특허 출원들 (미국 특허 출원 번호 제 13/084,399 호, 제 13/242,084 호, 제 13/224,240 호, 및 제 14/194,549 호) 에 기술된 바와 같은 도펀트-함유 막들을 증착하기 위한 화학물질들을 포함한다. 본 명세서에 기술된 바와 같이, 다양한 도펀트-함유 막 전구체들은 붕소-도핑된 실리케이트 유리 (BSG), 인-도핑된 실리케이트 유리 (PSG), 붕소 인 도핑된 실리케이트 유리 (BPSG), 비소 (As) 도핑된 실리케이트 유리 (ASG), 등의 막들과 같은, 도펀트-함유 막들을 형성하기 위해 사용될 수도 있다. 도펀트-함유 막들은 B2O3, B2O, P2O5, P2O3, As2O3, As2O5, 등을 포함할 수도 있다. 따라서, 붕소 이외의 도펀트들을 갖는 도펀트-함유 막들도 실현가능하다. 예들은 갈륨, 인, 또는 비소 도펀트들, 또는 다른 원자가 III 및 V 원소들과 같은, 반도체 기판을 도핑하기에 적절한 다른 원소들을 포함한다.
ALD 프로세스를 위한 조건들로서, ALD 프로세스들은 다양한 온도들에서 수행될 수도 있다. 일부 구현예들에서, ALD 반응 챔버 내에서 적합한 온도들은 약 25 ℃ 내지 450 ℃, 또는 약 50 ℃ 내지 300 ℃, 또는 약 20 ℃ 내지 400 ℃, 또는 약 200 ℃ 내지 400 ℃, 또는 약 100 ℃ 내지 350 ℃의 범위일 수도 있다.
유사하게, ALD 프로세스들은 다양한 ALD 반응 챔버 압력들에서 수행될 수도 있다. 일부 구현예들에서, 반응 챔버 내에서 작합한 압력들은 약 10 mTorr 내지 10 Torr, 또는 약 20 mTorr 내지 8 Torr, 또는 약 50 mTorr 내지 5 Torr, 또는 약 100 mTorr 내지 2 Torr의 범위일 수도 있다.
다양한 RF 전력 레벨들은 변환 페이즈 (208) 에서 사용된다면 플라즈마를 생성하도록 채용될 수도 있다. 일부 구현예들에서, 적합한 RF 전력은 약 100 W 내지 10 kW, 또는 약 200 W 내지 6 kW, 또는 약 500 W 내지 3 kW, 또는 약 1 kW 내지 2 kW의 범위일 수도 있다.
다양한 막 전구체 플로우 레이트들이 도즈 페이즈 (204) 에서 채용될 수도 있다. 일부 구현예들에서, 적합한 플로우 레이트들은 약 0.1 mL/min 내지 10 mL/min 또는 0.1 mL/min 내지 10 mL/min, 또는 약 0.5 mL/min 내지 5 mL/min 또는 0.5 mL/min 내지 5 mL/min, 또는 약 1 mL/min 내지 3 mL/min 또는 1 mL/min 내지 3 mL/min의 범위일 수도 있다.
다양한 가스 플로우 레이트들은 다양한 동작들에서 사용될 수도 있다. 일부 구현예들에서, 일반적인 가스 플로우 레이트들은 약 1 L/min 내지 20 L/min 또는 1 L/min 내지 20 L/min, 또는 약는 2 L/min 내지 10 L/min 또는 2 L/min 내지 10 L/min의 범위일 수도 있다. 퍼지 페이즈 (206) 및 후 RF 퍼지 페이즈 (210) 에서 선택적인 불활성 퍼지 단계들에 대해, 채용된 버스트 플로우 레이트는 약 20 L/min 내지 100 L/min 또는 20 L/min 내지 100 L/min, 또는 약 40 L/min 내지 60 L/min 또는 40 L/min 내지 60 L/min의 범위일 수도 있다.
다시 한번, 일부 구현예들에서, 펌프-투-베이스 단계는 반응 챔버를 하나 이상의 진공 펌프들에 직접적으로 노출시킴으로써 반응 챔버를 기준 압력으로 펌핑하는 것을 지칭한다. 일부 구현예들에서, 기준 압력은 통상적으로 겨우 몇 mTorr (예를 들어, 약 1 내지 20 mTorr) 일 수도 있다. 게다가, 상기에 나타낸 바와 같이, 펌프-투-베이스 단계는 불활성 퍼지를 수반하거나 수반하지 않을 수도 있고, 따라서 캐리어 가스들은 하나 이상의 밸브들이 도전 경로를 진공 펌프에 대해 개방할 때 흐르거나 흐르지 않을 수도 있다.
또한, 다시 한번, 복수의 ALD 사이클들은 컨포멀한 층들의 스택들을 구축하기 위해 반복될 수도 있다. 일부 구현예들에서, 층 각각은 실질적으로 동일한 조성을 가질 수도 있지만, 다른 구현예들에서, 연속적으로 ALD 증착된 층들은 상이한 조성들을 가질 수도 있고, 또는 특정한 이러한 구현예들에서, 조성은 층별로 교번할 수도 있고, 또는 상기 기술된 바와 같이, 상이한 조성들을 갖는 층들의 시퀀스가 반복할 수도 있다. 따라서, 구현예에 따라, 상기 참조로서 인용되고 열거된 특허 출원들 (미국 특허 출원 번호 제 13/084,399 호, 제 13/242,084 호, 및 13/224,240 호) 에 개시된 바와 같은 특정한 스택 엔지니어링 개념들은 이들 막들 내에서 붕소, 인, 또는 비소 농도를 조절하기 위해 사용될 수도 있다.
도 3은 증착 프로세스 동안 프로세싱 챔버 내에서의 전구체 플로우를 예시하는 기판 프로세스 스테이션의 개략도이다. 기판 프로세스 스테이션 (300) 은 샤워헤드 (302) 및 기판 (306) 을 지지하는 페데스탈 (304) 을 포함한다.
프로세스 가스 (308) 는 샤워헤드 (302) 를 통해 기판 (306) 으로 전달된다. 특정한 구현예들에서, 프로세스 가스 (308) 는 전구체 또는 전구체와 캐리어 가스의 조합일 수도 있다. 기판은 전구체를 흡착할 수도 있고 기판 (306) 상에 흡착층을 형성할 수도 있다. 증착 사이클의 특정한 페이즈들 동안, 퍼지 가스 또는 다른 프로세스 가스들은 샤워헤드 (302) 를 통해 프로세스 가스 (308) 대신 흐를 수도 있다.
부가적으로, 도 3에서, 퍼지 가스 (310) 는 샤워헤드 (302) 의 외부를 따라 흐른다. 특정한 구현예들에서, 퍼지 가스 (310) 는 샤워헤드 (302) 의 후면 상의 증착을 방지할 수도 있다.
기판 프로세스 스테이션 (300) 의 내부 둘레에서 프로세스 가스 (308) 및 퍼지 가스 (310) 의 플로우는 기판 (306) 의 고르지 않은 도즈를 발생시킬 수도 있다. 고르지 않은 도즈는 불균일한 프로세싱된 기판들을 발생시킬 수도 있다. 특정한 구현예들에서, 기판 (306) 의 표면 위의 프로세스 가스 (308) 의 플로우는 기판 (306) 의 표면 상의 구역 (312) 의 고르지 않은 도즈를 발생시킬 수도 있다. 부가적으로, 퍼지 가스 (310) 의 플로우는 기판 (306) 의 표면 상에서 구역들 (314A 및 314B) 의 고르지 않은 도즈를 발생시킬 수도 있다. 고르지 않은 도즈의 결과들은 일부 구현예들에서 도 9a 내지 도 9c에 예시된다.
도 3에서 프로세스 가스 (308) 는 기판 프로세싱 장치의 프로세스 가스 전달 시스템을 통해 전달될 수도 있다. 프로세스 가스 전달 시스템은 플로우 경로들 및 밸브들의 구성을 포함할 수도 있다. 전구체 가스 전달 시스템들에서 사용될 수도 있는 밸브들은 공압적으로 그리고 전기적으로 액추에이팅된 다이어프램-시일링되거나 벨로우-시일링된 (bellow-sealed) 밸브들 및 ALD 밸브들, Swagelok로부터의 DP 시리즈 밸브들, 및 Fujikin으로부터의 MEGA 시리즈, Standard 시리즈 및 전기적으로 제어된 밸브들과 같은, 밸브 매니폴드들을 포함한다. 도 4는 기판 프로세스 스테이션을 위한 통상적인 전구체 전달 시스템의 개략적인 대표도이다.
도 4는 전구체 전달 시스템 (400) 을 도시한다. 도 4에서, 프로세스 가스 소스 (402) 는 플로우 경로 (404) 에 연결된다. 제 1 플로우 경로 (404) 는 2개의 부가적인 플로우 경로들: 샤워헤드 플로우 경로 (406) 및 방향전환 플로우 경로 (410) 에 연결된다. 샤워헤드 플로우 경로 (406) 는 샤워헤드 (408) 로 유도한다. 방향전환 플로우 경로 (410) 는 방향전환 덤프 (412) 로 유도한다.
샤워헤드 (408) 는 기판 프로세싱 챔버 내의 기판이 프로세스 가스로부터의 전구체를 사용하여 도즈되도록 기판 프로세싱 챔버 내로 프로세스 가스를 도입할 수도 있다. 특정한 구현예들에서, 샤워헤드는 프로세스 가스를 전달하기 위한 또 다른 기법을 사용하여 대체될 수도 있다. 특정한 구현예들에서, 기판 프로세싱 동안, 프로세스 가스는 제 1 플로우 경로 (404) 를 통해 연속적으로 흐를 수도 있다. 이러한 구현예들에서, 프로세스 가스는 샤워헤드 (408) 가 프로세스 가스를 수용할 준비가 되지 않았을 때 방향전환 덤프 (412) 로 흐를 수도 있다.
특정한 구현예들에서, 제 1 플로우 경로 (404) 로부터 샤워헤드 플로우 경로 (406) 내로의 프로세스 가스의 플로우는 샤워헤드 밸브 (414) 에 의해 제어된다. 제 1 플로우 경로 (404) 로부터 방향전환 플로우 경로 (410) 내로의 프로세스 가스의 플로우는 방향전환 밸브 (416) 에 의해 제어된다. 이러한 구현예들에서, 샤워헤드 밸브 (414) 및 방향전환 밸브 (416) 중 하나만이 임의의 일 시간에 개방될 수도 있다. 특정한 구현예에서, 개방-폐쇄 동작 동안, 밸브 (414) 또는 밸브 (416) 의 폐쇄 전의 짧은 시간 기간 동안 밸브들 양자가 개방 상태에 있는, 밸브들 (414 및 416) 간에 오버랩이 있을 수도 있다. 특정한 다른 구현예들에서, 샤워헤드 플로우 경로 (406) 또는 방향전환 밸브 (416) 내로의 프로세스 가스의 플로우의 제어는 단일 밸브 또는 복수의 밸브들에 의해 제어될 수도 있다. 단일 밸브를 갖는 구성들에서, 단일 밸브는 프로세스 가스로 하여금 샤워헤드 플로우 경로 (406) 또는 방향전환 밸브 (416) 중 하나 내로 번갈아 흐르게 할 수도 있다.
본 발명자들은 프로세싱된 기판들의 균일도를 개선하기 위해 도 4에 예시된 전구체 전달 시스템에 대한 개선들을 고안하였다. 다단계 전구체 전달 시스템들로 지칭될 수도 있는, 이러한 개선된 전구체 전달 시스템들은 기체-기반 전달 시스템들 및 액체 전달 시스템들 양자를 사용하여 구현될 수도 있다. 기체-기반 전달 시스템들은 전구체를 기화시키기 위해 앰플을 사용할 수도 있다. 액체 전달 시스템들은 전구체를 기화시키기 위해 기화기를 사용할 수도 있다. 도 5a는 기판 프로세싱 스테이션을 위한 다단계 전구체 전달 시스템의 구성의 개략적인 대표도이다.
도 5a의 다단계 전구체 전달 시스템 (500A) 은 제 1 플로우 경로 (504) 에 연결된 제 1 프로세스 가스 소스 (502) 및 제 2 플로우 경로 (520) 에 연결된 제 2 프로세스 가스 소스 (518) 를 포함한다. 특정한 구현예들에서, 제 1 프로세스 가스 소스 (502) 로부터의 제 1 프로세스 가스는 전구체 및/또는 캐리어 가스를 포함하는 프로세스 가스일 수도 있다. 부가적으로, 제 2 프로세스 가스 소스 (518) 로부터의 제 2 프로세스 가스는 전구체 및/또는 캐리어 가스를 포함하는 프로세스 가스일 수도 있다. 제 1 프로세스 가스 및 제 2 프로세스 가스로 사용된 전구체 및/또는 캐리어 가스는 유사하거나 상이할 수도 있다. 캐리어 가스는 아르곤, 질소 (N2), 산소 (O2), 아산화질소 (N2O), 헬륨, 다른 불활성 가스들 또는 이들 가스들의 혼합물일 수도 있다. 특정한 다른 구현예들에서, 캐리어 가스 소스는 제 1 플로우 경로 및/또는 제 2 플로우 경로에 연결된 다른 전구체 소스와 함께 제 1 플로우 경로와 제 2 플로우 경로 사이에서 공유될 수도 있다. 이러한 구현예들에서, 캐리어 가스 및 전구체는 샤워헤드로 들어가기 전에 어떤 지점에서 혼합될 수도 있다. 특정한 구현예들에서, 본 명세서에 기술된 도면들에서 단일 밸브들은 복수의 밸브들로 대체될 수도 있다.
제 1 플로우 경로 (504) 는 샤워헤드 플로우 경로 (506) 및 제 1 방향전환 플로우 경로 (510) 에 유체로 연통된다. 샤워헤드 플로우 경로 (506) 는 샤워헤드 (508) 로 유도되는 반면 제 1 방향전환 플로우 경로 (510) 는 제 1 방향전환 덤프 (512A) 로 유도된다. 제 1 플로우 경로 (504) 로부터 샤워헤드 플로우 경로 (506) 내로의 프로세스 가스의 플로우는 제 1 샤워헤드 밸브 (524) 에 의해 제어된다. 제 1 플로우 경로 (504) 로부터 제 1 방향전환 플로우 경로 (510) 내로의 프로세스 가스의 플로우는 제 1 방향전환 밸브 (526) 에 의해 제어된다. 특정한 구현예들에서, 제 1 샤워헤드 밸브 (524) 및 제 1 방향전환 밸브 (526) 중 하나만이 임의의 일 시간에 개방될 수도 있다. 부가적으로, 특정한 구현예들에서, 제 1 플로우 경로는 아마도 제 1 플로우 경로와 샤워헤드 사이에서 프로세스 가스의 플로우를 제어하는 제 1 샤워헤드 밸브를 사용하여, 샤워헤드에 직접적으로 연결될 수도 있다. 이러한 구현예들에서, 샤워헤드 플로우 경로는 없을 수도 있다.
제 2 플로우 경로 (520) 는 샤워헤드 플로우 경로 (506) 및 제 2 방향전환 플로우 경로 (522) 에 유체로 연통된다. 제 2 방향전환 플로우 경로 (522) 는 제 2 방향전환 덤프 (512B) 로 유도된다. 제 2 플로우 경로 (520) 로부터 샤워헤드 플로우 경로 (506) 내로의 프로세스 가스의 플로우는 제 2 샤워헤드 밸브 (528) 에 의해 제어된다. 제 2 플로우 경로 (520) 로부터 제 2 방향전환 플로우 경로 (522) 내로의 플로우는 제 2 방향전환 밸브 (530) 에 의해 제어된다. 특정한 구현예들에서, 제 2 샤워헤드 밸브 (528) 및 제 2 방향전환 밸브 (530) 중 하나만이 임의의 일 시간에 개방될 수도 있다.
특정한 구현예들에서, 다단계 전구체 전달 시스템 (500A) 은 본 개시의 다른 곳에 기술된 바와 같은 제어기에 의해 제어될 수도 있다. 특정한 구현예들에서, 다단계 전구체 전달 시스템 (500A) 은 나중의 시간 기간에 제 2 프로세스 가스 소스 (518) 로부터 샤워헤드 (508) 로 프로세스 가스를 전달하기 전에 제 1 프로세스 가스 소스 (502) 로부터 샤워헤드 (508) 로 먼저 프로세스 가스를 전달한다. 제 1 프로세스 가스의 전달 기간 및 제 2 프로세스 가스의 전달 기간은 오버랩할 수도 있다. 제 1 프로세스 가스의 전달 기간 및 제 2 프로세스 가스의 전달 기간의 타이밍은 본 개시의 다른 곳에서 보다 상세히 설명에 기술되었다.
도 5b는 기판 프로세스 스테이션을 위한 다단계 전구체 전달 시스템의 또 다른 구성의 개략적인 대표도이다. 다단계 전구체 전달 시스템 (500B) 은 전달 시스템 (500A) 과 구성이 유사하다. 다단계 전구체 전달 시스템 (500B) 에서, 제 1 샤워헤드 밸브 (524) 및 제 1 방향전환 밸브 (526) 는 제 1 플로우 경로 밸브 (532) 로 대체된다. 특정한 구현예들에서, 제 1 플로우 경로 밸브 (532) 는 제 1 플로우 경로 (504) 로부터 샤워헤드 플로우 경로 (506) 또는 제 1 방향전환 플로우 경로 (510) 를 향하여 프로세스 가스 플로우를 번갈아 지향시키도록 구성될 수도 있다.
부가적으로, 도 5a의 전달 시스템 (500A) 의 제 2 샤워헤드 밸브 (528) 및 제 2 방향전환 밸브 (530) 는 도 5b에서 다단계 전구체 전달 시스템 (500B) 의 제 2 플로우 경로 밸브 (534) 로 대체된다. 제 2 플로우 경로 밸브 (534) 는 제 1 플로우 경로 밸브 (532) 와 구성이 유사할 수도 있다. 특정한 구현예들에서, 제 2 플로우 경로 밸브 (534) 는 제 2 플로우 경로 (520) 로부터 샤워헤드 플로우 경로 (506) 또는 제 2 방향전환 플로우 경로 (522) 를 향하여 프로세스 가스 플로우를 번갈아 지향시킬 수도 있다.
도 5c는 기판 프로세스 스테이션을 위한 다단계 전구체 전달 시스템의 부가적인 대안적인 구성의 개략적인 대표도이다. 다단계 전구체 전달 시스템 (500C) 은 전달 시스템 (500A) 과 구성이 유사하다. 다단계 전구체 전달 시스템 (500C) 에서, 제 2 플로우 경로 (520) 는 제 1 플로우 경로 (504) 의 일부 내로 종단한다. 따라서, 제 1 샤워헤드 밸브 (524) 는 샤워헤드 (508) 로의 제 1 프로세스 가스 및 제 2 프로세스 가스 양자의 플로우를 제어할 수도 있다. 이러한 구성은 제 1 프로세스 가스 및 제 2 프로세스 가스가 동시에 샤워헤드로 흐르는 것을 중단하도록 타이밍될 때 사용될 수도 있다. 이러한 경우들에서, 제 1 샤워헤드 밸브 (524) 는 두 프로세스 가스들의 플로우를 동시에 셧 오프 (shut off) 할 수도 있다.
다단계 전구체 전달 시스템은 제 1 프로세스 가스의 전달을 보완하도록 (complement) 제 2 프로세스 가스의 전달을 허용할 수도 있다. 본 발명자들은 프로세스 가스의 전달에 부가적인 제 2 프로세스 가스를 부가하는 것이 프로세싱된 기판들의 균일도의 개선을 발생시킬 수도 있다는 것을 인식하였다. 도 6a는 다단계 전구체 전달 시스템을 사용하는 증착 프로세스의 동작들의 예시적인 시퀀스의 흐름도이다. 도 6a에 예시된 예시적인 시퀀스는 프로세싱된 기판들의 개선된 균일도를 발생시킬 있고 기판 프로세싱 장치의 일부로서 도 5a 내지 도 5c의 다단계 전구체 전달 시스템들 중 어느 하나를 사용하여 수행될 수도 있다. 도 6a에서 개요화된 시퀀스의 일부들은 도 5a의 다단계 전구체 전달 시스템 (500A) 을 참조하는 예들을 사용하여 예시될 것이다.
특정한 구현예들에서, 프로세스 가스 소스들로부터의 제 1 프로세스 가스 및/또는 제 2 프로세스 가스의 플로우는 MFC (mass flow controller) 에 의해 제어될 수도 있다. 특정한 구현예들에서 MFC는 프로세스 가스의 플로우의 체적이 변화될 때 준비 기간 (lead time) 을 가질 수도 있다. 이러한 구현예들에서, 전구체 전달 시스템은 프로세스 가스 또는 가스들로 하여금 기판 프로세싱 동안 연속적으로 흐르게 하고 프로세스 가스 또는 가스들이 플로우 경로들 내의 밸브들의 액추에이션을 통해 샤워헤드 내로 흐르는지 여부를 제어하게 한다.
블록 602에서, 도 5a의 제 1 플로우 경로 (504) 와 같은 제 1 플로우 경로에 유체적으로 연통되고, 제 1 플로우 경로로부터 샤워헤드로의 플로우를 조절하도록 설계된 밸브 또는 복수의 밸브들은 "플로우 오프 (flow off)" 구성으로 설정된다. "플로우 오프" 구성은 프로세스 가스들이 샤워헤드에 도달하는 것을 방지하는 밸브(들)의 구성일 수도 있다. 도 5a의 다단계 전구체 전달 시스템 (500A) 의 예를 사용하기 위해, 밸브들의 "플로우 오프" 구성은 폐쇄된 구성의 제 1 샤워헤드 밸브 (524) 를 가질 수도 있고, 따라서, 제 1 프로세스 가스가 제 1 프로세스 가스 소스 (502) 로부터 샤워헤드 (508) 내로 흐르는 것을 방지한다. 특정한 구현예들에서, 제 1 방향전환 밸브 (526), 또는 프로세스 가스를 방향전환 덤프 또는 진공으로 지향시킬 수도 있는 다른 밸브들은 제 1 프로세스 가스로 하여금 제 1 프로세스 가스가 샤워헤드 (508) 에 도달하는 것이 방지될 때 덤프 또는 진공으로 방향전환되게 할 수도 있다. 이러한 구성은 제 1 프로세스 가스로 하여금 계속해서 흐르게 하고, 제 1 샤워헤드 밸브 (524) 가 폐쇄된 동안 프로세스 가스로 제 1 플로우 경로 (504) 를 채우게 할 것이다.
블록 604에서, 제 1 프로세스 가스는 도 5a의 제 1 프로세스 가스 소스 (502) 와 같은 제 1 프로세스 가스 소스로부터 제 1 플로우 경로를 통해 흐른다. 블록 604에서, 제 1 플로우 경로 밸브(들)는 여전히 제 1 프로세스 가스가 샤워헤드에 도달하는 것을 방지하도록 설계된 "플로우 오프" 구성에 있다.
블록 606에서, 도 5a의 제 2 플로우 경로 (520) 와 같은 제 2 플로우 경로에 유체로 연통하고 제 2 플로우 경로로부터 샤워헤드로의 플로우를 조정하도록 설계된 밸브 또는 복수의 밸브들은 블록 602에 대해 기술된 "플로우 오프" 구성과 유사한 "플로우 오프" 구성으로 설정된다. 블록 608에서, 이어서 제 2 프로세스 가스가 도 5a의 제 2 프로세스 가스 소스 (518) 와 같은 제 2 프로세스 가스 소스로부터 제 2 플로우 경로를 통해 흐른다. 블록 608에서, 제 2 플로우 경로 밸브(들)는 여전히 제 2 프로세스 가스가 샤워헤드에 도달하는 것을 방지하도록 설계된 "플로우 오프" 구성에 있다.
블록 610에 앞서, 블록 602에서 기술된 밸브(들)는 "플로우 온 (flow on)" 구성으로 설정될 수도 있다. "플로우 온" 구성은 프로세스 가스로 하여금 샤워헤드에 도달하게 하는 밸브(들)의 구성일 수도 있다. 이어서 샤워헤드는 프로세스 가스를 기판 프로세싱 챔버로 분배할 수도 있다. 도 5a의 다단계 전구체 전달 시스템 (500A) 의 예로 돌아가서, 밸브들의 "플로우 온" 구성은 제 1 프로세스 가스로 하여금 제 1 프로세스 가스 소스 (502) 로부터 샤워헤드 (508) 내로 흐르게 하는 개방 구성의 제 1 샤워헤드 밸브 (524) 를 가질 수도 있다. 이러한 구성의 제 1 방향전환 밸브 (526) 는 제 1 프로세스 가스가 제 1 플로우 경로를 통해 방향전환 덤프 또는 진공에 도달하는 것을 방지하여, 제 1 프로세스 가스 소스에 의해 제공된 모든 제 1 프로세스 가스로 하여금 샤워헤드에 도달하게 하는 폐쇄된 구성에 있을 수도 있다.
블록 610 후에 발생하는, 블록 612에서, 블록 606에서 기술된 밸브(들)는 "플로우 온" 구성으로 설정될 수도 있다. 블록 612의 "플로우 온" 구성은 블록 610에 기술된 "플로우 온" 구성과 유사할 수도 있다. 블록 612의 밸브(들)가 "플로우 온" 구성으로 설정될 때, 이어서 제 2 프로세스 가스는 샤워헤드 내로 흐를 수도 있다. 제 1 프로세스 가스 및 제 2 프로세스 가스 양자가 샤워헤드를 통해 흐를 때, 블록 612에서 샤워헤드를 통한 총 프로세스 가스들의 체적 플로우는, 블록 610에서 제 1 프로세스 가스만이 샤워헤드를 통해 흐를 때의 체적 플로우보다 보다 높을 수도 있다. 특정한 구현예들에서, 제 2 프로세스 가스는 캐리어 가스일 수도 있다. 이러한 구현예들에서, 제 2 프로세스 가스의 플로우의 샤워헤드 내로의 도입은 프로세싱된 기판들의 개선된 균일도를 발생시킬 수도 있다.
블록 610 및 블록 612에서 제 1 프로세스 가스 및 제 2 프로세스 가스 양자가 샤워헤드 내로 흐른 후, 블록 602 및 블록 606에서 기술된 플로우 경로 1 및 플로우 경로 2의 밸브(들)는 "플로우 오프" 구성으로 돌아갈 수도 있다. 따라서, 밸브(들)가 "플로우 오프" 구성으로 돌아간 후, 제 1 프로세스 가스 및 제 2 프로세스 가스는 샤워헤드 내로 흐르지 않을 수도 있다. 블록 610 및 블록 612는 증착 사이클의 도즈 페이즈 동안 발생할 수도 있다. 블록 614는 증착 사이클의 도즈 페이즈의 거의 끝에 발생할 수도 있다.
블록 614에서 밸브들이 "플로우 오프" 구성으로 설정된 후, 나머지 증착 사이클이 수행될 수도 있다. 나머지 증착 사이클이 수행된 후, 이어서 시퀀스는 또 다른 증착 사이클에 대한 또 다른 도즈 페이즈를 수행하도록 블록 610으로 돌아갈 수도 있다. 목표된 수의 증착 사이클들이 기판을 프로세싱하기 위해 수행될 때까지 복수의 증착 사이클들이 수행될 수도 있다.
도 6b는 다단계 전구체 전달 시스템을 사용하는 증착 프로세스의 동작들의 또 다른 예시적인 시퀀스의 흐름도이다. 도 6b에 예시된 시퀀스는 도 6a에 예시된 시퀀스와 유사하다. 그러나, 도 6a는 제 1 플로우 경로 및 제 2 플로우 경로로부터 샤워헤드 내로의 프로세스 가스들의 플로우를 조절하는 두 밸브들이 거의 동시에 "플로우 오프" 구성으로 돌아가지만, 도 6b에 예시된 시퀀스는 블록 614A에 도시된 바와 같이, 제 1 시간 기간에 제 1 플로우 경로로부터 샤워헤드로의 제 1 프로세스 가스의 플로우를 제어하는 밸브(들)가 "플로우 오프" 구성으로 돌아간다. 제 1 시간 기간 후에, 이어서 제 2 플로우 경로로부터 샤워헤드로의 제 2 프로세스 가스의 플로우를 제어하는 밸브(들)가 블록 614B에서 "플로우 오프" 구성으로 돌아간다.
도 6b에 예시된 시퀀스에서, 제 2 프로세스 가스는 제 1 프로세스 가스가 샤워헤드를 통한 흐름을 중단한 후 샤워헤드를 통해 흐르는 것을 계속할 수도 있다. 이러한 시퀀스는, 전구체를 갖지 않는 캐리어 가스일 수도 있는, 제 2 프로세스 가스로 하여금, 전구체가 샤워헤드 플로우 경로들을 통해 흐른 후 샤워헤드 내의 플로우 경로들을 퍼지하게 할 수도 있다. 제 1 프로세스 가스의 플로우가 종료된 후제 2 프로세스 가스를 계속해서 흐르게 하는 것은, 일부 구현예들에서, 프로세싱된 기판들의 균일도를 상승시킨다.
도 6c는 다단계 전구체 전달 시스템을 사용하는 증착 프로세스의 동작들의 부가적인 예시적인 시퀀스의 흐름도이다. 도 6c에 예시된 시퀀스는 도 6a에 예시된 시퀀스와 유사하다. 그러나, 도 6a는 제 1 플로우 경로 및 제 2 플로우 경로로부터 샤워헤드 내로의 프로세스 가스들의 플로우를 조절하는 두 밸브들이 거의 동시에 "플로우 오프" 구성으로 돌아가지만, 도 6c에 예시된 시퀀스는 블록 614C에 도시된 바와 같이, 제 1 시간 기간에 제 2 플로우 경로로부터 샤워헤드로의 제 2 프로세스 가스의 플로우를 제어하는 밸브(들)가 "플로우 오프" 구성으로 돌아간다. 제 1 시간 기간 후에, 블록 614D에서 이어서 제 1 플로우 경로로부터 샤워헤드로의 제 1 프로세스 가스의 플로우를 제어하는 밸브(들)가 "플로우 오프" 구성으로 돌아간다. 특정한 구현예들에서, 제 2 프로세스 가스가 흐르는 것을 정지한 후 제 1 프로세스 가스를 계속해서 흐르게 하는 것은 프로세싱된 기판들의 균일도를 상승시킬 수도 있다.
도 6a에 기술된 시퀀스는 보다 양호한 이해를 위해 예시될 수도 있다. 도 7a는 도 5a의 다단계 전구체 전달 시스템을 사용하는 전구체 전달 동작들의 시퀀스의 단계를 도시한다. 도 7a 내지 도 7d에 예시된 시퀀스는 도 6a에 기술된 시퀀스이다. 다른 구현예들은 도 6a에 기술된 시퀀스를 수행하기 위해 도 5b 및 도 5c에 기술된 다단계 전구체 전달 시스템들을 사용할 수도 있다.
도 7a 내지 도 7d에서, 도 5a의 전구체 전달 시스템의 플로우 경로들은 실선 및 파선을 사용하여 예시되었다. 실선으로 도시된 플로우 경로들은 이들을 통한 프로세스 가스 플로우가 있는 플로우 경로들이다. 점선들로 도시된 플로우 경로들은 이들을 통한 프로세스 가스 플로우가 없거나 최소인 플로우 경로들이다. 꽉찬 검정색으로 도시된 밸브들은 밸브를 통한 플로우를 허용하지 않도록 설계된 "오프" 구성의 밸브들이다. 백색으로 채워진 검정색 윤곽으로 도시된 밸브들은 밸브를 통한 플로우를 허용하도록 설계된 "온" 구성의 밸브들이다.
도 6a의 블록들 602 내지 608에 대응하는, 도 7a에서, 다단계 전구체 전달 시스템 (700) 은 "오프" 구성의 제 1 샤워헤드 밸브 (724) 및 제 2 샤워헤드 밸브 (728) 와 "온" 구성의 제 1 방향전환 밸브 (726) 및 제 2 방향전환 밸브 (730) 를 갖는다. 결과적으로, 제 1 프로세스 가스는 제 1 플로우 경로 (704) 를 경유하여 제 1 방향전환 밸브 (726) 를 통해 그리고 제 1 방향전환 플로우 경로 (710) 를 경유하여 제 1 방향전환 덤프 (712A) 로 흐른다. 제 2 프로세스 가스는 제 2 플로우 경로 (720) 를 경유하여 제 2 방향전환 밸브 (730) 를 통해 그리고 제 2 방향전환 플로우 경로 (722) 를 경유하여 제 2 방향전환 덤프 (712B) 로 흐른다. 이러한 구성에서, 제 1 프로세스 가스 및 제 2 프로세스 가스는 여전히 제 1 플로우 경로 및 제 2 플로우 경로를 통해 각각 흐르게 되지만, 제 1 프로세스 가스 및 제 2 프로세스 가스는 방향전환 덤프들로 방향전환되고 기판 프로세싱 챔버 내로 방향전환되지 않는다.
제 1 샤워헤드 밸브 (724) 및 제 2 샤워헤드 밸브 (728) 는 "오프" 구성에 있기 때문에, 샤워헤드 플로우 경로 (706) 를 통한 제 1 프로세스 가스 또는 제 2 프로세스 가스의 플로우는 없거나 최소이다. 따라서, 샤워헤드 (708) 를 통한 프로세스 가스의 플로우는 없거나 최소이다.
도 7b는 도 5a의 다단계 전구체 전달 시스템을 사용하는 전구체 전달 동작들의 시퀀스의 부가적인 단계를 도시한다. 도 7b는 도 6a의 블록 610에 대응한다.
도 7b에서, 제 1 샤워헤드 밸브 (724) 는 제 1 프로세스 가스로 하여금 기판 프로세싱 챔버 내로 분배되게 하기 위해, 제 1 플로우 경로 (704) 로부터 샤워헤드 플로우 경로 (706) 내로 이어서 샤워헤드 (708) 내로 흐르게 하도록 도 7a의 "오프" 구성으로부터 도 7b에 도시된 바와 같은 "온" 구성으로 스위칭된다. 따라서, 샤워헤드 플로우 경로 (706) 는 도 7b에서 샤워헤드 플로우 경로 (706) 를 통한 프로세스 가스 플로우가 있다는 것을 나타낸다.
부가적으로, 제 1 방향전환 밸브 (726) 는 제 1 플로우 경로 (704) 로 흐르는 제 1 프로세스 가스가 제 1 방향전환 덤프 (712A) 로 방향전환되는 것을 방지하도록 "오프" 구성으로 스위칭된다. 따라서, 제 1 방향전환 플로우 경로 (710) 는 도 7b에서 프로세스 가스 플로우가 없는 것을 나타낸다.
도 7b에서, 제 2 샤워헤드 밸브 (728) 는 여전히 "오프" 구성에 있고 제 2 방향전환 밸브 (730) 는 여전히 "온" 구성에 있다. 따라서, 제 2 프로세스 가스의 플로우는 도 7a로부터 변화되지 않는다.
도 7c는 도 5a의 다단계 전구체 전달 시스템을 사용하는 전구체 전달 동작들의 시퀀스의 또 다른 단계를 도시한다. 도 7c는 도 6a의 블록 612에 대응한다.
도 7c에서, 제 2 샤워헤드 밸브 (728) 는 제 2 프로세스 가스로 하여금, 기판 프로세싱 챔버 내로 분배되게 하기 위해, 제 2 플로우 경로 (720) 로부터 샤워헤드 플로우 경로 (706) 내로 이어서 샤워헤드 (708) 내로 흐르게 하도록 도 7a 및 도 7b의 "오프" 구성으로부터 도 7c에 도시된 바와 같이 "온" 구성으로 스위칭된다. 도 7c에 도시된 구현예에서, 제 1 프로세스 가스 및 제 2 프로세스 가스는 샤워헤드 플로우 경로 (706) 및/또는 샤워헤드 (708) 에서 혼합될 수도 있다.
부가적으로, 제 2 방향전환 밸브 (730) 는 제 2 플로우 경로 (720) 로 흐르는 제 2 프로세스 가스가 제 2 방향전환 덤프 (712B) 로 방향전환되는 것을 방지하도록 "오프" 구성으로 스위칭된다. 따라서, 제 2 방향전환 플로우 경로 (722) 는 도 7c에서 프로세스 가스 플로우가 없다는 것을 나타낸다.
도 7d는 도 5a의 다단계 전구체 전달 시스템을 사용하는 전구체 전달 동작들의 시퀀스의 다른 단계를 도시한다. 도 7d는 도 6a의 블록 614에 대응한다.
도 7d에서, 다단계 전구체 전달 시스템 (700) 의 밸브들은 도 7a에 도시된 구성으로 돌아간다. 따라서, 제 1 프로세스 가스 및 제 2 프로세스 가스는 이제 제 1 방향전환 덤프 (712A) 및 방향전환 덤프 (712B) 로 각각 흐른다. 샤워헤드 플로우 경로 (706) 내로 흐르는 프로세스 가스의 양은 없거나 최소이다.
도 7a 내지 도 7d는 다단계 전구체 전달 시스템을 활용하는 시퀀스의 예시적인 예들이다. 다른 구현예들은 밸브들의 상이한 개폐 타이밍을 활용할 수도 있고 다단계 전구체 전달 시스템 내로의 상이한 구조들 및 수의 밸브들, 플로우 경로들, 및 다른 컴포넌트들을 가질 수도 있다.
도 8은 증착 프로세스들 동안 다단계 전구체 전달 시스템을 사용하여 전구체를 흘리기 위한 동작들의 기본 시퀀스를 도시하는 차트이다. 도 8에 도시된 시퀀스는 도 6b에 도시된 시퀀스에 대응한다.
도 8은 2개의 차트들을 도시한다. 상단 차트는 제 1 플로우 경로 및 제 2 플로우 경로를 제어하는 밸브들의 밸브 타이밍을 도시한다. 도 8은 제 1 프로세스 가스 및 제 2 프로세스 가스와 연관된 캐리어 가스들의 플로우를 도시한다. 도 8은 제 1 프로세스 가스 및 제 2 프로세스 가스에 의해 반송된 전구체의 플로우는 도시하지 않지만, 특정한 구현예들에서, 제 1 캐리어 가스 및/또는 제 2 캐리어 가스는 플로우 내에 전구체도 반송할 수도 있다. 차트의 x-축은 초 단위의 경과된 시간에 대응한다. 차트의 y-축은 캐리어 가스 플로우에 대응한다.
상단 차트는 밸브를 통한 샤워헤드 내로의 제 1 캐리어 가스 및 제 2 캐리어 가스 (각각 CG1 및 CG2로 라벨링됨) 의 플로우를 도시함으로써 밸브들의 밸브 타이밍을 예시한다. 제 1 프로세스 가스의 플로우를 제어하는 밸브와 같은, 밸브가 "플로우 오프" 구성에 있을 때, 차트는, 차트 하단부의 0으로 나타낸 대응하는 프로세스 가스, 이 경우 제 1 프로세스 가스의 플로우를 도시할 수도 있다. 이러한 상황은 예를 들어, 제 1 캐리어 가스 (CG1) 에 대해 약 0 내지 0.2 초의 시간 기간으로 도시된다. 밸브가 "플로우 온" 구성에 있을 때, 차트는 차트의 상단부에 보다 가까운 값으로서 대응하는 캐리어 가스의 플로우를 도시할 수도 있다. 이러한 상황은 예를 들어, 제 1 캐리어 가스에 대해 대략 0.2 내지 0.35 초의 시간 기간으로 도시된다.
하단 차트는 샤워헤드를 통한 총 캐리어 가스 플로우를 예시한다. 두 밸브들이 "플로우 오프" 구성에 있을 때, 차트는 차트의 하단부의 0으로 나타낸 총 캐리어 가스의 플로우를 도시할 수도 있다. 밸브들 중 어느 하나 또는 모두가 "플로우 온" 구성에 있을 때, 차트는 0이 아닌 값으로 총 캐리어 가스 플로우의 플로우를 도시할 수도 있다. 이러한 상황은 예를 들어, 총 캐리어 가스 플로우에 대해 대략 0.2 내지 0.36 초의 시간 기간으로 도시된다. 하단 차트에서 총 캐리어 가스 플로우는 동시에 상단 차트로부터 제 1 캐리어 가스 및 제 2 캐리어 가스의 플로우의 합이다.
0 내지 약 0.7 초의 시간 기간은 예시적인 증착 사이클을 예시한다. 이 시간 기간에서, 증착 사이클의 도즈 단계는 대략 0.2 내지 0.36 초의 기간에 수행된다. 이 기간 전에, 0 내지 대략 0.2 초의 기간에 제 1 캐리어 가스 및 제 2 캐리어 가스의 플로우를 제어하는 두 밸브들은 "플로우 오프" 구성에 있다.
대략 0.2 초에서, 샤워헤드로의 제 1 캐리어 가스의 플로우를 제어하는 밸브는 "플로우 온" 구성으로 스위칭되고 제 1 캐리어 가스 (제 1 캐리어 가스에 의해 반송되는 임의의 전구체와 함께) 는 제 1 기판 프로세싱 챔버 내에 위치된 기판에 분배되도록 샤워헤드 내로 흐를 수도 있다. 0.2 초의 기간은 예를 들어, 도 6b의 블록 610에 대응할 수도 있다.
대략 0.2 내지 0.25 초의 기간 사이에, 제 1 캐리어 가스는 샤워헤드 내로 흐를 수도 있지만, 제 2 캐리어 가스는 샤워헤드 내로 흐르지 않을 수도 있다. 일부 구현예들에서, 제 2 캐리어 가스는 덤프 또는 진공 내로 흐를 수도 있다.
대략 0.25 초에서, 샤워헤드로의 제 2 캐리어 가스의 플로우를 제어하는 밸브는 "플로우 온" 구성으로 스위칭된다. 이어서 제 2 캐리어 가스는 제 1 캐리어 가스와 혼합되고 제 1 기판 프로세싱 챔버 내에 위치된 기판으로 분배되도록 임의의 샤워헤드 플로우 경로 및 샤워헤드 내로 흐를 수도 있다. 0.25 초에서, 샤워헤드 내로의 제 2 캐리어 가스의 부가적인 플로우는 샤워헤드를 통한 캐리어 가스의 플로우의 대략 2배이다. 다른 구현예들에서, 제 1 캐리어 가스 플로우는 0.3 slm (standard liters per min) 내지 20 slm의 범위일 수 있고 제 2 캐리어 가스 플로우는 0.3 slm 내지 20 slm의 범위일 수 있다. 0.25 초의 기간은 도 6b의 블록 612에 대응할 수도 있다.
대략 0.25 내지 0.35 초의 기간 사이에, 제 1 캐리어 가스 및 제 2 캐리어 가스는 샤워헤드 내로 흐를 수도 있다. 대략 0.35 초에서, 샤워헤드로의 제 1 캐리어 가스의 플로우를 제어하는 밸브는 "플로우 오프" 구성으로 스위칭되고 제 1 캐리어 가스는 샤워헤드 내로 흐르는 것을 정지한다. 그러나, 제 2 캐리어 가스는 여전히 샤워헤드로 흐른다. 0.35 초의 기간은 도 6b의 블록 614A에 대응할 수도 있다.
대략 0.36 초에서, 샤워헤드로의 제 2 캐리어 가스의 플로우를 제어하는 밸브는 "플로우 오프" 구성으로 스위칭되고 제 2 캐리어 가스는 샤워헤드 내로 흐르는 것을 정지한다. 따라서, 이 때, 캐리어 가스는 샤워헤드 내로 흐르지 않는다. 제 2 캐리어 가스 플로우는 예를 들어, 제 1 캐리어 가스의 플로우에 의해 증착된 임의의 전구체의 샤워헤드 및/또는 기판 프로세싱 챔버를 퍼지하기 위해 제 1 캐리어 가스보다 약간 늦게 정지할 수도 있다. 0.36 초의 기간은 예를 들어, 도 6b의 블록 614B에 대응할 수도 있다.
0.36 초 후 그리고 나머지 증착 사이클 동안, 캐리어 가스들의 플로우를 제어하는 밸브들은 "플로우 오프" 구성에 있고 샤워헤드 내로 캐리어 가스는 흐르지 않거나 최소의 캐리어 가스가 흐른다.
특정한 도면들에서 본 명세서에 기술된 기법들 및 장치는 2개의 상이한 시간 인터벌들에 프로세스 가스 및/또는 캐리어 가스를 도입하기 위한 밸브들을 갖는 다단계 전구체 전달 시스템을 도시할 수도 있다. 그러나 특정한 구현예들에서, 기법들 및/또는 장치는 제 3, 제 4, 제 5 등의 프로세스 가스 및/또는 캐리어 가스를 다른 시점들 또는 동시에 도입하도록 구성될 수도 있다. 제 3, 제 4, 제 5 등의 프로세스 가스 및/또는 캐리어 가스의 도입은 본 명세서에 기술된 임의의 장치에 대해 유사한 타이밍 및/또는 밸브 구성들을 활용할 수도 있다.
도 9a는 다양한 전구체 전달 구성들을 사용하여 프로세싱된 예시적인 웨이퍼들의 웨이퍼 불균일도를 도시하는 차트이다. 도 9a는 프로세스 가스 전달의 다양한 기법들에 따라 프로세싱된 4개의 기판들의 두께를 도시한다.
도 9a뿐만 아니라 도 9b 및 도 9c에서, x-축은 그래프의 최좌측 지점에서 중앙으로부터 -150 ㎜, 그래프의 중간에서 중앙, 0, 그리고 그래프의 최우측 지점에서 중앙으로부터 150 ㎜ 떨어진 방사상 거리를 도시한다. y-축은 기판의 중앙에서 1.00이 되는 두께 및 기판의 중앙에서의 두께보다 보다 두꺼운 1.00보다 큰 두께가 되는 값들 및 기판의 중앙에서의 두께보다 보다 얇은 1.00보다 작은 두께가 되는 값들을 갖는 프로세싱된 기판의 정규화된 두께를 도시한다.
도 9a는 1600 W 기판 프로세싱 동작에 따라 프로세싱된, 4개의 상이한 웨이퍼들, 웨이퍼 902 내지 908의 두께를 도시한다. 웨이퍼 902를 위한 프로세스 가스는 프로세스 가스의 6 slm의 플로우 레이트로 1단계에서 전달되었다. 그래프에 도시된 바와 같이, 발생된 웨이퍼 902는 두께가 감소하기 시작할 때, 중앙으로부터 거의 +/- 70 ㎜까지 중앙으로부터 멀어지게 두께가 증가하는 기판을 갖는, "M" 형 두께 프로파일을 갖는다.
웨이퍼 904는 처음 3 slm의 프로세스 가스가 전달되고, 이어서 나중의 기간에 추가 3 slm의 프로세스 가스가 처음 3 slm의 프로세스 가스에 추가되는 2단계 프로세스 가스 전달 기법을 사용하여 프로세싱된다. 웨이퍼 904의 두께 프로파일은 여전히 "M" 형 프로파일이지만, "M"의 피크들은 웨이퍼 902의 피크들보다 훨씬 보다 낮다. 대체로, 웨이퍼 904가 웨이퍼 902보다 보다 균일하다.
웨이퍼 906는 처음 6 slm의 프로세스 가스가 전달되고, 이어서 나중의 기간에 추가 3 slm의 프로세스 가스가 처음 6 slm의 프로세스 가스에 추가되는 2단계 프로세스 가스 전달 기법을 사용하여 프로세싱된다. 웨이퍼 906의 두께 프로파일은 중앙에서 저점을 갖고 에지들에서 고점들을 갖는 "V" 형이다. 웨이퍼 906의 고점들은 웨이퍼 902 및 웨이퍼 904의 고점들보다 훨씬 보다 낮다. 따라서, 웨이퍼 906은 웨이퍼 902 또는 웨이퍼 904보다 보다 균일하다.
웨이퍼 908는 처음 6 slm의 프로세스 가스가 전달되고, 이어서 나중의 기간에 추가 6 slm의 프로세스 가스가 처음 6 slm의 프로세스 가스에 추가되는 2단계 프로세스 가스 전달 기법을 사용하여 프로세싱된다. 웨이퍼 908의 두께 프로파일 및 균일도는 웨이퍼 906와 유사하다. 따라서, 이 구현예에서, 프로세스 가스 전달의 두번째 스테이지의 도입은 보다 균일한 프로세싱된 기판들을 발생시킨다고 드러났다.
도 9b는 다양한 전구체 전달 구성들을 사용하여 프로세싱된 예시적인 웨이퍼들의 다양한 웨이퍼 균일도를 도시하는 부가적인 차트이다. 도 9b는 1600 W 기판 프로세싱 동작에 따라 프로세싱된 4개의 웨이퍼들, 웨이퍼들 910 내지 916의 두께를 도시한다.
웨이퍼 910은 9.5 slm의 프로세스 가스의 1단계 프로세스 가스 전달을 사용하여 프로세싱되었다. 웨이퍼 910은 도 9a의 웨이퍼 902와 유사한 "M" 형 두께 프로파일을 갖는다. 그러나, 웨이퍼 910의 기판 두께는, 아마도 도 9a의 웨이퍼 902에 대해 전달된 프로세스 가스의 플로우 레이트와 비교하여 웨이퍼 910에 대해 전달된 프로세스 가스의 보다 높은 플로우 레이트로 인해, 기판의 에지들 근방에서 수평이 된다 (levels off). 그럼에도 불구하고, 웨이퍼 910는 또한 매우 균일하지는 않다.
웨이퍼 912는 처음 6 slm의 프로세스 가스가 전달되고, 이어서 나중의 기간에 추가 3 slm의 프로세스 가스가 처음 6 slm의 프로세스 가스에 추가되는 2단계 프로세스 가스 전달 기법을 사용하여 프로세싱된다. 웨이퍼 912의 두께 프로파일은 중앙에서 저점을 갖고 에지들에서 고점들을 갖는 "V" 형이다. 웨이퍼 912는 웨이퍼 910보다 보다 균일하다.
웨이퍼 914는 처음 9.5 slm의 프로세스 가스가 전달되고, 이어서 나중의 기간에 추가 9 slm의 프로세스 가스가 처음 9.5 slm의 프로세스 가스에 추가되는 2단계 프로세스 가스 전달 기법을 사용하여 프로세싱된다. 웨이퍼 914의 두께 프로파일은 "V" 형이고 중앙에서 저점을 갖고 에지들에서 고점들을 갖는 웨이퍼 912의 두께 프로파일과 유사하다. 그러나, 웨이퍼 914의 두께 프로파일은, 나중에 기판의 에지들로 두께가 증가하기 전에 중앙으로부터 멀어지는 약 1/2 반경까지 상당히 편평하다.
웨이퍼 916은 처음 6 slm의 프로세스 가스가 전달되고, 이어서 나중의 기간에 추가 6 slm의 프로세스 가스가 처음 6 slm의 프로세스 가스에 추가되는 2단계 프로세스 가스 전달 기법을 사용하여 프로세싱된다. 웨이퍼 916의 두께 프로파일 및 균일도는 웨이퍼 912 및 웨이퍼 914의 두께 프로파일과 유사하다. 웨이퍼들 912 내지 916의 두께 프로파일들은 프로세스 가스 전달의 두번째 스테이지의 도입은 보다 균일한 프로세싱된 기판들을 발생시킬 수도 있다는 것을 나타낸다. 부가적으로, 웨이퍼들 912 내지 916의 두께 프로파일들은 제 1 스테이지 및/또는 제 2 스테이지에서 전달된 프로세스 가스의 볼륨을 캘리브레이팅하는 것이 훨씬 보다 균일한 두께 프로파일들을 발생시킬 수도 있다는 것을 암시할 수도 있다.
도 9c는 다양한 전구체 전달 구성들을 사용하여 프로세싱된 예시적인 웨이퍼들의 다양한 웨이퍼 균일도를 도시하는 또 다른 차트이다. 도 9c는 500 W 기판 프로세싱 동작에 따라 프로세싱된, 3개의 상이한 웨이퍼들, 웨이퍼들 918 내지 922의 두께를 도시한다.
웨이퍼 918은 3 slm의 프로세스 가스의 1단계 전달로 프로세싱되었다. 웨이퍼 918은 도 9a의 웨이퍼 902와 유사한 "M" 형 두께 프로파일을 갖는다. 웨이퍼 920은 또한 웨이퍼 918에 대해 사용된 프로세스 가스의 2배인 6 slm의 프로세스 가스가 전달되는 1단계 프로세스 가스 전달 기법을 사용한다. 웨이퍼 920는 또한 "M" 형 두께 프로파일을 갖지만, 웨이퍼 920의 두께 프로파일의 피크는 웨이퍼 918의 두께 프로파일의 피크보다 보다 낮다.
웨이퍼 922는 처음 3 slm의 프로세스 가스가 전달되고, 이어서 나중의 기간에 추가 3 slm의 프로세스 가스가 처음 3 slm의 프로세스 가스에 추가되는 2단계 프로세스 가스 전달 기법을 사용하여 프로세싱된다. 따라서, 웨이퍼 922는 프로세스 가스 전달의 제 2 스테이지 동안 총 6 slm의 프로세스 가스가 전달된다. 웨이퍼 922의 두께 프로파일은 웨이퍼 920의 두께 프로파일과 유사하지만, 웨이퍼 920의 두께 프로파일보다 보다 낮은 피크를 갖는다. 따라서, 1 스테이지 대신 2 스테이지에 걸쳐 프로세스 가스를 전달하는 것은, 사이클 동안 전달된 총 프로세스 가스가 2 스테이지 구현예 동안 적은 경우 (웨이퍼 922가 시작부터 전체 6 slm이 아니라 제 1 스테이지에서 처음 3 slm의 프로세스 가스 전달을 경험하는 것과 같이) 에도 보다 균일한 프로세싱된 기판을 발생시킬 수도 있다.
본 명세서에서 상기 기술된 장치/프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널 등의 제조 또는 제작을 위한 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공동 제조 설비 내에서 함께 사용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로 단계들 각각이 다수의 가능한 툴들을 사용하여 인에이블되는, 이하의 단계들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 단계; (2) 핫 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스레지스트를 패터닝하도록 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 그 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계의 일부 또는 전부를 포함한다.
특정한 기술된 구현예들 중 임의의 것에서의 특징들이 서로 양립가능하지 않다고 명시적으로 특정되지 않는 이상 또는 주변 문맥이 이들이 상호 배타적이며 상보적 및/또는 지지적 방식으로 용이하게 조합될 수 없다고 암시하지 않는 이상, 본 개시의 전체내용은 이들 상보적인 구현예들의 특정 특징들이 하나 이상의 포괄적이지만 근소하게 상이한 기술적 해법들을 제공하도록 선택적으로 결합될 수 있다는 것을 고려 및 구상한다는 것이 또한 이해될 것이다. 따라서, 상기 기술은 오직 예시적으로 주어진 것이며 세부사항에서의 수정들이 본 개시의 범위 내에서 이루어질 수도 있다는 것이 또한 이해되어야 한다.

Claims (29)

  1. 증착 프로세스 동안 기판으로의 전구체 도즈를 제어하는 방법에 있어서,
    상기 방법은,
    (a) ALD 증착 사이클의 도즈 페이즈의 제 1 기간 동안 상기 기판으로 제 1 프로세스 가스를 흘리는 단계로서, 상기 제 1 프로세스 가스는 제 1 캐리어 가스 및 상기 전구체를 포함하는, 상기 제 1 프로세스 가스를 흘리는 단계;
    (b) 상기 ALD 증착 사이클의 상기 도즈 페이즈의 제 2 기간 동안 상기 기판으로 제 2 프로세스 가스를 흘리는 단계로서, 상기 제 2 기간은 상기 제 1 기간이 시작한 후에 시작하고, 상기 제 1 기간 및 상기 제 2 기간은 적어도 부분적으로 오버랩하고, 상기 제 2 프로세스 가스는 제 2 캐리어 가스를 포함하고, 상기 제 2 프로세스 가스는 상기 제 2 기간이 상기 제 1 기간과 오버랩하는 기간의 적어도 일부 동안 상기 기판으로의 전달 전에 상기 제 1 프로세스 가스와 혼합되고, 총 프로세스 가스의 체적 플로우 레이트는 상기 단계 (a) 로부터 (b) 로 증가하고, 상기 단계 (a) 및 단계 (b) 동안 상기 제 1 프로세스 가스의 적어도 일부는 상기 기판 상에 흡착되는, 상기 제 2 프로세스 가스를 흘리는 단계;
    (c) 상기 단계 (a) 및 상기 단계 (b) 에서의 상기 플로우를 정지하는 단계;
    (d) 상기 단계 (c) 후에, 상기 기판 상에 막층을 형성하도록 상기 흡착된 전구체를 반응시키는 단계; 및
    (e) 상기 단계 (d) 후에, 상기 단계 (a) 및 상기 단계 (b) 에서의 상기 ALD 증착 사이클과 상이한 ALD 증착 사이클 동안 상기 기판에 대해 상기 단계 (a) 및 상기 단계 (b) 를 반복하는 단계를 포함하는, 증착 프로세스 동안 기판으로의 전구체 도즈를 제어하는 방법.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 흡착된 전구체를 반응시키는 단계는 상기 기판이 상기 흡착된 전구체로 완전히 포화되지 않을 때 수행되는, 증착 프로세스 동안 기판으로의 전구체 도즈를 제어하는 방법.
  4. 제 1 항 또는 제 3 항에 있어서,
    상기 제 2 프로세스 가스는 상기 전구체를 함유하지 않는, 증착 프로세스 동안 기판으로의 전구체 도즈를 제어하는 방법.
  5. 제 1 항 또는 제 3 항에 있어서,
    상기 제 1 기간은 상기 제 2 기간이 종료된 후 종료되는, 증착 프로세스 동안 기판으로의 전구체 도즈를 제어하는 방법.
  6. 제 1 항 또는 제 3 항에 있어서,
    상기 제 2 기간은 상기 제 1 기간이 종료된 후 종료되는, 증착 프로세스 동안 기판으로의 전구체 도즈를 제어하는 방법.
  7. 제 6 항에 있어서,
    상기 제 1 기간이 종료된 후 지속되는 상기 제 2 기간의 상기 일부 동안 전달된 상기 제 2 프로세스 가스는 상기 기판을 둘러싸는 볼륨으로부터 적어도 일부의 흡착되지 않은 전구체를 제거하는, 증착 프로세스 동안 기판으로의 전구체 도즈를 제어하는 방법.
  8. 제 1 항 또는 제 3 항에 있어서,
    상기 제 1 프로세스 가스는 제 1 플로우 경로를 통해 전달되고, 상기 제 2 프로세스 가스는 제 2 플로우 경로를 통해 전달되고, 상기 제 2 플로우 경로는 상기 제 1 플로우 경로에 유체로 연통되고, 상기 제 2 프로세스 가스는 상기 제 1 플로우 경로의 적어도 일부에서 상기 제 1 프로세스 가스와 혼합되는, 증착 프로세스 동안 기판으로의 전구체 도즈를 제어하는 방법.
  9. 제 1 항 또는 제 3 항에 있어서,
    상기 단계 (a) 내지 상기 단계 (c) 는 5 초 이하의 기간에 수행되는, 증착 프로세스 동안 기판으로의 전구체 도즈를 제어하는 방법.
  10. 제 1 항 또는 제 3 항에 있어서,
    상기 기판은 직경이 450 ㎜ 이하인, 증착 프로세스 동안 기판으로의 전구체 도즈를 제어하는 방법.
  11. 제 1 항 또는 제 3 항에 있어서,
    (f) 상기 단계 (a) 후에, 상기 ALD 증착 사이클의 상기 도즈 페이즈의 제 3 기간 동안 상기 기판으로 제 3 프로세스 가스를 흘리는 단계로서, 상기 제 3 기간은 상기 제 1 기간이 시작된 후에 시작되고, 상기 제 1 기간 및 상기 제 3 기간은 적어도 부분적으로 오버랩되고, 상기 제 3 프로세스 가스는 제 3 캐리어 가스를 포함하고, 상기 제 3 프로세스 가스는 상기 제 3 기간이 상기 제 1 기간과 오버랩하는 기간의 적어도 일부 동안 상기 기판으로의 전달 전에 적어도 상기 제 1 프로세스 가스와 혼합되고, 총 프로세스 가스의 체적 플로우 레이트는 상기 단계 (a) 로부터 (f) 로 증가하는, 상기 제 3 프로세스 가스를 흘리는 단계를 더 포함하는, 증착 프로세스 동안 기판으로의 전구체 도즈를 제어하는 방법.
  12. 제 1 항 또는 제 3 항에 있어서,
    상기 제 2 캐리어 가스는 상기 제 1 캐리어 가스 및 상기 제 1 캐리어 가스와 상이한 캐리어 가스로 구성된 그룹으로부터 선택되는, 증착 프로세스 동안 기판으로의 전구체 도즈를 제어하는 방법.
  13. 장치에 있어서,
    기판을 수용하도록 구성된 기판 홀더;
    상기 기판 홀더에 의해 수용된 상기 기판으로 프로세스 가스를 전달하도록 구성되고, 샤워헤드 유입부를 갖는 샤워헤드;
    상기 샤워헤드 유입부에 유체로 연통되고, 하나 이상의 제 1 밸브들을 갖는 제 1 플로우 경로;
    상기 제 1 플로우 경로에 유체로 연통되고, 하나 이상의 제 2 밸브들을 갖는 제 2 플로우 경로; 및
    하나 이상의 제어기들을 포함하고,
    상기 하나 이상의 제어기들은,
    (a) ALD 증착 사이클의 도즈 페이즈의 제 1 기간 동안 상기 기판으로 제 1 프로세스 가스를 흘리도록 상기 하나 이상의 제 1 밸브들을 플로우 온 위치로 스위칭하는 동작으로서, 상기 제 1 프로세스 가스는 제 1 캐리어 가스 및 전구체를 포함하는, 상기 하나 이상의 제 1 밸브들을 플로우 온 위치로 스위칭하는 동작,
    (b) 상기 ALD 증착 사이클의 상기 도즈 페이즈의 제 2 기간 동안 상기 기판으로 제 2 프로세스 가스를 흘리도록 상기 하나 이상의 제 2 밸브들을 플로우 온 위치로 스위칭하는 동작으로서, 상기 제 2 기간은 상기 제 1 기간이 시작한 후에 시작하고, 상기 제 1 기간 및 상기 제 2 기간은 적어도 부분적으로 오버랩하고, 상기 제 2 프로세스 가스는 제 2 캐리어 가스를 포함하고, 상기 제 2 프로세스 가스는 상기 제 2 기간이 상기 제 1 기간과 오버랩하는 기간의 적어도 일부 동안 상기 제 1 프로세스 가스와 혼합되고, 총 프로세스 가스의 체적 플로우 레이트는 상기 동작 (a) 로부터 (b) 로 증가하고, 상기 동작 (a) 및 동작 (b) 동안 상기 제 1 프로세스 가스의 적어도 일부는 상기 기판 상에 흡착되는, 상기 하나 이상의 제 2 밸브들을 플로우 온 위치로 스위칭하는 동작,
    (c) 상기 동작 (a) 후에, 상기 기판으로 상기 제 1 프로세스 가스를 흘리는 것을 중단하도록 상기 하나 이상의 제 1 밸브들을 플로우 오프 위치로 스위칭하는 동작,
    (d) 상기 동작 (b) 후에, 상기 기판으로 상기 제 2 프로세스 가스를 흘리는 것을 중단하도록 상기 하나 이상의 제 2 밸브들을 플로우 오프 위치로 스위칭하는 동작,
    (e) 상기 동작 (c) 및 상기 동작 (d) 후에, 상기 기판 상에 막층을 형성하도록 상기 흡착된 전구체를 반응시키는 동작, 및
    (f) 상기 (e) 동작 후에, 상기 동작 (a) 및 상기 동작 (b) 의 상기 ALD 증착 사이클과 상이한 ALD 증착 사이클 동안 상기 기판에 대해 상기 동작 (a) 및 상기 동작 (b) 를 반복하는 동작을 하도록 구성된, 장치.
  14. 삭제
  15. 제 13 항에 있어서,
    상기 동작 (e) 가 시작될 때, 상기 기판은 흡착된 전구체로 완전히 포화되지 않는, 장치.
  16. 제 13 항에 있어서,
    상기 하나 이상의 제어기들은 상기 하나 이상의 제 1 밸브들이 개방되고 상기 하나 이상의 제 2 밸브들이 폐쇄될 때 상기 제 2 프로세스 가스를 상기 제 2 플로우 경로에 충전하는 동작을 하도록 더 구성되는, 장치.
  17. 제 16 항에 있어서,
    상기 제 2 플로우 경로에 유체로 연통된 방향전환기 경로를 더 포함하고,
    상기 하나 이상의 제어기들은,
    (g) 상기 하나 이상의 제 2 밸브들이 상기 플로우 오프 위치에 있을 때 상기 제 2 프로세스 가스를 상기 제 2 플로우 경로로부터 상기 방향전환기 경로를 통해 흘리는 동작을 하도록 더 구성되는, 장치.
  18. 제 17 항에 있어서,
    상기 방향전환기 경로 내에 하나 이상의 방향전환기 밸브들을 더 포함하고,
    상기 동작 (g) 는 상기 하나 이상의 제 2 밸브들이 플로우 오프 위치로 스위칭될 때 상기 하나 이상의 방향전환기 밸브들을 플로우 온 위치로 스위칭하는 동작을 포함하는, 장치.
  19. 제 13 항 및 제 15 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 제 2 플로우 경로는 상기 제 1 플로우 경로 내로 종단되고,
    상기 제 2 프로세스 가스는 상기 제 2 플로우 경로가 상기 제 1 플로우 경로 내로 종단되는 상기 제 1 플로우 경로 다운스트림의 적어도 일부에서 상기 제 1 프로세스 가스와 혼합되는, 장치.
  20. 제 13 항 및 제 15 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 제 1 기간은 상기 제 2 기간이 종료한 후 종료되는, 장치.
  21. 제 13 항 및 제 15 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 제 2 기간은 상기 제 1 기간이 종료한 후 종료되는, 장치.
  22. 제 21 항에 있어서,
    상기 제 1 기간이 종료된 후 지속되는 상기 제 2 기간의 상기 일부 동안 전달된 상기 프로세스 가스는 상기 기판을 둘러싸는 볼륨으로부터 적어도 일부의 흡착되지 않은 전구체를 제거하도록 사용되는, 장치.
  23. 제 13 항 및 제 15 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 하나 이상의 제어기들은 5 초 이하의 기간에 상기 동작 (a) 내지 상기 동작 (d) 를 수행하도록 구성되는, 장치.
  24. 제 13 항 및 제 15 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 기판은 직경이 450 ㎜ 이하인, 장치.
  25. 제 13 항 및 제 15 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 제 1 플로우 경로에 유체로 연통되고, 하나 이상의 제 3 밸브들을 갖는 제 3 플로우 경로를 더 포함하고,
    상기 하나 이상의 제어기들은,
    (h) 상기 제 1 기간이 시작된 후에 제 3 기간이 시작되도록, 상기 ALD 증착 사이클의 상기 도즈 페이즈의 상기 제 3 기간 동안 상기 기판으로 제 3 프로세스 가스를 흘리도록 상기 하나 이상의 제 3 밸브들을 플로우 온 위치로 스위칭하는 동작으로서, 상기 제 1 기간 및 상기 제 3 기간은 적어도 부분적으로 오버랩되고, 상기 제 3 프로세스 가스는 제 3 캐리어 가스를 포함하고, 상기 제 3 프로세스 가스는 상기 제 3 기간이 상기 제 1 기간과 오버랩하는 기간의 적어도 일부 동안 적어도 상기 제 1 프로세스 가스와 혼합되고, 총 프로세스 가스의 체적 플로우 레이트는 상기 동작 (a) 로부터 (h) 로 증가하는, 상기 하나 이상의 제 3 밸브들을 플로우 온 위치로 스위칭하는 동작, 및
    (i) 상기 동작 (h) 후에, 상기 기판으로 상기 제 3 프로세스 가스를 흘리는 것을 중단하도록 상기 하나 이상의 제 3 밸브들을 플로우 오프 위치로 스위칭하는 동작을 하도록 더 구성되는, 장치.
  26. 제 13 항 및 제 15 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 제 2 캐리어 가스는 상기 제 1 캐리어 가스 및 상기 제 1 캐리어 가스와 상이한 캐리어 가스로 구성된 그룹으로부터 선택되는, 장치.
  27. 제 13 항 및 제 15 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 제 1 플로우 경로에 유체로 연통되고 상기 제 1 프로세스 가스의 상기 전구체를 제공하도록 구성된 전구체 소스; 및
    적어도 상기 제 1 플로우 경로에 유체로 연통되고 적어도 상기 제 1 프로세스 가스의 상기 제 1 캐리어 가스를 제공하도록 구성된 캐리어 가스 소스를 더 포함하는, 장치.
  28. 제 27 항에 있어서,
    상기 캐리어 가스 소스는 부가적으로 상기 제 2 플로우 경로에 유체로 연통되고, 상기 제 2 프로세스 가스의 상기 제 2 캐리어 가스를 제공하도록 구성되는, 장치.
  29. 제 13 항 및 제 15 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 동작 (b) 에서 적어도 상기 제 2 프로세스 가스의 상기 플로우는 질량 유량 제어기에 의해 제어되지 않는, 장치.
KR1020150177932A 2014-12-19 2015-12-14 막 균일성 개선을 위한 하드웨어 및 프로세스 KR102556145B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/578,166 2014-12-19
US14/578,166 US10100407B2 (en) 2014-12-19 2014-12-19 Hardware and process for film uniformity improvement

Publications (2)

Publication Number Publication Date
KR20160075331A KR20160075331A (ko) 2016-06-29
KR102556145B1 true KR102556145B1 (ko) 2023-07-14

Family

ID=56128755

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150177932A KR102556145B1 (ko) 2014-12-19 2015-12-14 막 균일성 개선을 위한 하드웨어 및 프로세스

Country Status (6)

Country Link
US (2) US10100407B2 (ko)
JP (1) JP6789627B2 (ko)
KR (1) KR102556145B1 (ko)
CN (1) CN105714272B (ko)
SG (1) SG10201510078QA (ko)
TW (1) TW201634737A (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10100407B2 (en) 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
US9698042B1 (en) 2016-07-22 2017-07-04 Lam Research Corporation Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge
JP6902991B2 (ja) 2017-12-19 2021-07-14 株式会社日立ハイテク プラズマ処理装置
CN111670265A (zh) * 2018-01-31 2020-09-15 朗姆研究公司 用于多前体的歧管阀
CN110643975B (zh) * 2018-06-27 2021-09-28 东北大学 一种金属有机化学源液体的蒸发输运装置
US10720526B2 (en) * 2018-06-29 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Stress modulation for dielectric layers
KR102619482B1 (ko) * 2019-10-25 2024-01-02 에이에스엠 아이피 홀딩 비.브이. 막 증착 공정에서의 정상 펄스 프로파일의 변형
WO2021199420A1 (ja) 2020-04-03 2021-10-07 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
JP7504004B2 (ja) * 2020-11-13 2024-06-21 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US11487304B2 (en) * 2021-01-08 2022-11-01 Applied Materials, Inc. Process fluid path switching in recipe operations
TW202309974A (zh) * 2021-05-21 2023-03-01 美商蘭姆研究公司 高深寬比3d nand架構中的鎢字元線填充
CN118119732A (zh) * 2021-10-19 2024-05-31 朗姆研究公司 用于半导体处理的阀歧管

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030000924A1 (en) 2001-06-29 2003-01-02 Tokyo Electron Limited Apparatus and method of gas injection sequencing
JP2011132568A (ja) * 2009-12-24 2011-07-07 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
KR101304395B1 (ko) 2004-05-12 2013-09-10 어플라이드 머티어리얼스, 인코포레이티드 하프늄-함유 높은-k 유전체 물질의 원자 층 증착을 위한 장치 및 방법

Family Cites Families (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5769950A (en) * 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US5338389A (en) * 1990-01-19 1994-08-16 Research Development Corporation Of Japan Method of epitaxially growing compound crystal and doping method therein
US5368685A (en) * 1992-03-24 1994-11-29 Hitachi, Ltd. Dry etching apparatus and method
JP3026704B2 (ja) * 1993-07-29 2000-03-27 富士通株式会社 マグネトロン発振出力制御装置及びプラズマ処理方法
JP3468859B2 (ja) * 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
JP3360265B2 (ja) * 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
KR100252049B1 (ko) * 1997-11-18 2000-04-15 윤종용 원자층 증착법에 의한 알루미늄층의 제조방법
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP2000306884A (ja) * 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
CN100371491C (zh) * 1999-08-17 2008-02-27 东京电子株式会社 脉冲等离子体处理方法及其设备
EP1266054B1 (en) * 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
US7011710B2 (en) * 2000-04-10 2006-03-14 Applied Materials Inc. Concentration profile on demand gas delivery system (individual divert delivery system)
US7163197B2 (en) * 2000-09-26 2007-01-16 Shimadzu Corporation Liquid substance supply device for vaporizing system, vaporizer, and vaporization performance appraisal method
JP2002129337A (ja) * 2000-10-24 2002-05-09 Applied Materials Inc 気相堆積方法及び装置
KR100397889B1 (ko) * 2001-01-18 2003-09-19 삼성전자주식회사 개스공급장치의 잔류개스 제거장치
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6656282B2 (en) * 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma
JP3891848B2 (ja) * 2002-01-17 2007-03-14 東京エレクトロン株式会社 処理装置および処理方法
JP2003303023A (ja) * 2002-02-07 2003-10-24 Tokyo Electron Ltd 処理装置及び処理装置の保守方法
KR100574150B1 (ko) * 2002-02-28 2006-04-25 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조방법
JP3985899B2 (ja) * 2002-03-28 2007-10-03 株式会社日立国際電気 基板処理装置
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
JP3967253B2 (ja) * 2002-11-08 2007-08-29 東京エレクトロン株式会社 多孔質絶縁膜の形成方法及び多孔質絶縁膜の形成装置
JP3574651B2 (ja) * 2002-12-05 2004-10-06 東京エレクトロン株式会社 成膜方法および成膜装置
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US6924223B2 (en) * 2003-09-30 2005-08-02 Tokyo Electron Limited Method of forming a metal layer using an intermittent precursor gas flow process
KR100591762B1 (ko) * 2004-01-19 2006-06-22 삼성전자주식회사 증착 장치 및 증착 방법
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
JP2005322668A (ja) * 2004-05-06 2005-11-17 Renesas Technology Corp 成膜装置および成膜方法
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP4502189B2 (ja) * 2004-06-02 2010-07-14 ルネサスエレクトロニクス株式会社 薄膜の形成方法および半導体装置の製造方法
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7740704B2 (en) * 2004-06-25 2010-06-22 Tokyo Electron Limited High rate atomic layer deposition apparatus and method of using
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060128127A1 (en) * 2004-12-13 2006-06-15 Jung-Hun Seo Method of depositing a metal compound layer and apparatus for depositing a metal compound layer
US7674393B2 (en) * 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
JP2007067119A (ja) * 2005-08-30 2007-03-15 Elpida Memory Inc 半導体製造装置
JP4943047B2 (ja) * 2006-04-07 2012-05-30 東京エレクトロン株式会社 処理装置及び処理方法
KR20080027009A (ko) * 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
US7794788B2 (en) * 2007-03-28 2010-09-14 Tokyo Electron Limited Method for pre-conditioning a precursor vaporization system for a vapor deposition process
JP2008244298A (ja) * 2007-03-28 2008-10-09 Tokyo Electron Ltd 金属膜の成膜方法、多層配線構造の形成方法、半導体装置の製造方法、成膜装置
US8235001B2 (en) * 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
JP5219562B2 (ja) * 2007-04-02 2013-06-26 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
US20090004877A1 (en) * 2007-06-28 2009-01-01 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device manufacturing method
WO2009117565A2 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus of a substrate etching system and process
JP4961381B2 (ja) * 2008-04-14 2012-06-27 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
JP2010267925A (ja) * 2009-05-18 2010-11-25 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP5520552B2 (ja) * 2009-09-11 2014-06-11 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
TW201131005A (en) * 2009-09-29 2011-09-16 Tokyo Electron Ltd Process for production of ni film
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
JP5544343B2 (ja) * 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
US8937022B2 (en) * 2010-11-29 2015-01-20 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
JP5236755B2 (ja) * 2011-01-14 2013-07-17 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5723678B2 (ja) * 2011-05-31 2015-05-27 東京エレクトロン株式会社 プラズマ処理装置及びそのガス供給方法
KR101248918B1 (ko) * 2011-06-03 2013-04-01 주성엔지니어링(주) 가스 공급 방법
JP6199292B2 (ja) * 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
US20130237063A1 (en) * 2012-03-09 2013-09-12 Seshasayee Varadarajan Split pumping method, apparatus, and system
JP5895712B2 (ja) * 2012-05-31 2016-03-30 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料ガスの供給方法及び記憶媒体
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US20140030444A1 (en) * 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
JP6061545B2 (ja) * 2012-08-10 2017-01-18 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP6196833B2 (ja) * 2012-09-26 2017-09-13 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
JP5917477B2 (ja) * 2013-11-29 2016-05-18 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US9478408B2 (en) * 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
JP6359913B2 (ja) * 2014-08-12 2018-07-18 東京エレクトロン株式会社 処理装置
US20160056032A1 (en) * 2014-08-22 2016-02-25 Lam Research Corporation Methods and apparatuses for stable deposition rate control in low temperature ald systems by showerhead active heating and/or pedestal cooling
JP5840268B1 (ja) * 2014-08-25 2016-01-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
JP2016063091A (ja) * 2014-09-18 2016-04-25 株式会社日立国際電気 基板処理方法、基板処理装置およびプログラム
US10100407B2 (en) 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030000924A1 (en) 2001-06-29 2003-01-02 Tokyo Electron Limited Apparatus and method of gas injection sequencing
KR101304395B1 (ko) 2004-05-12 2013-09-10 어플라이드 머티어리얼스, 인코포레이티드 하프늄-함유 높은-k 유전체 물질의 원자 층 증착을 위한 장치 및 방법
JP2011132568A (ja) * 2009-12-24 2011-07-07 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置

Also Published As

Publication number Publication date
SG10201510078QA (en) 2016-07-28
KR20160075331A (ko) 2016-06-29
TW201634737A (zh) 2016-10-01
US20190040528A1 (en) 2019-02-07
US10526700B2 (en) 2020-01-07
JP6789627B2 (ja) 2020-11-25
CN105714272A (zh) 2016-06-29
CN105714272B (zh) 2018-11-13
US10100407B2 (en) 2018-10-16
JP2016145412A (ja) 2016-08-12
US20160177443A1 (en) 2016-06-23

Similar Documents

Publication Publication Date Title
KR102556145B1 (ko) 막 균일성 개선을 위한 하드웨어 및 프로세스
KR102563427B1 (ko) 2차 퍼지 가능한 ald 시스템에서 샤워헤드 후면 기생 플라즈마 억제를 위한 방법들 및 장치들
US10577691B2 (en) Single ALD cycle thickness control in multi-station substrate deposition systems
US11075127B2 (en) Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10903071B2 (en) Selective deposition of silicon oxide
US10679848B2 (en) Selective atomic layer deposition with post-dose treatment
CN108630524B (zh) 氮化硅的选择性生长
KR102446502B1 (ko) 암모니아 프리 및 염소 프리 컨포멀 실리콘 나이트라이드 막을 증착하는 방법
TWI776792B (zh) 硫族材料之封裝方法以及記憶體裝置
US10454029B2 (en) Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
KR20210150331A (ko) 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
KR20180117525A (ko) Ale (atomic layer etch) 리셋을 사용한 선택적인 증착
WO2018165598A1 (en) Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
KR20180103018A (ko) 촉매 제어를 사용한 실리콘 옥사이드 상의 실리콘 나이트라이드의 선택적 증착
KR20170044014A (ko) 로우-k 알루미늄 함유 에칭 정지막들의 형성을 위한 방법들
US20220275510A1 (en) Thermal atomic layer deposition of silicon-containing films
WO2020247548A1 (en) In-situ control of film properties during atomic layer deposition
US20220351940A1 (en) Plasma-enhanced atomic layer deposition with radio-frequency power ramping
WO2020096722A1 (en) Nitride films with improved etch selectivity for 3d nand integration

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant