KR100919538B1 - 반도체 처리 챔버용 가스 배플 및 가스 분배기 - Google Patents

반도체 처리 챔버용 가스 배플 및 가스 분배기

Info

Publication number
KR100919538B1
KR100919538B1 KR1020077020545A KR20077020545A KR100919538B1 KR 100919538 B1 KR100919538 B1 KR 100919538B1 KR 1020077020545 A KR1020077020545 A KR 1020077020545A KR 20077020545 A KR20077020545 A KR 20077020545A KR 100919538 B1 KR100919538 B1 KR 100919538B1
Authority
KR
South Korea
Prior art keywords
gas
gas distributor
processing chamber
distributor
substrate
Prior art date
Application number
KR1020077020545A
Other languages
English (en)
Other versions
KR20070110337A (ko
Inventor
로렌스 츙-라이 레이
시킹 루
스티븐 이. 지아노우라키스
원 비. 방
데이비드 피. 선
옌-쿤 빅터 왕
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070110337A publication Critical patent/KR20070110337A/ko
Application granted granted Critical
Publication of KR100919538B1 publication Critical patent/KR100919538B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]

Abstract

본 발명의 기술은 기판 상으로 증착 가스를 분배시키는 것에 관한 것이다. 일 실시예에서, 처리 챔버(813)에 사용하기 위한 가스 분배기(200)가 제공된다. 가스 분배기는 가스 편향면(202)과 가스 분배기 표면(204)을 갖는 몸체를 포함한다. 가스 편향면은 세정 가스 통로를 형성한다. 가스 분배기 표면은 상기 가스 편향면으로부터 상기 몸체의 반대쪽에 배치되며 기판 지지 부재(818)쪽으로 지향되어 있다. 가스 분백기 표면은 상승된 스텝(212) 및 상기 상승된 스텝을 통과하는 적어도 한 세트의 구멍(210)을 포함한다. 상기 적어도 한 세트의 구멍은 기판 지지 부재 상에 위치된 기판(817) 위에 증착 가스를 분배시킨다.

Description

반도체 처리 챔버용 가스 배플 및 가스 분배기 {GAS BAFFLE AND DISTRIBUTOR FOR SEMICONDUCTOR PROCESSING CHAMBER}
본 발명은 일반적으로 반도체 제조에 관한 것이며, 보다 더 구체적으로는 반도체 처리 챔버에 가스를 분배하기 위한 상부의 가스 배플 및 가스 분배기에 관한 것이다.
화학 기상 증착(CVD)은 기판 상에 소정 재료의 박층 또는 박막을 형성하기 위해 반도체 산업에서 사용되는 가스 반응 공정이다. 몇몇의 고밀도 플라즈마(HDP) 강화 CVD 공정들은 기판에 대해 수직에 가까운 각도로, 또는 기판 표면의 직접적인 편위에 의해 표면에 바람직한 각도로 양전하 플라즈마 이온을 음 편위된 기판 표면으로 흡인함으로써 박막 증착을 강화하기 위해 RF 발생 플라즈마의 사용을 통한 물리적 이온 발생과 함께 반응성 화학 가스를 사용한다. 집적 회로의 제작에 있어서 하나의 목표는 높은 생산성으로 매우 얇지만 균일한 박막을 기판 상에 형성하는 것이다. 동력원, 가스 분배 시스템 및 관련 배기 시스템, 기판 가열 및 냉각 시스템의 종류의 형상, 챔버의 구성, 설계, 및 대칭성, 챔버 표면의 조성 및 온도 제어, 그리고 챔버 내에서의 재료의 적층과 같은 많은 변수들이 처리 시스템 및 그 처리 시스템에 의해 수행되는 공정을 평가하는데 고려되어야 한다.
불균일한 가스 분배는 반도체 제작에 있어서 겪게 되는 하나의 문제점으로 증착 균일도에 영향을 끼치게 된다. 하나의 공지된 챔버의 구성에 있어서, 가스 플레넘(gas plenum)이 처리 영역(processing region)의 원주변에 제공되며 복수의 노즐이 내측 반경 방향으로 연장하여 기판 표면에 가스를 제공한다. 그러한 설계에 있어서의 문제점은 기판 표면 전체에 가스를 균일하게 분배하여 많은 가스들이 기판의 중심보다 기판의 가장자리 쪽으로 향하지 않게 하는 것이다. 기판 지지 부재 바로 위에 위치된 상부 가스 노즐이 증착 균일도를 개선하기 위해 사용될 수 있다.
상부 가스 노즐을 사용함으로써 얻을 수 있는 개선점들에도 불구하고, 기판 표면 상의 가스 분배 균일도를 증가시키기 위해 더 많은 개선점 및/또는 대체 기술들이 요구된다.
도 1은 공지된 가스 분배기의 횡단면도이며,
도 2는 본 발명의 실시예에 따른 가스 분배기의 횡단면도이며,
도 3a 및 도 3b는 세정 가스 통로를 갖는 본 발명의 실시예에 따른 가스 분배기의 횡단면도이며,
도 4a 및 도 4b는 본 발명의 다른 실시예에 따른 가스 분배기의 횡단면도이며,
도 5a 내지 도 5g는 본 발명의 또 다른 실시예에 따른 가스 분배기를 도시하는 다양한 도면과 횡단면도이며,
도 6은 본 발명의 또 다른 실시예에 따른 3단계 가스 분배기를 도시하는 도면이며,
도 7a 및 도 7b는 본 발명의 또 다른 실시예에 따른 가스 분배기용 스텝의 횡단면도이며,
도 8은 본 발명의 또 다른 실시예에 따른 가스 분배기를 갖춘 예시적인 처리 챔버를 도시하는 도면이다.
본 발명은 가스를 챔버 내측으로 도입하는 방법 및 반도체를 처리하는 장치을 포함하는 기술들을 제공한다. 특히, 본 발명의 실시예들은 반도체 처리 챔버 내에 있는 기판 상에 처리 가스의 균일도를 증가시키는 것에 관한 것이다.
본 발명의 일 실시예에서, 가스 분배기는 가스를 외측으로 지향시키는 상부면 및 상기 상부면과 대향하는 하부면을 갖춘 몸체를 포함한다. 하부면은 중심부 및 상기 중심부와 스텝 표면(step surface)에 의해 분리된 오목한 주변부를 가진다. 상기 몸체는 가스 입구, 상기 스텝 표면에 배열되는 복수의 가스 출구 및 상기 입구를 상기 복수의 가스 출구에 연결하는 가스 통로를 더 포함한다.
본 발명의 다른 실시예에서, 기판 처리 챔버는 천정과 측벽을 갖춘 외피(enclosure) 및 기판을 지지할 수 있는 기판 지지대를 포함한다. 가스 분배기는 기판 지지대 위의 중앙에 위치된다. 가스 분배기는 가스를 몸체의 외측과 외피 측벽 쪽으로 지향시키는 상부면, 및 상기 상부면과 대향되고 상기 기판 지지대와 이격되는 하부면을 갖춘 배플을 가지는 몸체를 포함한다. 상기 하부면은 하부면은 중심부 및 상기 중심부와 스텝 표면(step surface)에 의해 분리된 오목한 주변부를 가진다. 상기 몸체는 가스 입구, 상기 스텝 표면에 배열되는 복수의 가스 출구 및 상기 입구를 상기 복수의 가스 출구에 연결하는 가스 통로를 더 포함한다.
본 발명은 가스를 챔버 내측으로 도입하는 방법 및 반도체를 처리하는 장치을 포함하는 기술들을 제공한다. 특히, 본 발명의 실시예들은 반도체 처리 챔버 내에 있는 기판 상에 처리 가스의 균일도를 증가시키는 것에 관한 것이다.
도 1은 반도체 처리를 위한 공지된 가스 분배기의 횡단면도이다. 도 1은 가스 편향면(102) 및 가스 분배기면(104)을 갖춘 가스 분배기(100)를 도시한다. 가스 편향면(102)은 챔버 세정 공정 중에 가스를 세정하기 위한 통로를 제공한다. 세정 가스는 외형면(102)에 의해, 가스 분배기 바로 아래에 위치된 기판 지지 부재(도시 않음) 대신에 챔버벽으로 지향된다. 가스 분배기(100)는 기저부(106)에서 챔버 벽에 연결된다. 증착 가스는 기단부(108)에서 가스 분배기(100)로 공급될 수 있다. 일군의 구멍(110)들이 가스 분배기면(104) 상에 배열되어 CVD 공정 중에 증착 가스를 배분한다.
도 2는 본 발명의 일 실시예에 따른 가스 분배기의 횡단면도이다. 도 2는 본 발명의 청구의 범위의 범주를 부당하게 한정하는 것이 아닌, 단지 일 실시예에 불과하다. 본 기술분야의 당업자들은 다른 변경, 변형 및 대체 예들이 있을 수 있다고 이해할 것이다. 도시한 바와 같이, 본 발명은 가스를 반도체 처리 챔버로 도입하기 위한 가스 분배기(200)를 제공한다. 가스 분배기(200)는 산화 알루미늄(Al2O3), 질화 알루미늄(AlN), 탄화 실리콘(SiC), 지르코늄, 석영, 사파이어 등과 같은 임의의 적합한 재료로 제조될 수 있다. 이러한 예에 있어서, 가스 분배기(200)는 하나의 부품이다.
가스 분배기(200)는 가스 편향면(202)과 가스 분배기면(204)을 가진다. 가스 편향면(202)은 챔버 세정 공정 중에 가스를 세정하기 위한 통로를 제공한다. 세정 가스는 가스 분배기 바로 아래에 위치되는 기판 지지 부재(도시 않음) 대신에 챔버 벽으로 지향된다. 가스 분배기(200)는 기저부(206)에 있는 챔버 벽에 연결된다. CVD 공정 중에, 증착 가스는 기단부(208)에 있는 가스 분배기(200)로 공급된다. 증착 가스는 가스 분배기(200)를 통해 구멍(210)으로 빠져 나와서 기판 지지 부재 상의 기판 상으로 유동한다.
도 2에 도시한 바와 같이, 구멍(210)은 스텝(212)의 상승면인 가스 분배면(204) 상에 배열된다. 스텝(212)은 예정된 직경을 갖는 가스 분배기면(204) 상에 타원형 레벨, 바람직하게 원형 레벨을 형성할 수 있다. 상기 직경은 약 0.01 인치 내지 약 3.00 인치 범위일 수 있다. 스텝(212)은 약 0.60 인치 내지 약 약 0.75 인치 범위의 수직 높이, 및 약 90°내지 약 15°범위의 경사도를 가질 수 있다. 스텝(212)은 가스 분배기(200)의 가스 분배를 개선한다. 특히, 증착 가스는 스텝(212)에 의해 중심부(214)로부터 분리되는 주변부(216)로 더 멀리 분배될 수 있다. 스텝(212)의 경사도를 감소시키는 것은 가스를 외측으로 더 멀리 분산시킬 수 있게 한다.
특정 실시예에서, 가스 분배기(200)는 4, 6, 8 또는 그 이상의 구멍(210)을 가질 수 있다. 이들 구멍(210)은 스텝(212)의 주변부(216)을 따라 균일하게 분포되거나, 이와는 달리 스텝의 특정 부위에 집중될 수 있다. 구멍(210)의 위치 및 수는 기판 상의 증착 가스의 균일한 분배를 달성하기 위한 특정 실시예를 위해 변경될 수 있다. 유사하게, 구멍(210)의 직경도 변경될 수 있다. 직경은 약 0.005 인치 내지 약 0.250 인치 범위일 수 있다. 특정 실시예에서, 구멍(210)의 직경은 0.060 인치이다.
도 3a(측면도) 및 도 3b(평면도)는 세정 가스 통로(314)를 갖는 본 발명의 실시예에 따른 가스 분배기를 도시한다. 세정 가스 통로(314)는 챔버 세정 공정 중에 세정 가스의 일부분이 가스 분배기(300)를 통해 가스 분배기면(304)으로 통과할 수 있게 한다. 따라서, 가스 분배기면(304)은 더욱 용이하게 세정될 수 있다. 이러한 특정 실시예에서는 8 개의 세정 가스 통로(314)가 있다. 그러나, 대체 실시예에서, 세정 가스 통로의 수는 약 50개까지 될 수 있다. 각각의 세정 가스 통로(314)의 직경은 가스 분배면(204)의 효율적인 세정을 가능하게 하는 약 0.06 인치 내지 약 0.25 인치일 수 있다.
도 4a는 본 발명의 다른 실시예에 따른 가스 분배기(400)의 횡단면도이다. 단일 부품의 가스 분배기(400)는 가스 편향면(402) 및 가스 분배기면(404)을 가진다. 가스 분배기(400)는 기저부(406)에서 챔버벽에 연결된다. CVD 공정 중에, 증착 가스는 기단부(408)에서 가스 분배기(400)로 공급된다. 이러한 증착 가스는 가스 분배기(400)를 통해 구멍(410)과 공급 구멍(416)을 빠져 나와서 기판 지지 부재(도시 않음) 상의 기판 위치로 유동된다. 도 4b에 도시한 바와 같이, 구멍(410)은 가스 분배기면(404) 상의 스텝(412)에 배치되며, 공급 구멍(416)은 가스 분배기(400)의 측단부에 배치된다. 공급 구멍(416)은 균일한 분배를 위해 구멍을 보충하도록 기판 가장자리에서의 증착을 증가시키기 위해 제공된다. 공급 구멍(416) 및 구멍(410)의 수, 위치 및 원주는 특정 적용을 위해 변경될 수 있다. 하나의 특정 실시예에서, 가스 분배기(400)는 8 개의 공급 구멍(416)과 4 개의 구멍(410)을 포함한다. 다른 실시예에서, 가스 분배기(400)는 구멍(410) 없이 공급 구멍(416)만을 가질 수 있다. 이와는 달리, 가스 분배기(400)는 공급 구멍(416) 없이 구멍(410)만을 가질 수 있다.
도 5a 내지 도 5g는 본 발명의 또 다른 실시예들에 따른 가스 분배기(500)를 도시하는 다양한 도면과 횡단면도이다. 가스 분배기(500)는 세정 가스 통로(514) 및 두 개의 스텝, 즉 스텝(512a,512b)을 포함한다. 가스 분배기면(504) 상의 스텝(512a,512b)은 각각 구멍 세트를 형성할 수 있다. 스텝의 수가 증가하면 가스 분배에 대한 더 많은 조정을 가능하게 하여 균일도 개선하게 된다. 스텝(512a)에 포함된 구멍의 수와 위치는 스텝(512b)과 상이하다. 예를 들어, 구멍(510a,510b)은 중앙 지점(518)으로부터 반경 방향으로 정렬되지 않는다.
도 6은 본 발명의 실시예에 따른 3 개의 스텝을 갖춘 가스 분배기(600)를 도시한다. 가스 분배기면(604)은 스텝(612a,612b,612c)을 포함하며, 이들 각각은 구멍(610a,610b,610c)을 가진다. 3 개의 스텝은 가스 분배의 더욱 향상된 제어를 위한 3 개의 영역을 제공한다. 또한, 보다 짧은 길이의 구멍으로 인해, 구멍(612)의 직경은 개선된 가스 분배 제어를 위해 감소될 수 있다. 특정 실시예에서, 가스 분배기(600)는 두 개 부품의 플레넘이다. 본 발명의 다른 실시예들은 4, 5, 6, 또는 그 이상의 스텝을 포함할 수 있다는 점을 주목해야 한다.
도 7a 및 도 7b는 본 발명의 실시예들에 따른 가스 분배기 스텝을 도시하는 횡단면도이다. 도 7a에서, 가스 분배기 스텝은 가스 분배기면 상에 나사부와 라이저부(riser portion)를 포함한다. 라이저부는 나사부에 대해 수직일 수 있다. 각도(710)는 약 90°내지 약 180°일 수 있다. 특정 실시예에서, 각도(710)는 약 45°이다. 가스 분배기면 상의 구멍은 스텝의 라이저부에 배치된다. 구멍은 (작은 진동으로도 홀(hole)의 기계 가공을 더욱 정확히 할 수 있게 하는 라이저에 수직한 홀을 갖춘)라이저부에 수직하거나, 이와는 달리 도 7b에 도시한 바와 같이, 각도(720)를 형성할 수 있다. 각도(720)는 예를 들어, 약 15 내지 약 120°범위일 수 있다.
도 8은 본 발명의 일 실시예에 따른 가스 분배기(811)를 갖춘 예시적인 처리 챔버 시스템을 도시한다. 도 8은 예시적인 HDP-CVD 시스템(810)의 구조에 대한 일 실시예를 개략적으로 도시한다. 상기 시스템(810)은 챔버(813), 진공 시스템(870), 소오스 플라즈마 시스템(880A), 바이어스 플라즈마 시스템(880B), 가스 분배 시스템(833), 및 원격 플라즈마 세정 시스템(850)을 포함한다.
챔버(813)의 상부는 알루미늄 산화물 또는 질화 알루미늄, 사파이어, SiC 또는 석영과 같은 세라믹 유전체 재료로 제조되는 돔(814)을 포함한다. 히터 판(823)과 냉각 판(824)은 돔(814) 위에 장착되며 돔에 열적으로 결합된다. 히터 판(823)과 냉각 판(824)은 약 100 내지 200℃ ±10℃ 범위로 돔의 온도를 제어할 수 있게 한다. 돔(814)은 플라즈마 처리 영역(816)의 상부 경계면을 형성한다. 플라즈마 처리 영역(816)은 기판(817)과 기판 지지 부재(818)의 상부면에 의해 바닥 경계면을 이룬다.
챔버(813)의 하부는 챔버를 진공 시스템에 결합하는 몸체 부재(822)를 포함한다. 기판 지지 부재(818)의 기저부(821)는 몸체 부재(822) 상에 장착되며 몸체 부재와 연속적인 내측면을 형성한다. 기판은 챔버(813) 측면에 있는 삽입/제거 개구(도시 않음)를 통해 로봇 블레이드(도시 않음)에 의해 챔버(813)의 내외측으로 이송된다. 리프트 핀(도시 않음)은 모터(도시 않음)의 제어 하에서 상승 후에 하강함으로써 상부 로딩 위치(857)에 있는 로봇 블레이드로부터, 기판이 기판 지지 부재(818)의 기판 수용부(819) 상에 놓이는 하부 처리 위치(856)로 기판을 이동시키게 된다. 기판 수용부(819)는 기판 처리 공정 중에 기판을 기판 지지 부재(818)에 고정하는 정전기 척(820)을 포함한다. 바람직한 실시예에서, 기판 지지 부재(818)는 알루미늄 산화물 또는 알루미늄 세라믹 재료로 제조된다.
진공 시스템(870)은 트윈-블레이드(twin-blade) 드로틀 밸브(826)를 수납하고 있으며 게이트 밸브(827) 및 터보 분자펌프 (turbo-molecular pump)에 부착되는 드로틀 몸체(825)를 포함한다. 드로틀 몸체(825)는 가스 유동에 대한 장애를 최소화하며 대칭적인 펌핑을 가능하게 한다. 게이트 밸브(827)는 드로틀 밸브(825)와 펌프(825)를 격리시키며 드로틀 밸브(826)가 완전히 개방될 때 배기 유동 용량을 제한함으로써 챔버 압력도 제어한다. 드로틀 밸브, 게이트 밸브, 및 터보 분자 펌프의 배열은 챔버 압력을 약 1 밀리토르 내지 약 2 바아 범위로 정확하고 안정적인 제어를 가능하게 한다.
가스 분배 시스템(833)은 기판을 처리하기 위한 여러 소오스(834A-834E) 챔버로부터 가스 분배 라인(838; 단지 몇몇 개만 도시함)을 경유하여 가스를 제공한다. 본 기술 분야의 당업자들에게 이해될 수 있는 바와 같이, 소오스(834A-834E)에 사용되는 실제 소오스 및 챔버(813)에 대한 분배 라인(838)의 실제 접속은 챔버(813) 내에서 실행되는 증착 및 세정 공정에 따라 변화한다. 가스는 가스 링(837) 및/또는 가스 분배기(811)를 통해 챔버(813) 내측으로 도입된다.
몇몇 실시예에서, 제 1 및 제 2 가스 소오스(834A,834B), 및 제 1 및 제 2 가스 유동 제어기(835A',835B')는 가스 분배 라인(838; 단지 몇 개만이 도시됨)를 경유하여 가스 링(837) 내의 링 플레넘으로 가스를 제공한다. 가스 링(837)은 기판 전체에 균일한 가스 유동을 제공하는 복수의 소오스 가스 노즐(839; 단지 몇 개만이 도시됨)을 가진다. 노즐 길이 및 노즐 각도는 개개의 챔버 내부의 특정 공정에 대한 가스 이용 효율 및 균일한 프로파일의 제어를 가능하게 하도록 변경될 수 있다. 양호한 실시예에서, 가스 링(837)은 산화 알루미늄 세라믹으로 제조되는 12 개의 소오스 가스 노즐을 가진다.
가스 링(837)은 양호한 실시예에서 소오스 가스 노즐(839)과 공동 평면 상에 있고 일 실시예에서 몸체 플레넘으로부터 가스를 수용하는 복수의 산화기 가스 노즐(840; 단지 하나만이 도시됨)도 가진다. 몇몇 실시예에서, 가스를 챔버(813)로 분사하기 이전에 소오스 가스와 산화기 가스를 혼합하지 않는 것이 바람직하다. 다른 실시예에서, 산화기 가스와 소오스 가스는 몸체 플레넘과 가스 링 플레넘 사이에 구멍(도시 않음)을 제공함으로써 가스를 챔버(813) 내측으로 분사하기 이전에 혼합될 수 있다. 일 실시예에서, 제 3, 제 4 및 제 5 가스 소오스(834C,834D,834D'), 및 제 3 및 제 4 가스 유동 제어기(835C,835D')는 가스 분배 라인(838)을 경유하여 가스를 몸체 플레넘으로 공급한다. 밸브(843B; 다른 밸브들은 도시 않음)와 같은 추가의 밸브는 제어기로부터 챔버로의 가스를 차단할 수 있다.
가연성, 독성, 또는 부식성 가스가 사용되는 실시예에서, 증착 후에 가스 분배 라인에서 가스 잔류물을 제거하는 것이 바람직하다. 이는 예를 들어, 분배 라인(838A)을 챔버(813)와 격리시키고 분배 라인(838A)과 진공 전방라인(844)과 연통시키도록 밸브(843A)과 같은 3방 밸브를 사용하여 수행될 수 있다. 도 8에 도시한 바와 같이, 밸브(843A,843C)와 같은 다른 유사한 밸브가 다른 가스 분배 라인과 결합될 수 있다.
도 8을 다시 참조하면, 챔버(813)도 가스 분배기(811) 및 상부 통풍구(846)를 가진다. 가스 분배기(811) 및 상부 통풍구(846)는 가스의 상부 및 측면 유동의 독립적인 제어를 가능하게 하여 박막 균일도를 개선하고 박막의 증착 및 도핑 변수의 미세한 조절을 가능하게 한다. 상부 통풍구(846)는 가스 분배기(811) 주위의 환형 개구이다. 가스 분배기(811)는 가스 분배의 개선을 위한 본 발명의 실시예에 따라 스텝 내에 복수의 구멍을 포함함다. 일 실시예에서, 제 1 가스 소오스(834A)는 소오스 가스 노즐(839) 및 가스 분배기(811)를 공급한다. 소오스 노즐 MFC(835A')는 소오스 가스 노즐(839)로 분배되는 가스의 양을 제어하고 상부 노즐 MFC(835A)는 가스 분배기(811)로 분배되는 가스의 양을 제어한다. 유사하게, 두 개의 MFC(835B,835B')는 소오스(834B)와 같은 단일의 산소 소오스로부터 상부 통풍구(846) 및 산화기 가스 노즐(840)로의 산소 유동을 제어하는데 사용될 수 있다.가스 분배기(811) 및 상부 통풍구(846)로 공급되는 가스는 챔버(813) 내측으로의 가스 유동 이전에 별개로 유지되거나, 상기 가스는 챔버(813) 내측으로 유동되기 이전에 상부 플레넘(848) 내에서 혼합될 수 있다. 별개의 동일한 가스 소오스는 챔버의 다양한 부분들로 가스를 공급하는데 사용될 수 있다.
시스템 제어기(860)는 시스템(810)의 작동을 제어한다. 양호한 실시예에서, 제어기(860)는 프로세서(861)에 결합되는 하드 디스크 드라이브, 플로피 디스크 드라이브(도시 않음), 및 카드 랙(도시 않음)과 같은 메모리(862)를 포함한다. 카드 랙은 싱글-보오드 컴퓨터(SBC; 도시 않음), 아나로그 및 디지털 입/출력 보오드(도시 않음), 인터페이스 보오드(도시 않음), 및 스테퍼 모터 제어기 보오드(도시 않음)를 포함할 수 있다. 시스템 제어기는 보오드, 카드 케이지 및 커넥터의 칫수와 형태를 정의하는 "VME(versa modular european)" 표준을 만족한다. VME 표준은 또한, 16-비트 데이터 버어스 및 24-비트 어드레스 버어스와 같은 버어스 구조도 정의한다. 시스템 제어기(860)는 하드 디스크 드라이브 상에 저장된 컴퓨터 프로그램, 또는 제거가능한 디스크 상에 저장된 프로그램과 같은 다른 컴퓨터 프로그램의 제어하에서 작동한다. 컴퓨터 프로그램은 예를 들어, 타이밍, 가스 혼합, RF 동력값 및 특정 공정에 대한 기타 변수들을 지시한다. 유저와 시스템 제어기 사이의 인터페이스는 음극선 관(cathode ray tube)과 같은 모니터 및 라이트 펜을 경유한다.
전술한 장치와 방법의 배열은 단지 본 발명의 원리를 적용하기 위한 예시적인 예에 불과하며, 다른 실시예와 변경예들이 청구의 범위에 정의된 바와 같은 본 발명의 사상과 범부로부터 이탈함이 없이 구현될 수 있다. 그러므로, 본 발명의 범주는 전술한 설명들을 참조하지 않고 결정될 수 있으나, 동등한 모든 범주와 함께 첨부된 청구의 범위를 참조하여 결정되어야 한다.

Claims (22)

  1. 처리 챔버 내에 사용되는 가스 분배기로서,
    가스를 몸체로부터 외측으로 지향시키는 가스 편향면(202) 및 상기 가스 편향면(202)과 대향하는 가스 분배기면(204)을 가지는 몸체를 포함하며,
    상기 가스 분배기면(204)은 중심부(214) 및 스텝(212)에 의해 상기 중심부(214)로부터 분리된 오목한 주변부(216)를 가지며,
    상기 몸체는 가스 입구(208), 상기 스텝(212) 내에 배열되는 복수의 가스 출구(210) 및 상기 입구(208)를 상기 복수의 가스 출구(210)에 연결하는 가스 통로를 더 포함하는,
    처리 챔버 내에 사용되는 가스 분배기.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 가스 분배기는 단일 부품인,
    처리 챔버 내에 사용되는 가스 분배기.
  4. 제 1 항에 있어서,
    상기 가스 분배기는 알루미늄 산화물, 알루미늄 질화물, 사파이어 및 실리콘 탄화물 중 하나 이상을 포함하는,
    처리 챔버 내에 사용되는 가스 분배기.
  5. 제 1 항에 있어서,
    상기 스텝(212)은 상기 가스 분배기면(204) 상에 타원형을 형성하며, 상기 복수의 가스 출구(210)는 상기 스텝(212) 주위에 균일하게 분포되는,
    처리 챔버 내에 사용되는 가스 분배기.
  6. 제 5 항에 있어서,
    상기 타원형은 원(circle)인,
    처리 챔버 내에 사용되는 가스 분배기.
  7. 삭제
  8. 제 1 항에 있어서,
    상기 가스 편향면(202)은 챔버의 벽쪽으로 세정 가스를 지향시키도록 곡선화되어 있는,
    처리 챔버 내에 사용되는 가스 분배기.
  9. 제 1 항에 있어서,
    상기 가스 편향면(202)은 기판 지지 부재로부터 이격되게 세정 가스의 방향을 바꾸도록 곡선화되어 있는,
    처리 챔버 내에 사용되는 가스 분배기.
  10. 삭제
  11. 삭제
  12. 삭제
  13. 제 1 항에 있어서,
    상기 가스 편향면(202)과 가스 분배기면(204) 사이로 연장하는 하나 이상의 세정 가스 통로(314)를 더 포함하는,
    처리 챔버 내에 사용되는 가스 분배기.
  14. 제 1 항에 있어서,
    상기 복수의 가스 출구(210)는 8 개 이상의 구멍을 포함하는,
    처리 챔버 내에 사용되는 가스 분배기.
  15. 제 1 항에 있어서,
    상기 가스 분배기는 상기 중심부(214) 아래에 추가의 스텝(512b) 및 상기 추가의 스텝(512b)을 통과하는 적어도 제 2 복수의 가스 출구(510b)를 더 포함하는,
    처리 챔버 내에 사용되는 가스 분배기.
  16. 기판 처리 챔버로서,
    천정과 측벽을 갖는 외피;
    상기 기판을 지지할 수 있는 기판 지지대; 및
    상기 기판 지지대 위의 중앙에 위치되며, 가스를 몸체로부터 상기 외피 측벽 쪽으로 외측으로 지향시키는 가스 편향면(202), 및 상기 가스 편향면(202)과 대향되고 상기 기판 지지대로부터 이격되는 가스 분배기면(204)을 가지는 몸체를 포함하는 가스 분배기를 포함하며;
    상기 가스 분배기면(204)은 중심부(214) 및 스텝(212)에 의해 상기 중심부(214)로부터 분리된 오목한 주변부(216)를 가지며, 상기 몸체는 가스 입구(208), 상기 스텝(212) 내에 배열되는 복수의 가스 출구(210) 및 상기 가스 입구(208)를 상기 복수의 가스 출구(210)에 연결하는 가스 통로를 더 포함하는,
    기판 처리 챔버.
  17. 제 16 항에 있어서,
    상기 가스 편향면(202)을 향해 가스를 지향시키는 환형 가스 통로를 형성하는, 상기 몸체 일부분의 주위에 배치되는 상부 통풍구(846)를 더 포함하는,
    기판 처리 챔버.
  18. 제 16 항에 있어서,
    상기 가스 분배기는 단일 부품인,
    기판 처리 챔버.
  19. 삭제
  20. 삭제
  21. 제 1 항에 있어서,
    상기 가스 편향면(202)은 오목하게 곡선화되어 있으며, 상기 중심부(214)는 상기 가스 분배기면(204)의 중심으로부터 스텝(212)으로 반경방향으로 연장하며, 상기 오목한 주변부(216)는 상기 중심부(214)에 비해 오목한,
    처리 챔버 내에 사용되는 가스 분배기.
  22. 제 16 항에 있어서,
    상기 가스 편향면(202)은 오목하게 곡선화되어 있으며, 상기 중심부(214)는 상기 가스 분배기면(204)의 중심으로부터 스텝(212)으로 반경방향으로 연장하며, 상기 가스 분배기의 오목한 주변부(216)는 상기 중심부(214)에 비해 오목한,
    기판 처리 챔버.
KR1020077020545A 2005-03-07 2007-09-07 반도체 처리 챔버용 가스 배플 및 가스 분배기 KR100919538B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/075,527 2005-03-07
US11/075,527 US7722719B2 (en) 2005-03-07 2005-03-07 Gas baffle and distributor for semiconductor processing chamber

Publications (2)

Publication Number Publication Date
KR20070110337A KR20070110337A (ko) 2007-11-16
KR100919538B1 true KR100919538B1 (ko) 2009-10-01

Family

ID=36569974

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077020545A KR100919538B1 (ko) 2005-03-07 2007-09-07 반도체 처리 챔버용 가스 배플 및 가스 분배기

Country Status (6)

Country Link
US (1) US7722719B2 (ko)
JP (1) JP4964223B2 (ko)
KR (1) KR100919538B1 (ko)
CN (1) CN101138065B (ko)
TW (1) TWI343593B (ko)
WO (1) WO2006096674A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150127033A (ko) * 2013-03-12 2015-11-16 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
KR20160070683A (ko) * 2014-12-10 2016-06-20 램 리써치 코포레이션 효과적인 혼합 및 퍼징을 위한 유입부
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
US7722719B2 (en) 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
TWI476297B (zh) * 2006-10-24 2015-03-11 Applied Materials Inc 用於原子層沉積的渦流室蓋
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7740706B2 (en) * 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7758698B2 (en) 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
WO2009049020A2 (en) * 2007-10-11 2009-04-16 Valence Process Equipment, Inc. Chemical vapor deposition reactor
TWI364126B (en) * 2007-11-23 2012-05-11 Ind Tech Res Inst Plasma assisted apparatus for forming organic film
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
KR100941073B1 (ko) * 2007-12-27 2010-02-09 세메스 주식회사 탑 노즐 및 기판 처리 장치
JP5223377B2 (ja) * 2008-02-29 2013-06-26 東京エレクトロン株式会社 プラズマ処理装置用の電極、プラズマ処理装置及びプラズマ処理方法
US8961689B2 (en) * 2008-03-26 2015-02-24 Gtat Corporation Systems and methods for distributing gas in a chemical vapor deposition reactor
CN101980959A (zh) * 2008-03-26 2011-02-23 Gt太阳能公司 涂覆金的多晶硅反应器系统和方法
US9222172B2 (en) * 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
TW201123291A (en) * 2009-09-25 2011-07-01 Applied Materials Inc Method and apparatus for high efficiency gas dissociation in inductive coupled plasma reactor
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
CN102965615A (zh) * 2011-08-30 2013-03-13 无锡华润上华科技有限公司 一种pvd加工中使用的腔体以及pvd加工方法
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
CN104103483B (zh) * 2013-04-10 2016-08-31 北京北方微电子基地设备工艺研究中心有限责任公司 一种进气装置及等离子体加工设备
CN103266307A (zh) * 2013-05-22 2013-08-28 光垒光电科技(上海)有限公司 反应腔
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
US11015244B2 (en) 2013-12-30 2021-05-25 Advanced Material Solutions, Llc Radiation shielding for a CVD reactor
US10450649B2 (en) 2014-01-29 2019-10-22 Gtat Corporation Reactor filament assembly with enhanced misalignment tolerance
JP5840268B1 (ja) * 2014-08-25 2016-01-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
US9865437B2 (en) 2014-12-30 2018-01-09 Applied Materials, Inc. High conductance process kit
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
CN106711066A (zh) * 2016-12-27 2017-05-24 武汉华星光电技术有限公司 干蚀刻反应设备及用于干蚀刻反应的气体喷嘴
US11521884B2 (en) * 2018-06-29 2022-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Electrostatic chuck sidewall gas curtain
KR102386545B1 (ko) * 2018-09-03 2022-04-15 주식회사 원익아이피에스 밸브 조립체 및 기판 처리 장치
JP7313269B2 (ja) * 2019-12-23 2023-07-24 東京エレクトロン株式会社 プラズマ処理装置
CN114075660B (zh) * 2020-08-14 2022-09-27 长鑫存储技术有限公司 喷淋头、化学气相沉积设备及其工作方法
US20220064797A1 (en) * 2020-09-02 2022-03-03 Applied Materials, Inc. Showerhead design to control stray deposition
CN114242551B (zh) * 2020-09-09 2023-12-08 中微半导体设备(上海)股份有限公司 进气组件及其等离子体处理装置
CN114664620A (zh) * 2020-12-23 2022-06-24 中微半导体设备(上海)股份有限公司 等离子体处理装置及其处理方法
US20220307129A1 (en) * 2021-03-23 2022-09-29 Applied Materials, Inc. Cleaning assemblies for substrate processing chambers
US20240055230A1 (en) * 2022-08-15 2024-02-15 Applied Materials, Inc. System and method of cleaning process chamber components

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001044179A (ja) * 1999-07-30 2001-02-16 Kyocera Corp 半導体製造用チャンバ構成部材
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2608417C3 (de) * 1976-03-01 1981-02-12 Degussa Ag, 6000 Frankfurt Verfahren und vorrichtung zur herstellung von russ
JPS5841658B2 (ja) 1979-06-15 1983-09-13 パイオニア株式会社 ドライエッチング装置
GB2125937B (en) 1982-08-26 1986-06-25 Metal Box Plc Dispensing volatile liquids
US5188672A (en) 1990-06-28 1993-02-23 Applied Materials, Inc. Reduction of particulate contaminants in chemical-vapor-deposition apparatus
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5441568A (en) 1994-07-15 1995-08-15 Applied Materials, Inc. Exhaust baffle for uniform gas flow pattern
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
TW356554B (en) 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US5772771A (en) 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5804259A (en) * 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
JP3753526B2 (ja) * 1996-12-18 2006-03-08 サクラ精機株式会社 顕微鏡標本のカバーガラス貼着方法と装置
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6143078A (en) 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
KR100302609B1 (ko) 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
DE19922355A1 (de) 1999-05-14 2000-11-23 Helmut Swars Katalysatorträgerkörper
US6413871B2 (en) 1999-06-22 2002-07-02 Applied Materials, Inc. Nitrogen treatment of polished halogen-doped silicon glass
JP4487338B2 (ja) 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6821910B2 (en) * 2000-07-24 2004-11-23 University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US6450117B1 (en) * 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
EP1322801B1 (de) * 2000-09-22 2010-01-06 Aixtron Ag Cvd-verfahren und gaseinlassorgan zur durchführung des verfahrens
DE10064944A1 (de) * 2000-09-22 2002-04-11 Aixtron Ag Verfahren zum Abscheiden von insbesondere kristallinen Schichten, Gaseinlassorgan sowie Vorrichtung zur Durchführung des Verfahrens
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
JP2003100717A (ja) * 2001-09-21 2003-04-04 Tokyo Electron Ltd プラズマ処理装置
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
DE10153463A1 (de) * 2001-10-30 2003-05-15 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US20040060514A1 (en) 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
JP4074461B2 (ja) 2002-02-06 2008-04-09 東京エレクトロン株式会社 成膜方法および成膜装置、半導体装置の製造方法
JP4292777B2 (ja) 2002-06-17 2009-07-08 ソニー株式会社 薄膜形成装置
US6884296B2 (en) 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US7141138B2 (en) 2002-09-13 2006-11-28 Applied Materials, Inc. Gas delivery system for semiconductor processing
US20040231798A1 (en) 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US7316761B2 (en) 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
US6989061B2 (en) * 2003-08-22 2006-01-24 Kastalon, Inc. Nozzle for use in rotational casting apparatus
US20060048707A1 (en) 2004-09-03 2006-03-09 Applied Materials, Inc. Anti-clogging nozzle for semiconductor processing
US20050218115A1 (en) 2004-02-06 2005-10-06 Applied Materials, Inc. Anti-clogging nozzle for semiconductor processing
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US20060075967A1 (en) 2004-10-12 2006-04-13 Applied Materials, Inc. Magnetic-field concentration in inductively coupled plasma reactors
US7510624B2 (en) 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
JP2007182360A (ja) 2005-02-16 2007-07-19 Nissan Motor Co Ltd ウィスカー形成体及びこれを用いた電気化学キャパシタ
KR100854995B1 (ko) * 2005-03-02 2008-08-28 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치
US7722719B2 (en) 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
JP2006310481A (ja) * 2005-04-27 2006-11-09 Elpida Memory Inc Cvd装置
CN100502106C (zh) * 2006-05-12 2009-06-17 盐光科技(嘉兴)有限公司 二次电池正极材料及制备方法
JP2007305890A (ja) * 2006-05-15 2007-11-22 Elpida Memory Inc 半導体製造装置
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7740706B2 (en) * 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US20080188090A1 (en) 2007-02-02 2008-08-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US7789993B2 (en) 2007-02-02 2010-09-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001044179A (ja) * 1999-07-30 2001-02-16 Kyocera Corp 半導体製造用チャンバ構成部材
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150127033A (ko) * 2013-03-12 2015-11-16 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
KR20200043538A (ko) * 2013-03-12 2020-04-27 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
KR102152858B1 (ko) * 2013-03-12 2020-09-07 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
KR102176189B1 (ko) * 2013-03-12 2020-11-09 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
KR20160070683A (ko) * 2014-12-10 2016-06-20 램 리써치 코포레이션 효과적인 혼합 및 퍼징을 위한 유입부
KR102484362B1 (ko) 2014-12-10 2023-01-02 램 리써치 코포레이션 효과적인 혼합 및 퍼징을 위한 유입부
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US10840061B2 (en) 2016-07-11 2020-11-17 Lam Research Corporation Substrate processing chamber including conical surface for reducing recirculation

Also Published As

Publication number Publication date
JP2008532331A (ja) 2008-08-14
US20060196603A1 (en) 2006-09-07
CN101138065A (zh) 2008-03-05
TW200636809A (en) 2006-10-16
WO2006096674A1 (en) 2006-09-14
CN101138065B (zh) 2012-11-28
TWI343593B (en) 2011-06-11
KR20070110337A (ko) 2007-11-16
JP4964223B2 (ja) 2012-06-27
US7722719B2 (en) 2010-05-25

Similar Documents

Publication Publication Date Title
KR100919538B1 (ko) 반도체 처리 챔버용 가스 배플 및 가스 분배기
US7740706B2 (en) Gas baffle and distributor for semiconductor processing chamber
TWI731078B (zh) 下游反應器中之邊緣蝕刻率控制用可調整側邊氣體充氣部
US20200149166A1 (en) Flow control features of cvd chambers
US7452827B2 (en) Gas distribution showerhead featuring exhaust apertures
US7799704B2 (en) Gas baffle and distributor for semiconductor processing chamber
US5895530A (en) Method and apparatus for directing fluid through a semiconductor processing chamber
US7758698B2 (en) Dual top gas feed through distributor for high density plasma chamber
US8097120B2 (en) Process tuning gas injection from the substrate edge
KR100862658B1 (ko) 반도체 처리 시스템의 가스 주입 장치
US20060196420A1 (en) High density plasma chemical vapor deposition apparatus
US7510624B2 (en) Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
US20080121177A1 (en) Dual top gas feed through distributor for high density plasma chamber
JPH09181065A (ja) 堆積チャンバ
JP2017224816A (ja) 膜プロフィール調整のためのシャワーヘッドカーテンガス方法及びシャワーヘッドガスカーテンシステム
TW201801129A (zh) 將處理控制在晶圓均勻性內之方法及設備
JP2006324610A (ja) 基板処理装置及び基板処理方法
US20050126484A1 (en) Edge flow faceplate for improvement of CVD film properties

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120830

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20130830

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140828

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee