CN1751381A - 杂质导入方法 - Google Patents

杂质导入方法 Download PDF

Info

Publication number
CN1751381A
CN1751381A CNA2004800046349A CN200480004634A CN1751381A CN 1751381 A CN1751381 A CN 1751381A CN A2004800046349 A CNA2004800046349 A CN A2004800046349A CN 200480004634 A CN200480004634 A CN 200480004634A CN 1751381 A CN1751381 A CN 1751381A
Authority
CN
China
Prior art keywords
mentioned
plasma
impurity
solid matrix
introducing impurities
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2004800046349A
Other languages
English (en)
Inventor
佐佐木雄一朗
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Publication of CN1751381A publication Critical patent/CN1751381A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10T117/10Apparatus

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Memories (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Recrystallisation Techniques (AREA)
  • Physical Vapour Deposition (AREA)
  • Thin Film Transistor (AREA)

Abstract

本发明提供包括在半导体衬底的表面形成非晶层的工序以及在非晶化的上述半导体衬底上形成浅的杂质导入层的工序的杂质导入方法和该方法所使用的装置,特别是其特征在于,形成非晶层的工序是向上述半导体衬底的表面照射等离子体的工序,形成浅的杂质导入层的工序是向非晶化的上述表面导入杂质的工序。

Description

杂质导入方法
技术领域
本发明涉及在半导体等的制造工艺中导入杂质的杂质导入方法。
背景技术
在固体基体表面或薄膜的表面上,通常形成有构成固体基体的原子与空气中的氧结合而成的氧化物的膜或用氢终结的膜。这种膜非常薄,通常是小于等于1nm。过去一直用从氧化物等的膜之上进行离子注入等的方法物理性地导入杂质。即,通过用电场等向成为杂质的离子提供能量并在表面进行照射而向固体基体内部导入杂质。
然而,伴随着近些年来器件的精细化人们要求形成浅的结的技术。在这里,作为现有的浅的结的形成技术可以举出低能离子注入技术。低能离子注入技术是一种在从离子源以一定程度高的电压引出离子后在后级使之减速的方法,人们一直在进行着能够将离子束电流值保持为一定程度的大小并能够进行低能的注入等的研究。这样研究的结果,能够形成几十nm左右的浅的杂质层,并已经在工业上应用于半导体的制造。
此外,为了形成浅的结,作为近些年来受到关注的技术可以举出等离子体掺杂技术。所谓等离子体掺杂技术是一种使含有所期望的粒子的等离子体与半导体衬底等的被处理体的表面接触,从而向被处理体表面导入杂质的技术。在这里,由于等离子体最多也就是几百V的低能量,所以适用于浅的杂质层的形成,已进行了形成从十几nm到几十nm左右的浅的结的实验。
还有,在“Technical Digest of Symposium on VLSI Technology.Honolulu,P.110(2000)”中公开了实现现有的最浅的P型结的实验。据报告说该实验结的深度为7nm。
此外,在(1)International Workshop on Junction Technology(IWJT),p.19(2000),(2)J.Vac.Sci.Technol.A16,P.1,(1998),(3)SiliconTechnology-No.39 18th June,2002等中提出了使用气体源的气相掺杂法的方案。这是一种通过在常压氢环境中加热半导体衬底并供给B2H6或PH3而形成P型和N型的杂质扩散层的方法。在这里,通过氢携带气体除去硅上的自然氧化膜来保持清洁表面而具有抑制杂质特别是硼的表面偏析的效果。
此外,为了分解气体通常需要大于等于600℃的温度。例如,在“SiliconTechnology”(No.39 18th June,2002)中公开了通过将半导体衬底加热到900℃并供给40秒1ppm的B2H6气体而形成高浓度的浅的结的实验结果。据此,将硼浓度成为1×1018cm-3的深度当作结深,则结深是与上述同等程度的约7nm。
此外,在International Workshop on Junction Technology(IWJT),p.39-40(2002)中公开了在室温下实现气相掺杂法的技术。这是一种在向氧化物等的膜附着到表面上的固体基体导入物质时,在除去了氧化物等的膜之后附着或导入所期望的粒子的方法。根据该报告,杂质导入层的深度约为3~4nm。
如上所述,利用等离子体掺杂或低能离子注入等的方法,在近些年来进行了形成从十几nm到几十nm左右的浅的结的实验。在实现现有的最浅的P型结的实验中形成了7nm左右的浅的杂质层。然而,随着器件的进一步的精细化人们要求提供更为简单且更为低电阻地形成更浅的杂质层的方法。
作为应对这样的要求的技术,由于等离子体掺杂技术能够以小的加速能量向半导体衬底导入粒子,所以与离子注入相比能够形成浅的导入层。但是,虽然说是小的能量但由于具有加速能量,所以形成浅的导入层是有限度的。此外,提出了在等离子体掺杂时作为掺杂剂向衬底供给自由基(radical)。由于自由基不具有电荷,所以虽然不会因在鞘(sheath)间被加速而被注入到衬底内,但由于是激活的,所以可以认为因与衬底表面反应而被导入到衬底内。使用气体源的气相掺杂法是一种向衬底供给不具有加速能量的掺杂剂并利用表面反应形成杂质扩散层的技术。这种技术被认为是超过了向衬底上照射具有能量的离子的方法的界限的技术。
然而,如上所述,使用气体源的气相掺杂法,为了分解气体,通常需要大于等于600℃的温度。在这样的高温度下作为掩模材料就不能使用光刻胶。为此,需要利用CVD法形成SiO2等并使之图形化,因而存在晶体管形成工艺的工序增加这样的问题。
还有,在要向衬底内导入不具有等离子体掺杂法或气相掺杂法等中的自由基或气体分子等的加速能量的掺杂剂或者加速能量非常小的掺杂剂的情况下,存在难以在短时间内形成高浓度的杂质层的问题。
此外,采用在除去氧化物等的膜后附着或导入所期望的粒子的方法的气相掺杂法,虽然能够在室温下形成高浓度的杂质层,但却存在尚未提出杂质量的控制方法的问题。
作为使本身为半导体衬底的晶体硅非晶化(无定形化)的技术,过去提出了离子注入锗或硅的方法。人们广泛地研究了在向硅衬底内离子注入锗或硅使表面非晶化之后,离子注入硼等的杂质,然后,进行退火工序。作为在离子注入杂质前预先进行非晶化的优点如下。(1)在离子注入硼等的小的杂质时难以导入得深,(2)由于非晶硅与晶体硅相比光的吸收系数高,所以退火时能够有效地使杂质活化。
然而,由离子注入进行的非晶化,存在形成浅的非晶层的效率不充分的问题。
发明内容
本发明的杂质导入方法,其特征在于,包括:在固体基体的表面上形成非晶层的工序;以及在非晶化的上述半导体衬底上形成浅的杂质导入层的工序;其中,形成非晶层的工序是向上述半导体衬底的表面照射等离子体的工序,形成浅的杂质导入层的工序是向非晶化的上述表面导入杂质的工序。此外,本发明的特征在于:还包括在将杂质导入后为了使杂质电激活化而进行退火的工序。
此外,本发明的杂质导入装置,是至少具有使固体基体表面非晶化的装置、导入成为杂质的所期望的粒子的装置、以及使导入的杂质激活化的退火装置的装置组的杂质导入装置。
附图说明
图1是本发明的一个实施例的装置的主要部分剖面图。
图2是表示本发明的一个实施例的衬底的剖面TEM观察结果的图。
图3是表示本发明的一个实施例的非晶层的厚度的等离子体照射偏置电压依赖性的图。
图4是表示本发明的RHEED观察结果的图。
图5是表示比较例的RHEED观察结果的图。
图6是对本发明的一个实施例与比较例比较片电阻值的图。
图7是表示本发明的一个实施例的片电阻值的等离子体照射时间依赖性的图。
图8是表示本发明的一个实施例的片电阻值的偏置电压依赖性的图。
图9是表示本发明的一个实施例的片电阻值与非晶层厚度的关系的图。
具体实施方式
本发明的杂质导入方法的特征在于,在向固体基体表面上照射等离子体而形成非晶层后,或者在固体基体表面上形成非晶层的同时浸透、附着或导入(以下称为导入)作为杂质的所期望的粒子。其理由是通过形成非晶层而易于向固体基体内导入所期望的粒子。此外,在应用于作为固体基体之一的硅衬底的情况下,由于非晶硅与晶体硅比较光的吸收系数高,所以通过在表面形成非晶层能够在退火时有效地使杂质激活化,能够实现极浅结的低电阻化。
在固体基体表面上形成极浅的非晶层的情况下,优选地向固体表面照射等离子体。其理由在于,由于与用现有的离子注入进行的非晶化比较使用了低能的等离子体,所以能够更有效地制作浅的非晶层。在非晶层所具有的效果之中,在想要仅利用在气体或自由基等的超低能状态下易于导入杂质的效果的情况下,可以通过利用从向固体表面照射等离子体的方法、向固体表面离子注入的方法、给固体表面附加非晶层的方法的组中选择的至少一种方法实现非晶层的形成。由于将向固体表面照射等离子体的时间设为不足70秒可以实现良好的吞吐率,所以是优选的。此外,由于离子注入时注入Ge离子能够期待提高电学特性,所以是优选的。
优选地导入杂质的方法是使含有所期望的粒子的气体或者等离子体与该非晶化的固体基体表面接触以向固体基体表面或其附近浸透、附着或导入杂质。其理由是因为只有使象气体或等离子体那样不具有加速能量的或者加速能量非常小的状态的粒子接触才能够形成浅的杂质层。具体地可以举出等离子体、自由基、气体、超低能离子等。作为杂质导入方法的具体名称,在气体的状态下导入时称为气体掺杂,在使等离子体与固体基体表面接触时则称为等离子体掺杂。此外,在用离子注入进行非晶化的情况下,除了能够有效地进行退火之外,通过利用能够用超低能导入杂质的办法还可以实现新颖性。具体地说,在用离子注入进行非晶化的情况下,杂质的导入使用使气体接触固体基体表面的方法。利用这种方法,能够制作非常浅的杂质导入层。
通过控制、调整进行非晶化的固体基体表面的厚度、非晶化的程度,来控制、调整剂量和结深以导入杂质的方法,由于容易控制片电阻值,此外器件特性也容易控制,所以是优选的。进行非晶化的固体基体表面的厚度、非晶化的程度的控制和调整,能够通过改变与向固体表面照射的涉及等离子体的偏置电压、照射时间、偏置功率(bias power)、离子种类、鞘电压而进行。
优选地等离子体包括氩、氦等的稀有气体或氢。其原因是由于稀有气体在化学性能上是稳定的而且难以与固体基体表面发生化学反应,所以被认为将所期望的粒子与固体基体表面的表面附着的比率抑制得小。其结果是除了由非晶化产生的杂质导入之外还能够期待由表面吸着产生的杂质的导入。此外,由于氦、氢在高温下扩散系数大而且在退火后在表面残留量少,因而几乎不会带来电的坏影响,所以是优选的。由于氦兼备化学性能稳定、在高温下的扩散系数大这两方面的特性,所以是特别优选的。
本发明的杂质导入装置,是至少具有使固体基体表面非晶化的装置、导入所期望的粒子的装置、使所导入的期望的粒子激活化的退火装置的装置组的杂质导入装置,由此能够实现本发明的工艺。
还有,由于将2个或2个以上的使固体基体表面非晶化的装置、导入所期望的粒子的装置、使所导入的期望的粒子激活化的退火装置的装置组进行组合或使之一体化能够使装置小型化,所以从提高生产性等方面来看是优选的。
还有,能够提供可用短时间形成高浓度的非常浅的杂质层且剂量的控制比现有技术更为容易,并且能够使退火后的片电阻低电阻化的杂质层的形成方法。
下面,参看附图说明本发明的实施例。但是,本发明并不限定于以下的实施例。
图1对本发明的一个实施例中使用的装置100进行说明。装置100具有:高频电源1;匹配盒2;线圈和天线3;质量流控制器4和5;涡轮分子泵6;电导阀7;干燥泵8;循环器9;DC电源10;匹配盒11、高频电源12和下部电极14。硅衬底等的被处理体13放置到下部电极上。
在图1中,在将硅衬底13送到工艺室15内后,将其设置到下部电极14上。对工艺室15分别连接有稀有气体导入管16和乙硼烷气体的导入管17。另外,稀有气体用于通过向表面照射稀有气体等离子体而使硅衬底表面非晶化。乙硼烷气体用于等离子体化而进行等离子体掺杂,或者用于将气体原样地导入工艺室15内而进行气体掺杂。构成为气体流量能够由质量流控制器4、5分别进行控制。
在以下的实施例中,说明固体基体自身的表面非晶化。但本发明并不限定于此,也能够应用于在固体基体上形成的薄膜的表面。
(实施例1)
在实施例1中,详细地对照射等离子体而形成非晶层的工序进行说明。
在工艺室15内,向作为被处理体13的硅衬底照射等离子体。
所使用的气体是向氩、氦或99.975%的氦内混合进0.025%的乙硼烷的气体。
首先,向硅衬底照射氩等离子体。等离子体照射条件是在照射时间从5秒到60秒、偏置电压从30V到310V的条件下进行。在停止等离子体照射并首先将工艺室15内部抽成真空后,用氮气进行净化后从工艺室15内将衬底取出。并对取出的衬底的剖面进行TEM观察。图2是偏置电压180V等离子体照射时间5秒的氩等离子体照射后的剖面TEM照片。可以看出在硅衬底21上已经形成了4.3nm的厚度的非晶层22。
此外,即使在使用氦等离子体的情况下,也能够确认非晶层的形成。另外,关于使用氦等离子体的情况在实施例2进行说明。
此外,使用99.975%的氦气和0.025%的乙硼烷气体的混合气体的等离子体,在100V的偏置电压进行了60秒的等离子体照射。非晶层的厚度是10nm。此外,用SIMS测定的硼的剂量是7.3×1014cm-2。如上所述,能够同时地进行非晶层的形成和杂质的导入。
(实施例2)
在实施例2中,对用于非晶化的等离子体照射中的非晶层的厚度的控制进行说明。
在工艺室15内向作为被处理体13的硅衬底上照射了氦气体浓度为100%的氦气体的等离子体。分别在等离子体照射时间为7秒和30秒、使偏置电压在从30V到310V的范围内变化的条件下进行等离子体照射。用椭圆仪测定从工艺室15中取出的衬底的非晶层的厚度。图3是偏置电压与非晶层的厚度的关系。可见在等离子体照射下能够在小于等于30秒的短时间内形成2nm~22nm的范围的非晶层。此外,通过使偏置电压变化就能够控制非晶层的厚度。此外,通过改变照射等离子体的时间能够改变非晶层的厚度。
(实施例3)
在实施例3中,表示将本发明的杂质导入方法应用于气体掺杂的例子。在用气体掺杂导入杂质的情况下,研究了因非晶层的有无而产生的片电阻值的差异。下面,对利用非晶化而易于用低能量导入杂质和退火后能够实现低电阻化进行说明。
在工艺室15内,向作为被处理体13的硅衬底照射氩气等离子体。等离子体照射是在等离子体照射时间5秒、偏置电压160V的条件下进行的。在停止等离子体照射并将工艺室15内部抽成真空后,使B2H6气体与衬底表面接触70秒。70秒后停止B2H6气体的供给,在抽成真空后,用氮气进行净化后从工艺室15内取出衬底。
在对所取出的衬底以1100口、3分钟进行退火后,使用4探针法测定了片电阻值。此外,对于只进行了等离子体照射的衬底进行了用RHEED的观察和用椭圆仪的膜厚等的测定。其中,所谓RHEED,是反射高速电子衍射(Reflection High-Energy Electron Diffraction)。
图4表示用RHEED的表面观察的结果。如图4所示,在晶体上未发现特有的亮点,可见通过等离子体照射衬底的表面已非晶化。此外,片电阻值为5.1E3ohm/sq。
此外,使等离子体照射时间在5秒到70秒的范围内改变并使偏置电压在45V到210V的范围内改变进行了同样的实验。在所有条件下衬底表面都已被非晶化。这时,片电阻值分布在大于等于6.5E2ohm/sq而小于等于5.1E3ohm/sq的范围内。
图6是表示因非晶层的有无而产生的片电阻值(Sheet resistance)的差异的图。用白圆圈(○)表示进行了非晶化的本实施例的片电阻值测定结果。同时,用黑圆圈(●)表示未进行非晶化的比较例1的结果。实施例的片电阻值与比较例的片电阻值相比分布为小1个数量级到2个数量级的值。可以认为由于形成了非晶层,即使不提供加速能量也容易向基体内导入B2H6气体,以及通过有效地吸收用于退火的光,与比较例相比片电阻值大大地减小了。
(实施例4)
在实施例4中,进行用等离子体掺杂作为杂质的导入方法时的因非晶层的有无而产生的片电阻值的差异的研究。对于利用非晶化尽管退火后的结深是相同的但却能够实现低电阻化的情况进行说明。
为了使硅衬底表面非晶化,在工艺室15内向作为被处理体13的硅衬底照射氦气浓度为100%的氦气的等离子体。等离子体照射是在等离子体照射时间为7秒、偏置电压为150V的条件下进行的。停止等离子体照射后将工艺室15内部抽成真空。然后,为了进行等离子体掺杂,在偏置电压200V的条件下照射7秒用氦气稀释成5%的B2H6气体的等离子体。在停止等离子体照射并抽成真空后,用氮气进行净化后从工艺室15内取出衬底。然后,为了使杂质电激活化,在升温速度为200℃/秒、降温速度为50℃/秒、最高到达温度为1000℃的峰值高速热退火(spike RTA)的条件下进行了退火。测定了这样制成的试样的片电阻和SIMS分布。
该试样的片电阻值为635ohm/sq。当设在SIMS分布中硼浓度成为1×1018cm-3的深度为结深时,则结深为27.2nm。另一方面,将在比较例2中详细地进行说明,在不进行非晶化而其它的条件都相同的情况下制成的试样的片电阻值为923ohm/sq、结深为28.1nm。即,通过用氦等离子体照射进行非晶化,即使结深大致相同也能够实现30%或30%以上的低电阻化。
(实施例5)
在实施例5中,研究了等离子体照射时间与片电阻值的关系。图7表示结果。
在工艺室15内向作为被处理体13的硅衬底照射氩气的等离子体。氩等离子体照射在从5秒到70秒的范围内改变等离子体照射时间。在偏置电压为45V(用×表示)和160V(用■表示)这2种条件下进行。等离子体照射后的处理都是在相同的条件下进行。即,在停止等离子体照射并将工艺室15内部抽成真空后,使B2H6气体与衬底表面接触70秒。70秒后停止B2H6气体的供给,在抽成真空后,在用氮气进行净化后从工艺室15内取出衬底。在1100口、3分钟的条件下对所取出的衬底进行退火后,使用4探针法测定了片电阻值。
无论在偏置电压为45V和60V的哪一种情况下,片电阻值都随着等离子体照射时间的变长而下降。从该结果可以看出,通过改变等离子体照射时间能够控制片电阻值。
此外,上述的实验结果表明等离子体照射时间越长则剂量就越高。可以认为这是由于等离子体照射时间越长,则硅衬底表面的非晶化程度就越高,所以B2H6气体向基体的导入量越增加。
(实施例6)
在实施例6中,研究了偏置电压与片电阻值的关系。图8表示其结果。在设氩等离子体照射时间为70秒而其它的条件都相同的情况下,使偏置电压变为45V(试样1)、160V(试样2)、210V(试样3)而制成了试样。没有特别指出的条件与实施例5是同样的。
如图8所示,片电阻值随着偏置电压的绝对值的增大而下降。其结果,试样2和试样3的片电阻值下降到小于等于1.0E3ohm/sq。
由此可见,通过改变偏置电压能够控制片电阻值。
此外,上述的实验结果表明偏置电压绝对值越大则剂量就越高。可以认为这是由于偏置电压的绝对值越大,则硅衬底表面的非晶化的程度就越高,从而B2H6气体向基体的导入量就增加。
(实施例7)
在实施例7中,研究了非晶层的厚度与片电阻值的关系。图9表示其结果。横轴的非晶层的厚度是用椭圆仪测定的在实施例6中制成的试样1-3的衬底表面上形成的非晶层的厚度的值。此外,非晶层的厚度为零的数据是作为比较例测定硅衬底时的测定结果。
如图9所示,非晶层的厚度,在试样1約为2nm,在试样2和3约为3nm。此外,可以看出随着非晶层的厚度的增加片电阻值下降了。由该结果可见,片电阻值能够通过改变非晶层的厚度进行控制。此外,可以看出在实施例5和6中所说明的非晶化的程度可以表现为非晶层的厚度。
此外,上述的实验结果表明非晶层的厚度越大则剂量就越高。可以认为这是由于非晶层的厚度越大越增加B2H6气体向基体的导入量。
(比较例1)
除了没有用等离子体照射进行非晶化之外,以与表示本发明的实施方式的实施例3、5、6、7相同的条件进行了实验。比较例1是与气体掺杂有关的例子,其与实施例3对应。在将硅衬底放入工艺室15内并将工艺室15内抽成真空后,使B2H6气体与衬底表面接触70秒。
在70秒后停止B2H6气体的供给并抽成真空后,在进行氮净化后从工艺室15内取出衬底。所取出的衬底在1100口、3分钟的条件下进行退火后,使用4探针发测定了片电阻值。此外,用Secondary Ion MassSpectrometry(以下称为SIMS)测定了硼的剂量。进而用RHEED、椭圆仪观察、测定了导入到工艺室15内之前的硅衬底表面。
图5是比较例的硅衬底的用RHEED进行的表面观察结果。在图5所示的照片的上部观察到了亮点,可以确认是结晶。此外,即使用椭圆仪进行测定也无法确认表面的非晶层。
此外,片电阻值为1.7E5ohm/sq。这个值与形成了非晶层的本实施例的片电阻值比较要大1个数量级或1个数量级以上。根据SIMS的测定结果,硼的剂量是2E12cm-2。可见几乎未导入杂质。
(比较例2)
除了没有用等离子体照射进行非晶化之外,以与发明的实施例4相同的条件进行了实验。即,将作为被处理体13的硅衬底放入工艺室15内。然后,为了进行等离子体掺杂,在偏置电压200V下照射7秒的用氦气稀释成5%的B2H6气体的等离子体。在停止等离子体照射并抽成真空后,在用氮气进行净化后从工艺室15取出衬底。然后,为了使杂质电激活化,在升温速度200℃/秒、降温速度50℃/秒、最高到达温度1000℃的spike RTA的条件下进行了退火。测定了这样制成的试样的片电阻和SIMS分布。
该试样的片电阻为923ohm/sq,结深为28.1nm。这与实施例3的非晶化的试样相比片电阻高了30%或30%以上。
虽然在实施例中对固体基体表面自身的非晶化进行说明,但本发明的固体基体表面,除了基体自身的表面之外也包括在基体上形成的薄膜的表面。因此,在固体基体上形成的薄膜的表面的非晶化也包括在本发明范围内。
如上所述,本实施例的杂质导入方法能够在室温下且用短时间形成非常浅的杂质层,从而能够容易地制造半导体、液晶、生物芯片等的有源元件以及电阻、线圈、电容器等的无源元件。
工业上的利用可能性.
如上所述,本发明提供能够在室温下用短时间形成高浓度的非常浅的杂质层的、而且剂量的控制容易并能够形成可使退火后的片电阻实现低电阻化的杂质层的杂质导入方法。

Claims (21)

1.一种杂质导入方法,其特征在于,包括:
在固体基体表面上形成非晶层的第1工序;以及
在非晶化的上述固体基体上形成浅的杂质导入层的第2工序;
其中,上述第1工序是向上述固体基体的表面照射等离子体的工序,
上述第2工序是向非晶化的上述固体基体的表面导入杂质的工序。
2.根据权利要求1所述的杂质导入方法,其特征在于:上述第2工序是将杂质进行等离子体掺杂的工序。
3.根据权利要求1所述的杂质导入方法,其特征在于:上述第2工序是将杂质进行离子注入的工序。
4.根据权利要求1所述的杂质导入方法,其特征在于:上述第2工序是将杂质进行气体掺杂的工序。
5.根据权利要求1所述的杂质导入方法,其特征在于:在上述第2工序之后包括退火工序,上述退火工序使上述杂质激活化。
6.根据权利要求1所述的杂质导入方法,其特征在于:上述第1工序在上述第2工序之前进行,或者与上述第2工序同时地进行。
7.根据权利要求1所述的杂质导入方法,其特征在于:在同一工艺室内作为连续的工序以in-situ进行上述第1工序和上述第2工序。
8.根据权利要求1所述的杂质导入方法,其特征在于:上述第1工序是向上述固体基体的表面照射等离子体的工序,或者是对上述固体基体附加非晶层的工序。
9.根据权利要求8所述的杂质导入方法,其特征在于:上述固体基体是硅,上述第1工序是通过改变与向上述固体基体的表面照射的等离子体有关的偏置电压、照射时间、偏置功率、离子种类和鞘电压之中的至少一个条件而进行上述非晶层的厚度控制的工序。
10.根据权利要求9所述的杂质导入方法,其特征在于:上述等离子体照射时间是大于等于5秒且小于70秒。
11.根据权利要求8所述的杂质导入方法,其特征在于:上述等离子体是含有从稀有气体、氢和卤素中选择的至少一者的系的等离子体。
12.根据权利要求1所述的杂质导入方法,其特征在于:
上述第1工序是在上述固体基体的表面进行离子注入的工序,
上述第2工序是使用气体掺杂向非晶化的上述固体基体的表面导入上述杂质的工序。
13.根据权利要求12所述的杂质导入方法,其特征在于:上述离子注入是从Si、Ge中选择的至少一种离子的注入。
14.根据权利要求1所述的杂质导入方法,其特征在于:上述第2工序是使含有上述所期望的粒子的气体或等离子体与上述非晶层接触的工序,作为杂质向上述固体基体的表面导入上述粒子。
15.根据权利要求1所述的杂质导入方法,其特征在于:在上述第2工序,以等离子体、自由基、气体、离子的状态导入所期望的粒子。
16.一种杂质导入方法,其特征在于,包括:向固体基体的表面进行大于等于5秒且小于70秒的等离子体照射的工序;以及使从含有所期望的粒子的气体、等离子体、自由基和离子中选择的至少一者与上述固体基体的表面接触的杂质导入工序。
17.根据权利要求16所述的杂质导入方法,其特征在于:上述进行照射的工序是通过改变与向上述固体基体的表面照射的等离子体有关的偏置电压、照射时间、偏置功率、离子种类和鞘电压之中的至少一个条件而控制向上述固体基体的表面导入的杂质量的工序。
18.根据权利要求16所述的杂质导入方法,其特征在于:上述等离子体是含有从稀有气体、氢和卤素中选择的至少一者的气体的系的等离子体。
19.根据权利要求16所述的杂质导入方法,其特征在于:在上述杂质导入工序之后还具有退火工序,上述退火工序使上述杂质激活化。
20.一种杂质导入装置,具有:在固体基体的表面形成非晶层的第1装置;向上述固体基体的表面导入所期望的粒子的第2装置;以及使所导入的上述所期望的粒子激活化的退火用的第3装置;其能够依次或同时地进行向上述固体基体的表面进行的非晶层的形成和向上述非晶层进行的所期望的粒子的导入。
21.根据权利要求20所述的杂质导入装置,其特征在于:使从上述第1装置、第2装置和第3装置中选择的2个或2个以上的装置组合或一体化。
CNA2004800046349A 2003-02-19 2004-02-12 杂质导入方法 Pending CN1751381A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2003041123 2003-02-19
JP041123/2003 2003-02-19
PCT/JP2004/001473 WO2004075274A1 (ja) 2003-02-19 2004-02-12 不純物導入方法

Publications (1)

Publication Number Publication Date
CN1751381A true CN1751381A (zh) 2006-03-22

Family

ID=32905279

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2004800046349A Pending CN1751381A (zh) 2003-02-19 2004-02-12 杂质导入方法

Country Status (7)

Country Link
US (5) US7709362B2 (zh)
EP (1) EP1596427A4 (zh)
JP (1) JP4387355B2 (zh)
KR (1) KR100739837B1 (zh)
CN (1) CN1751381A (zh)
TW (1) TW200423185A (zh)
WO (1) WO2004075274A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102339737A (zh) * 2010-07-16 2012-02-01 住友重机械工业株式会社 等离子体掺杂装置及等离子体掺杂方法
CN101641764B (zh) * 2007-02-16 2012-03-07 瓦里安半导体设备公司 多阶等离子体掺杂基板的方法
CN103500718A (zh) * 2013-08-02 2014-01-08 上海华力微电子有限公司 监控集成电路制造中离子注入工艺的方法
CN113846384A (zh) * 2021-09-22 2021-12-28 中国科学院半导体研究所 晶体锗材料的表面非晶化的方法

Families Citing this family (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1596427A4 (en) 2003-02-19 2009-06-10 Panasonic Corp PROCESS FOR INTRODUCING CONTAMINATION
SG144152A1 (en) * 2004-12-13 2008-07-29 Matsushita Electric Ind Co Ltd Plasma doping method
US7393761B2 (en) * 2005-01-31 2008-07-01 Tokyo Electron Limited Method for fabricating a semiconductor device
EP1865537A1 (en) 2005-03-30 2007-12-12 Matsushita Electric Industrial Co., Ltd. Impurity introduction apparatus and method of impurity introduction
KR20070115907A (ko) * 2005-03-31 2007-12-06 마쯔시다덴기산교 가부시키가이샤 플라즈마 도핑 방법 및 장치
US7888245B2 (en) * 2006-05-11 2011-02-15 Hynix Semiconductor Inc. Plasma doping method and method for fabricating semiconductor device using the same
WO2008016623A2 (en) * 2006-08-01 2008-02-07 Dingee H Clay Iv Improved drying system
KR100769833B1 (ko) * 2006-08-14 2007-10-23 동부일렉트로닉스 주식회사 반도체 소자 제조 방법
US20080075880A1 (en) * 2006-09-26 2008-03-27 Anthony Renau Non-doping implantation process utilizing a plasma ion implantation system
US9498845B2 (en) * 2007-11-08 2016-11-22 Applied Materials, Inc. Pulse train annealing method and apparatus
JP2009182076A (ja) * 2008-01-30 2009-08-13 Panasonic Corp 半導体装置及びその製造方法
US8372735B2 (en) 2008-08-14 2013-02-12 Varian Semiconductor Equipment Associates, Inc. USJ techniques with helium-treated substrates
US8124506B2 (en) * 2008-08-14 2012-02-28 Varian Semiconductor Equipment Associates, Inc. USJ techniques with helium-treated substrates
WO2010051283A1 (en) * 2008-10-31 2010-05-06 Applied Materials, Inc. Doping profile modification in p3i process
US20110300696A1 (en) * 2010-06-02 2011-12-08 Varian Semiconductor Equipment Associates, Inc. Method for damage-free junction formation
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8877602B2 (en) * 2011-01-25 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of doping oxide for forming shallow trench isolation
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103871848A (zh) * 2012-12-14 2014-06-18 中国科学院微电子研究所 一种超浅结均匀性的改善方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140342569A1 (en) * 2013-05-16 2014-11-20 Applied Materials, Inc. Near surface etch selectivity enhancement
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US20170170018A1 (en) * 2015-12-14 2017-06-15 Lam Research Corporation Conformal doping using dopant gas on hydrogen plasma treated surface
US9911660B2 (en) 2016-04-26 2018-03-06 Lam Research Corporation Methods for forming germanium and silicon germanium nanowire devices
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) * 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10147584B2 (en) * 2017-03-20 2018-12-04 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for decelerated ion beam with no energy contamination
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10763338B2 (en) * 2017-08-30 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Silicide implants
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113015889B (zh) * 2019-02-28 2024-04-02 松下知识产权经营株式会社 红外线传感器、红外线传感器阵列及红外线传感器的制造方法

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5897863A (ja) * 1981-12-07 1983-06-10 Toshiba Corp 半導体装置の製造方法
JPS63299328A (ja) 1987-05-29 1988-12-06 Matsushita Electric Ind Co Ltd 不純物導入方法
JPH03218638A (ja) 1989-08-11 1991-09-26 Seiko Instr Inc 半導体装置の製造方法
JPH05206053A (ja) 1992-01-30 1993-08-13 Matsushita Electric Ind Co Ltd 結晶損傷除去装置
JPH05206045A (ja) * 1992-01-27 1993-08-13 Hitachi Ltd 半導体装置の製造方法
JP2530990B2 (ja) * 1992-10-15 1996-09-04 富士通株式会社 薄膜トランジスタ・マトリクスの製造方法
JPH0712085B2 (ja) 1992-10-22 1995-02-08 株式会社半導体エネルギー研究所 絶縁ゲート型電界効果半導体装置の作製方法
JP3437863B2 (ja) 1993-01-18 2003-08-18 株式会社半導体エネルギー研究所 Mis型半導体装置の作製方法
US5738731A (en) 1993-11-19 1998-04-14 Mega Chips Corporation Photovoltaic device
JP2919254B2 (ja) 1993-11-22 1999-07-12 日本電気株式会社 半導体装置の製造方法および形成装置
US5897346A (en) 1994-02-28 1999-04-27 Semiconductor Energy Laboratory Co., Ltd. Method for producing a thin film transistor
JPH08279475A (ja) 1995-04-04 1996-10-22 Murata Mfg Co Ltd 化合物半導体における能動層の形成方法
US5956581A (en) 1995-04-20 1999-09-21 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
JPH0917867A (ja) 1995-06-30 1997-01-17 Nkk Corp 半導体装置におけるコンタクト部の形成方法
JP2848439B2 (ja) * 1995-11-10 1999-01-20 日本電気株式会社 半導体装置の製造方法
US6391690B2 (en) 1995-12-14 2002-05-21 Seiko Epson Corporation Thin film semiconductor device and method for producing the same
JP3545526B2 (ja) * 1996-01-19 2004-07-21 株式会社東芝 半導体装置の製造方法
US5892235A (en) 1996-05-15 1999-04-06 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for doping
TW556263B (en) 1996-07-11 2003-10-01 Semiconductor Energy Lab Semiconductor device and method of manufacturing the same
JP3749924B2 (ja) 1996-12-03 2006-03-01 富士通株式会社 イオン注入方法および半導体装置の製造方法
US5908307A (en) 1997-01-31 1999-06-01 Ultratech Stepper, Inc. Fabrication method for reduced-dimension FET devices
US6207005B1 (en) * 1997-07-29 2001-03-27 Silicon Genesis Corporation Cluster tool apparatus using plasma immersion ion implantation
JPH1154451A (ja) 1997-08-07 1999-02-26 Mitsubishi Electric Corp 半導体装置の製造方法および半導体装置
TW388087B (en) 1997-11-20 2000-04-21 Winbond Electronics Corp Method of forming buried-channel P-type metal oxide semiconductor
JP3523093B2 (ja) 1997-11-28 2004-04-26 株式会社東芝 半導体装置およびその製造方法
US6071782A (en) 1998-02-13 2000-06-06 Sharp Laboratories Of America, Inc. Partial silicidation method to form shallow source/drain junctions
JP3054123B2 (ja) 1998-06-08 2000-06-19 アプライド マテリアルズ インコーポレイテッド イオン注入方法
US6037204A (en) 1998-08-07 2000-03-14 Taiwan Semiconductor Manufacturing Company Silicon and arsenic double implanted pre-amorphization process for salicide technology
US6030863A (en) 1998-09-11 2000-02-29 Taiwan Semiconductor Manufacturing Company Germanium and arsenic double implanted pre-amorphization process for salicide technology
KR100316707B1 (ko) * 1999-02-05 2001-12-28 윤종용 모스 트랜지스터 및 그 제조방법
KR100745495B1 (ko) 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 반도체 제조방법 및 반도체 제조장치
US6617226B1 (en) 1999-06-30 2003-09-09 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
TW423052B (en) * 1999-09-06 2001-02-21 Taiwan Semiconductor Mfg Preprocess of metal silidation manufacturing process
KR100635975B1 (ko) 2000-02-14 2006-10-20 동경 엘렉트론 주식회사 플라즈마 처리 장치 및 방법과, 플라즈마 처리 장치용 링 부재
JP4942128B2 (ja) 2000-03-17 2012-05-30 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド レーザーアニーリングおよび急速熱アニーリングにより極めて浅い接合を形成する方法
JP3851752B2 (ja) 2000-03-27 2006-11-29 株式会社東芝 半導体装置の製造方法
US6265321B1 (en) 2000-04-17 2001-07-24 Chartered Semiconductor Manufacturing Ltd. Air bridge process for forming air gaps
JP2001326190A (ja) 2000-05-17 2001-11-22 Nec Corp 薄膜処理方法及び薄膜処理装置
JP4171162B2 (ja) 2000-05-30 2008-10-22 三洋電機株式会社 光起電力素子およびその製造方法
US6893907B2 (en) 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US6759313B2 (en) 2000-12-05 2004-07-06 Semiconductor Energy Laboratory Co., Ltd Method of fabricating a semiconductor device
TW525216B (en) 2000-12-11 2003-03-21 Semiconductor Energy Lab Semiconductor device, and manufacturing method thereof
JP2002184710A (ja) 2000-12-18 2002-06-28 Sony Corp 半導体層のドーピング方法、薄膜半導体素子の製造方法、及び薄膜半導体素子
TW546846B (en) 2001-05-30 2003-08-11 Matsushita Electric Ind Co Ltd Thin film transistor and method for manufacturing the same
JP2003007636A (ja) 2001-06-26 2003-01-10 Sony Corp ドーピング量削減方法
US20030040130A1 (en) * 2001-08-09 2003-02-27 Mayur Abhilash J. Method for selection of parameters for implant anneal of patterned semiconductor substrates and specification of a laser system
JP4686945B2 (ja) 2001-09-12 2011-05-25 株式会社デンソー 炭化珪素半導体装置の製造方法
US6713819B1 (en) * 2002-04-08 2004-03-30 Advanced Micro Devices, Inc. SOI MOSFET having amorphized source drain and method of fabrication
JP3746246B2 (ja) 2002-04-16 2006-02-15 株式会社東芝 半導体装置の製造方法
US7135423B2 (en) 2002-05-09 2006-11-14 Varian Semiconductor Equipment Associates, Inc Methods for forming low resistivity, ultrashallow junctions with low damage
JP2004014878A (ja) 2002-06-07 2004-01-15 Sharp Corp 半導体基板の製造方法及び半導体装置
JP2004063391A (ja) 2002-07-31 2004-02-26 Mitsumi Electric Co Ltd モジュール用コネクタ
JP2004158627A (ja) 2002-11-06 2004-06-03 Renesas Technology Corp 半導体装置の製造方法
TW200409279A (en) 2002-11-27 2004-06-01 Promos Technologies Inc Method for forming trench isolation
JP4544447B2 (ja) 2002-11-29 2010-09-15 パナソニック株式会社 プラズマドーピング方法
EP1596427A4 (en) 2003-02-19 2009-06-10 Panasonic Corp PROCESS FOR INTRODUCING CONTAMINATION
US20040235281A1 (en) 2003-04-25 2004-11-25 Downey Daniel F. Apparatus and methods for junction formation using optical illumination
WO2004105253A1 (ja) 2003-05-21 2004-12-02 Sony Corporation データ処理装置、符号化装置および符号化方法、復号装置および復号方法、並びにプログラム
JP4589606B2 (ja) 2003-06-02 2010-12-01 住友重機械工業株式会社 半導体装置の製造方法
WO2005020306A1 (ja) * 2003-08-25 2005-03-03 Matsushita Electric Industrial Co., Ltd. 不純物導入層の形成方法及び被処理物の洗浄方法並びに不純物導入装置及びデバイスの製造方法
JP2005223218A (ja) 2004-02-06 2005-08-18 Matsushita Electric Ind Co Ltd 不純物導入方法
US20050196961A1 (en) 2004-03-08 2005-09-08 Da Zhang Method for forming a semiconductor device having metal silicide
US7501332B2 (en) * 2004-04-05 2009-03-10 Kabushiki Kaisha Toshiba Doping method and manufacturing method for a semiconductor device
SG144152A1 (en) 2004-12-13 2008-07-29 Matsushita Electric Ind Co Ltd Plasma doping method
US20060205192A1 (en) 2005-03-09 2006-09-14 Varian Semiconductor Equipment Associates, Inc. Shallow-junction fabrication in semiconductor devices via plasma implantation and deposition
CN101160643B (zh) 2005-05-12 2012-04-18 松下电器产业株式会社 等离子体掺入方法和等离子体掺入设备

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101641764B (zh) * 2007-02-16 2012-03-07 瓦里安半导体设备公司 多阶等离子体掺杂基板的方法
CN102339737A (zh) * 2010-07-16 2012-02-01 住友重机械工业株式会社 等离子体掺杂装置及等离子体掺杂方法
CN102339737B (zh) * 2010-07-16 2015-05-13 住友重机械工业株式会社 等离子体掺杂装置及等离子体掺杂方法
CN103500718A (zh) * 2013-08-02 2014-01-08 上海华力微电子有限公司 监控集成电路制造中离子注入工艺的方法
CN113846384A (zh) * 2021-09-22 2021-12-28 中国科学院半导体研究所 晶体锗材料的表面非晶化的方法

Also Published As

Publication number Publication date
US20100167508A1 (en) 2010-07-01
US7696072B2 (en) 2010-04-13
EP1596427A1 (en) 2005-11-16
US20050277273A1 (en) 2005-12-15
TW200423185A (en) 2004-11-01
US7618883B2 (en) 2009-11-17
US20080160728A1 (en) 2008-07-03
US7709362B2 (en) 2010-05-04
US7741199B2 (en) 2010-06-22
US20070254460A1 (en) 2007-11-01
KR100739837B1 (ko) 2007-07-13
US8222128B2 (en) 2012-07-17
US20080124900A1 (en) 2008-05-29
TWI328827B (zh) 2010-08-11
WO2004075274A1 (ja) 2004-09-02
JP4387355B2 (ja) 2009-12-16
JPWO2004075274A1 (ja) 2006-06-01
EP1596427A4 (en) 2009-06-10
KR20050098923A (ko) 2005-10-12

Similar Documents

Publication Publication Date Title
CN1751381A (zh) 杂质导入方法
CN100437912C (zh) 杂质导入层的形成方法和器件的制造方法
US7846822B2 (en) Methods for controlling dopant concentration and activation in semiconductor structures
TWI511315B (zh) Semiconductor laminates, semiconductor devices, and the like
JP2008522429A (ja) ガスクラスタイオン照射による極浅接合部の形成
WO2005093800A1 (ja) 不純物導入方法、不純物導入装置およびこの方法を用いて形成された半導体装置
O’Connell et al. Chemical approaches for doping nanodevice architectures
US20080194086A1 (en) Method of Introducing Impurity
CN1799124A (zh) 离子掺杂装置、离子掺杂方法以及半导体装置
Cao et al. Boron Doping in Next-Generation Materials for Semiconductor Device
EP1672683A1 (en) Junction forming method and object to be processed and formed by using same
CN2765320Y (zh) 制造介电层的系统
Belfennache et al. Thermal annealing ambiance effect on phosphorus passivation and reactivation mechanisms in silicon-based Schottky diodes hydrogenated by MW-ECR plasma.
CN1017487B (zh) 硅半导体器件用硅片的缺陷控制方法
DE102018132244B4 (de) Verfahren zur Herstellung von dotierten Halbleiterschichten
Salles et al. Controlling pinhole radius and areal density in a-Si/SiOx using metal-assisted chemical etching
US11978633B2 (en) Molecular doping
CN1819119A (zh) 硅构件及其制造方法
US7547619B2 (en) Method of introducing impurity, device and element
CN117133629A (zh) 一种金刚石上低阻欧姆接触的制备方法
CN117888190A (zh) 一种三元复合终端金刚石材料及制备方法
Neudeck et al. Fabrication of Polysilicon Contacted Emitter Bipolar Transistors

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
REG Reference to a national code

Ref country code: HK

Ref legal event code: DE

Ref document number: 1087530

Country of ref document: HK

C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication
REG Reference to a national code

Ref country code: HK

Ref legal event code: WD

Ref document number: 1087530

Country of ref document: HK