JP4387355B2 - 不純物導入方法 - Google Patents
不純物導入方法 Download PDFInfo
- Publication number
- JP4387355B2 JP4387355B2 JP2005502685A JP2005502685A JP4387355B2 JP 4387355 B2 JP4387355 B2 JP 4387355B2 JP 2005502685 A JP2005502685 A JP 2005502685A JP 2005502685 A JP2005502685 A JP 2005502685A JP 4387355 B2 JP4387355 B2 JP 4387355B2
- Authority
- JP
- Japan
- Prior art keywords
- plasma
- impurity introduction
- introduction method
- impurity
- substrate
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 239000012535 impurity Substances 0.000 title claims description 97
- 238000000034 method Methods 0.000 title claims description 96
- 239000000758 substrate Substances 0.000 claims description 99
- 239000007789 gas Substances 0.000 claims description 57
- 229910052710 silicon Inorganic materials 0.000 claims description 27
- 239000010703 silicon Substances 0.000 claims description 27
- 238000000137 annealing Methods 0.000 claims description 20
- 239000002245 particle Substances 0.000 claims description 20
- 239000001307 helium Substances 0.000 claims description 19
- 229910052734 helium Inorganic materials 0.000 claims description 19
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 19
- 239000013078 crystal Substances 0.000 claims description 14
- 230000001678 irradiating effect Effects 0.000 claims description 10
- 239000001257 hydrogen Substances 0.000 claims description 8
- 229910052739 hydrogen Inorganic materials 0.000 claims description 8
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 6
- 238000011065 in-situ storage Methods 0.000 claims description 2
- 150000004678 hydrides Chemical class 0.000 claims 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims 1
- 239000007787 solid Substances 0.000 description 33
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 26
- 238000005280 amorphization Methods 0.000 description 17
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 16
- 239000000523 sample Substances 0.000 description 16
- 238000005468 ion implantation Methods 0.000 description 12
- 230000000052 comparative effect Effects 0.000 description 11
- 239000010408 film Substances 0.000 description 10
- 150000002500 ions Chemical class 0.000 description 9
- 239000004065 semiconductor Substances 0.000 description 9
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 8
- 230000001133 acceleration Effects 0.000 description 8
- 229910052786 argon Inorganic materials 0.000 description 8
- 229910052796 boron Inorganic materials 0.000 description 8
- 238000002474 experimental method Methods 0.000 description 8
- 238000002128 reflection high energy electron diffraction Methods 0.000 description 8
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 7
- 238000005516 engineering process Methods 0.000 description 6
- 238000010926 purge Methods 0.000 description 6
- 230000003247 decreasing effect Effects 0.000 description 5
- 238000010586 diagram Methods 0.000 description 5
- 229910001873 dinitrogen Inorganic materials 0.000 description 5
- 230000000694 effects Effects 0.000 description 5
- 238000000572 ellipsometry Methods 0.000 description 5
- 238000009792 diffusion process Methods 0.000 description 4
- 239000002019 doping agent Substances 0.000 description 4
- 238000005259 measurement Methods 0.000 description 4
- 239000010409 thin film Substances 0.000 description 4
- 239000012808 vapor phase Substances 0.000 description 4
- 230000003213 activating effect Effects 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 229910021419 crystalline silicon Inorganic materials 0.000 description 3
- 229910021417 amorphous silicon Inorganic materials 0.000 description 2
- 238000007796 conventional method Methods 0.000 description 2
- 229910052732 germanium Inorganic materials 0.000 description 2
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 2
- 150000002431 hydrogen Chemical class 0.000 description 2
- 230000031700 light absorption Effects 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 239000000463 material Substances 0.000 description 2
- 239000012071 phase Substances 0.000 description 2
- 230000000630 rising effect Effects 0.000 description 2
- 238000001179 sorption measurement Methods 0.000 description 2
- 238000000018 DNA microarray Methods 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 238000005229 chemical vapour deposition Methods 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 239000007943 implant Substances 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 239000004973 liquid crystal related substance Substances 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 239000012466 permeate Substances 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 238000004151 rapid thermal annealing Methods 0.000 description 1
- 238000001004 secondary ion mass spectrometry Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- 238000003887 surface segregation Methods 0.000 description 1
- 238000011282 treatment Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/26—Bombardment with radiation
- H01L21/263—Bombardment with radiation with high-energy radiation
- H01L21/265—Bombardment with radiation with high-energy radiation producing ion implantation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/26—Bombardment with radiation
- H01L21/263—Bombardment with radiation with high-energy radiation
- H01L21/265—Bombardment with radiation with high-energy radiation producing ion implantation
- H01L21/26506—Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/22—Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
- H01L21/223—Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/22—Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
- H01L21/223—Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
- H01L21/2236—Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/22—Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
- H01L21/225—Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
- H01L21/2251—Diffusion into or out of group IV semiconductors
- H01L21/2254—Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T117/00—Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
- Y10T117/10—Apparatus
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- High Energy & Nuclear Physics (AREA)
- Health & Medical Sciences (AREA)
- Toxicology (AREA)
- Plasma & Fusion (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Semiconductor Memories (AREA)
- Electrodes Of Semiconductors (AREA)
- Recrystallisation Techniques (AREA)
- Physical Vapour Deposition (AREA)
- Thin Film Transistor (AREA)
Description
【0001】
本発明は、半導体等の製造プロセスにおいて不純物を導入する不純物導入方法に関するものである。
【背景技術】
【0002】
固体基体表面もしくは薄膜の表面には、一般的に、固体基体を構成する原子が空気中の酸素と結びついた酸化物の膜や水素で終端された膜が形成されている。その膜は非常に薄く通常は1nm以下である。従来は酸化物などの膜の上からイオン注入などの手段で不純物を物理的に導入していた。すなわち不純物となるイオンに電界等でエネルギーを与えて、表面に照射することで固体基体内部に不純物を導入していた。
【0003】
ところで、近年デバイスの微細化に伴って浅い接合を形成する技術が求められている。ここで従来の浅い接合の形成技術としては、低エネルギーイオン注入技術が挙げられる。低エネルギーイオン注入技術は、イオン源からある程度高い電圧でイオンを引き出した後に、後段で減速させる方法であり、ビーム電流値をある程度大きく保ち、且つ、低エネルギーの注入ができる等の工夫がされてきた。このような工夫の結果、数10nm程度の浅い不純物層の形成が可能となり、工業的に半導体の製造に適用されている。
【0004】
さらに浅い接合形成のために、近年注目されている技術としてプラズマドーピング技術が挙げられる。プラズマドーピング技術とは、所望の粒子を含んだプラズマを半導体基板等の被処理体の表面に接触させて、被処理体表面に不純物を導入する技術である。ここで、プラズマはたかだか数100Vの低エネルギーなので浅い不純物層の形成に適しており、10数nmから数10nm程度の浅い接合を形成した実験が報告されている。
【0005】
更に、現在最も浅いP型の接合を達成した実験が「テクニカル ダイジェスト オブ シンポジウム オン ブイエルエスアイ テクノロジ」(Technical Digest of Symposium on VLSI Technology, Honolulu, P. 110 (2000))に開示されている。これによると接合の深さは7nmであると説明されている。
【0006】
また、ガスソースを用いた気相ドーピング法が、(1)International Workshop on Junction Technology (IWJT), p. 19 (2000)、(2)J. Vac. Sci. Technol. A16, P.1, (1998)、(3)シリコンテクノロジーNo. 39 18th June, 2002 等に提案されている。これは常圧水素雰囲気で半導体基板を加熱し、B2H6やPH3を供給することでP型およびN型の不純物拡散層を形成することができる方法である。ここで水素キャリアガスはシリコン上の自然酸化膜を除去し、清浄表面を保つことで不純物特にボロンの表面偏析を抑制する効果がある。
【0007】
またガスを分解するためには、一般に600℃以上の温度が必要である。例えば「シリコンテクノロジー」(No. 39, 18th June, 2002)には、半導体基板を900℃に加熱して、1ppmのB2H6ガスを40秒供給することで高濃度の浅い接合を形成した実験結果が開示されている。これによると、ボロン濃度が1×1018 cm-3となる深さを接合深さとして接合深さは上記と同程度の約7nmである。
【0008】
更に、International Workshop on Junction Technology (IWJT), p.39-40 (2002)には、気相ドーピング法を室温で実現する技術が開示されている。これらは、表面に酸化物などの膜が付着している固体基体に物質を導入する際に、酸化物などの膜を除去した後に、所望の粒子を付着あるいは導入する方法である。その報告によると不純物導入層の深さは3〜4nmである。
【0009】
以上、説明したように、プラズマドーピングや低エネルギーイオン注入などの方法により、近年では10数nmから数10nm程度の浅い接合を形成した実験が報告されている。現在最も浅いP型の接合を達成した実験では7nm程度の浅い不純物層を形成している。しかし、デバイスのさらなる微細化が進むに従ってより浅い不純物層をより簡単に、かつ低抵抗に形成する方法の提供が求められている。
【0010】
このような要求に応える技術として、プラズマドーピング技術は小さい加速エネルギーで粒子を半導体基板に導入できるので、イオン注入よりは浅い導入層ができる。しかし、小さいエネルギーとはいえ加速エネルギーを持つため、浅くすることには限界がある。また、プラズマドーピングではラジカルがドーパントとして基板に供給されることが知られている。ラジカルは電荷を持たないのでシース間で加速されて基板に打ち込まれることはないが、活性なので基板表面と反応して基板に導入されると考えられる。ガスソースを用いた気相ドーピング法は、加速エネルギーを持たないドーパントを基板に供給して表面反応によって不純物拡散層を形成する技術である。これらはエネルギーを持ったイオンを基板に照射する方法の限界を超える技術と位置付けられている。
【0011】
しかしながら、上記のように、ガスソースを用いた気相ドーピングの方法はガスを分解するために、一般に600℃以上の温度が必要とされていた。このような高温度ではマスク材料としてホトレジストを用いることはできない。そのためCVD法によりSiO2などを形成し、パターニングする必要があり、トランジスタ形成プロセスの工程が増加してしまうという課題があった。
【0012】
さらに、プラズマドーピングや気相ドーピング法などにおけるラジカルやガス分子などの加速エネルギーを持たないドーパント、または非常に加速エネルギーが小さいドーパントを基板に導入する場合には、短時間で高濃度の不純物層を形成することが難しいという課題があった。
【0013】
また、酸化物などの膜を除去した後に、所望の粒子を付着または導入する方法を採用した気相ドーピング法は、室温で高濃度の不純物層を形成できるが、ドーズ量の制御方法が提案されていないという課題があった。
【0014】
半導体基板である結晶シリコンをアモルファス化する技術としては、ゲルマニウムやシリコンをイオン注入する方法が従来から知られている。ゲルマニウムやシリコンをシリコン基板にイオン注入して表面をアモルファス化した後に、ボロンなどの不純物をイオン注入し、その後、アニールする工程が広く検討されている。不純物をイオン注入する前にアモルファス化しておく利点として、以下のことが知られている。(1)ボロンなどの小さい不純物がイオン注入時に深くまで導入されにくくなること。(2)アモルファスシリコンは結晶シリコンと比較して光の吸収係数が高いので、アニール時に効率良く不純物を活性化できること。
【0015】
しかし、イオン注入によるアモルファス化は、浅いアモルファス層を作る効率が不十分であるという課題があった。
【発明の開示】
【0016】
そこで本発明の不純物導入方法は、単結晶基板表面にアモルファス層を形成する第1工程と、アモルファス化された前記単結晶基板に浅い不純物導入層を形成する第2工程を含み、前記第1工程が前記単結晶基板の表面にヘリウム、水素または、ヘリウムと水素からなるプラズマを照射する工程であり、前記第2工程がアモルファス化された前記単結晶基板の表面に不純物を導入する工程であることを特徴とする。
また本発明は、上記不純物導入方法において、前記第2工程が不純物をプラズマドーピングする工程であることを特徴とする。
また本発明は、上記不純物導入方法において、前記第2工程の後にアニール工程を含み、前記アニール工程が前記不純物を活性化させることを特徴とする。
また本発明は、上記不純物導入方法において、前記第1工程が前記第2工程に先立って行われるか、または、前記第2工程と同時に行われることを特徴とする。
また本発明は、上記不純物導入方法において、前記記第1工程と前記第2工程を同じプロセスチャンバー内で連続の工程としてin-situで行うことを特徴とする。
また本発明は、上記不純物導入方法において、前記単結晶基板がシリコンであり、前記第1工程が、前記単結晶基板の表面に照射するプラズマに関するバイアス電圧、照射時間、バイアスパワー、イオン種およびシース電圧のうちの少なくとも一の条件を変えることで、前記アモルファス層の厚さ制御する工程であることを特徴とする。
また本発明は、上記不純物導入方法において、前記プラズマ照射時間が5秒以上70秒未満であることを特徴とする。
また本発明は、上記不純物導入方法において、前記第2工程が、前記アモルファス層に前記所望の粒子を含むガス、またはプラズマを接触させる工程であって、前記単結晶基板の表面に前記粒子が不純物として導入されることを特徴とする。
また本発明は、上記不純物導入方法において、前記第2工程で、所望の粒子が、プラズマ、ラジカル、ガス、イオンの状態で導入されることを特徴とする。
また本発明は、上記不純物導入方法において、前記プラズマを照射している間のバイアス電圧が30V以上310V未満であることを特徴とする。
すなわち、本発明の不純物導入方法は、固体基体の表面にアモルファス層を形成する工程と、アモルファス化された前記固体基体に浅い不純物導入層を形成する工程を含み、アモルファス層を形成する工程が前記半導体基板の表面にプラズマを照射する工程であり、浅い不純物導入層を形成する工程がアモルファス化された前記表面に不純物を導入する工程であることを特徴とする。本発明はまた、不純物を導入後、不純物を電気的に活性化させるためにアニールする工程をさらに含む。
【0017】
また、本発明の不純物導入装置は、固体基体表面をアモルファス化する装置と、不純物となる所望の粒子を導入する装置と、導入した不純物を活性化させるアニール装置の装置群を少なくとも有するものである。
【発明の効果】
【0018】
以上のように本発明は、室温において短時間で高濃度の非常に浅い不純物層を形成でき、且つ、ドーズ量の制御が容易でアニール後のシート抵抗を低抵抗化できる不純物層を形成することができる不純物導入方法を提供するものである。
【発明を実施するための最良の形態】
【0019】
本発明の不純物導入方法は、固体基体表面にプラズマを照射してアモルファス層を形成後に、または固体基体表面にアモルファス層を形成しながら、不純物となる所望の粒子を浸透、付着もしくは導入する(以下、導入と称する)ことを特徴とする。この理由は、アモルファス層を形成することで所望の粒子を、固体基体に導入しやすくなるからである。さらに、固体基体の一つであるシリコン基板に適用する場合、アモルファスシリコンは結晶シリコンと比較して光の吸収係数が高いので、表面にアモルファス層を形成することでアニール時に効率良く不純物を活性化でき、極浅接合Ultra-Shallow Junctionの低抵抗化を実現できるからである。
【0020】
固体基体表面に極浅のアモルファス層を形成する場合には、プラズマを固体表面に照射する手段を選ぶことが望ましい。その理由は、従来のイオン注入によるアモルファス化に比べて、低エネルギーのプラズマを用いるので、浅いアモルファス層がより効率良く作成できるからである。アモルファス層が有する効果のうち、不純物をガスやラジカルなどの超低エネルギー状態で導入し易いという効果だけを利用したい場合には、アモルファス層の形成を、プラズマを固体表面に照射する手段、固体表面にイオン注入する手段、固体表面にアモルファス層を付け加える手段の群から選ばれる少なくとも一つの手段によって行うことで実現できる。プラズマを固体表面に照射する時間は70秒未満とすることが良好なスループットを実現するために望ましい。また、イオン注入はGeイオンを注入することが電気的特性の向上を期待できるので望ましい。
【0021】
不純物を導入する方法は、当該アモルファス化した固体基体表面に所望の粒子を含むガス、またはプラズマを接触させて固体基体表面もしくはその近傍に浸透、付着もしくは導入することが望ましい。この理由は、ガス、またはプラズマのように加速エネルギーを持たない、または非常に加速エネルギーが小さい状態の粒子を接触させる方が浅い不純物層を形成できるからである。具体的な状態としては、プラズマ、ラジカル、ガス、超低エネルギーイオンなどが挙げられる。不純物導入方法の具体的名称としては、ガスの状態で導入する場合はガスドーピング、プラズマを固体基体表面に接触させる場合にはプラズマドーピングと呼ばれる。また、アモルファス化をイオン注入で行う場合には、効率良くアニールできることに加えて、不純物を超低エネルギーで導入できることを利用することで新規性が発揮される。具体的には、アモルファス化をイオン注入で行う場合には、不純物の導入はガスを固体基体表面に接触させる方法を用いる。この方法により、非常に浅い不純物導入層を作ることができる。
【0022】
アモルファス化する固体基体表面の厚さ、アモルファス化の度合いを制御、調整することで、ドーズ量と接合深さを制御、調整して不純物を導入する方法は、シート抵抗値を制御し易く、またデバイス特性も制御し易いため望ましい。アモルファス化する固体基体表面の厚さ、アモルファス化の度合いの制御および調整は、具体的には、固体表面に照射するプラズマに関するバイアス電圧、照射時間、バイアスパワー、イオン種、シース電圧を変えることで行うことができる。
【0023】
プラズマはアルゴン、ヘリウムなどの希ガス、または水素を含むことが望ましい。その理由は、希ガスは化学的に安定で固体基体表面との化学的反応が起こりにくいので、所望の粒子と固体基体表面との表面吸着を抑制する割合が小さいと考えられる。その結果、アモルファス化による不純物導入に加えて表面吸着による不純物の導入も期待できるからである。またヘリウム、水素は高温での拡散係数が大きくアニール後に表面に残る量が少ないので電気的にほとんど悪影響を及ぼさないので望ましい。ヘリウムは、化学的に安定であり、高温での拡散係数が大きいという両方の特性を兼ね備えているので特に望ましい。
【0024】
本発明の不純物導入装置は、固体基体表面をアモルファス化する装置と、所望の粒子を導入する装置と、導入した所望の粒子を活性化させるアニール装置の装置群を少なくとも有するものであり、それにより本発明に係るプロセスを実現できる。
【0025】
さらに、固体基体表面をアモルファス化する装置と、所望の粒子を導入する装置と、導入した所望の粒子を活性化させるアニール装置の装置群を2つ以上組み合わせた、または一体化した方が、装置を小型化できる、生産性を向上できるなどの理由で望ましい。
【0026】
さらに、短時間で高濃度の非常に浅い不純物層を形成でき、且つ、ドーズ量の制御が従来よりも容易で、アニール後のシート抵抗を低抵抗化できる不純物層の形成方法を提供できる。
【0027】
以下、本発明の実施例を、図面を参照しながら説明する。ただし、本発明は以下の実施例に限定されない。
【0028】
図1は、本発明の一実施例で用いた装置100を説明する。装置100は、高周波電源1、マッチングボックス2、コイルおよびアンテナ3、マスフローコントローラ4および5、ターボ分子ポンプ6、コンダクタンスバルブ7、ドライポンプ8、サークレータ9、DC電源10、マッチングボックス11、高周波電源12および下部電極14を有する。シリコン基板等の被処理体13は下部電極上に置かれる。
【0029】
図1では、シリコン基板13をプロセスチャンバー15内に搬送した後、下部電極14上に設置した。プロセスチャンバー15には希ガスの導入管16とジボランガスの導入管17を別々に接続した。なお、希ガスは、希ガスプラズマを表面に照射することでシリコン基板表面をアモルファス化させるために用いる。ジボランガスは、プラズマ化されてプラズマドーピングするために用いられたり、ガスのままプロセスチャンバー15に導入されてガスドーピングに用いられたりする。ガス流量はマスフローコントローラ4,5で別々に制御できる構成である。
【0030】
以下の実施例では、固体基体自体の表面のアモルファス化を説明する。但し本発明はそれに限定されるわけでなく、固体基体上に形成された薄膜の表面にも適用できる。
【0031】
(実施例1)
実施例1では、プラズマを照射してアモルファス層を形成する工程について詳しく説明する。
【0032】
プロセスチャンバー15内で、被処理体13としてのシリコン基板にプラズマを照射した。
【0033】
用いたガスは、アルゴン、ヘリウムまたは99.975%のヘリウムに0.025%のジボランを混合したガスである。
【0034】
最初に、シリコン基板にアルゴンプラズマを照射した。プラズマ照射条件は、プラズマ照射時間5秒から60秒、バイアス電圧30Vから310Vで行った。プラズマ照射を止めて、プロセスチャンバー15内部を最初に真空引きした後、窒素ガスでパージをして基板をプロセスチャンバー15から取り出した。取り出した基板の断面をTEM観察した。図2は、バイアス電圧180Vでプラズマ照射時間5秒間のアルゴンプラズマ照射後の断面TEM写真である。シリコン基板21上に、4.3nmの厚さのアモルファス層22が形成されていることわかる。
【0035】
また、ヘリウムプラズマを用いた場合でもアモルファス層の形成を確認できた。なお、ヘリウムプラズマを用いた場合については、実施例2で説明する。
【0036】
さらに、99.975%のヘリウムガスと0.025%のジボランガスとの混合ガスのプラズマを用いて、100Vのバイアス電圧で60秒間、プラズマ照射を行った。アモルファス層の厚さは、10nmであった。また、SIMSで測定したボロンのドーズ量は7.3×1014cm-2であった。以上説明したように、アモルファス層の形成と不純物の導入とを同時に行うことが出来た。
【0037】
(実施例2)
実施例2では、アモルファス化のためのプラズマ照射におけるアモルファス層の厚さの制御について説明する。
【0038】
プロセスチャンバー15内で、被処理体13としてのシリコン基板にヘリウムガス濃度が100%のヘリウムガスのプラズマを照射した。プラズマ照射時間を7秒と30秒、バイアス電圧を30Vから310Vの範囲で変化させた条件でそれぞれ行った。プロセスチャンバー15から取り出した基板のアモルファス層の厚さをエリプソメトリーで測定した。図3は、バイアス電圧とアモルファス層の厚さの関係である。プラズマ照射で、2nmから22nmの範囲のアモルファス層を30秒以下の短時間で形成できることが了解できる。また、バイアス電圧を変化させることで、アモルファス層の厚さを制御できた。さらにプラズマを照射する時間を変えることでアモルファス層の厚さを変えることができた。
【0039】
(実施例3)
実施例3では、本発明の不純物導入方法をガスドーピングに適用した例を示す。ガスドーピングで不純物を導入した場合において、アモルファス層の有無によるシート抵抗値の違いの検討を行った。アモルファス化により不純物が超低エネルギーで導入され易いことと、アニール後に低抵抗化できることを説明する。
【0040】
プロセスチャンバー15内で、被処理体13としてのシリコン基板にアルゴンガスのプラズマを照射した。プラズマ照射は、プラズマ照射時間5秒、バイアス電圧160Vの条件で行った。プラズマ照射を止めて、プロセスチャンバー15内部を真空引きした後、B2H6ガスを基板表面に70秒間接触させた。70秒後にB2H6ガスの供給を止めて、真空引きした後、窒素ガスでパージをして基板をプロセスチャンバー15から取り出した。
【0041】
取り出した基板を、1100℃、3分間でアニールした後、4探針法を用いてシート抵抗値を測定した。また、プラズマ照射されただけの基板について、RHEEDによる観察と、エリプソメトリーによる膜厚等の測定を行った。ここで、RHEEDとは反射高速電子回折Reflection High-Energy Electron Diffractionのことである。
【0042】
図4にRHEEDによる表面観察の結果を示す。図4が示すように、結晶に特有の揮点が見られず、プラズマ照射することで基板の表面がアモルファス化していることがわかる。また、シート抵抗値は5.1E3 ohm/sqであった。
【0043】
更に、プラズマ照射時間を5秒から70秒の範囲で、バイアス電圧を45Vから210Vの範囲で変えて同様の実験を行った。全ての条件で基板表面がアモルファス化されていた。このとき、シート抵抗値は6.5E2 ohm/sq以上、5.1E3 ohm/sq以下の範囲に分布した。
【0044】
図6はアモルファス層の有無によるシート抵抗値(Sheet resistance)の違いを示したものである。アモルファス化を行った本実施例のシート抵抗値測定結果を白丸(○)で示す。同時に、アモルファス化を行わなかった比較例1の結果を黒丸(●)で示した。実施例のシート抵抗値は、比較例のシート抵抗値に比べて1桁から2桁小さい値に分布した。アモルファス層を形成したことにより、B2H6ガスが加速エネルギーを与えることなしでも基板に導入されやすくなったことおよびアニールに用いた光を効率良く吸収したことにより比較例と比べてシート抵抗値が大きく減少したと考えられる。
【0045】
(実施例4)
実施例4では、不純物の導入方法をプラズマドーピングで行った場合のアモルファス層の有無によるシート抵抗値の違いの検討を行った。アモルファス化により、アニール後の接合深さが同じであるにもかかわらず、低抵抗化できることを説明する。
【0046】
シリコン基板表面をアモルファス化するために、プロセスチャンバー15内で被処理体13としてのシリコン基板にヘリウムガス濃度が100%のヘリウムガスのプラズマを照射した。プラズマ照射は、プラズマ照射時間7秒、バイアス電圧150Vの条件で行った。プラズマ照射を止めて、プロセスチャンバー15内部を真空引きした。その後、プラズマドーピングのために、ヘリウムガスで5%に希釈したB2H6ガスのプラズマをバイアス電圧200Vで7秒間照射した。プラズマ照射を止めて、真空引きした後、窒素ガスでパージをして基板をプロセスチャンバー15から取り出した。その後、不純物を電気的に活性化するために、昇温速度200℃/秒、降温速度50℃/秒、最高到達温度1000℃のスパイク高速熱アニール(spike RTA)でアニールした。このように作成した試料のシート抵抗とSIMSプロファイルを測定した。
【0047】
この試料のシート抵抗値は635ohm/sqであった。SIMSプロファイルでボロン濃度が1×1018cm-3となる深さを接合深さとすると、その接合深さは27.2nmであった。一方、比較例2で詳しく説明するが、アモルファス化をせずに、他の条件は同じにして作成した試料のシート抵抗値は923ohm/sqで、接合深さは28.1nmであった。すなわち、ヘリウムプラズマ照射によるアモルファス化をすることで、ほぼ同じ接合深さでも30%以上低抵抗化することができた。
【0048】
(実施例5)
実施例5では、プラズマ照射時間とシート抵抗値との関係を検討した。結果を図7に示す。
【0049】
プロセスチャンバー15内で、被処理体13としてのシリコン基板にアルゴンガスのプラズマを照射した。アルゴンプラズマ照射は、プラズマ照射時間を5秒から70秒の範囲で変化させた。バイアス電圧は45V(×で示す)と160V(■で示す)の2つの条件で行った。プラズマ照射後の処理は何れも同じ条件で行った。すなわち、プラズマ照射を止めて、プロセスチャンバー15内部を真空引きした後、B2H6ガスを基板表面に70秒間接触させた。70秒後にB2H6ガスの供給を止めて、真空引きした後、窒素ガスでパージをして基板をプロセスチャンバー15から取り出した。取り出した基板は、1100℃、3分間でアニールされた後、4探針法を用いてシート抵抗値が測定された。
【0050】
バイアス電圧が45Vと160Vのどちらの場合も、プラズマ照射時間が長くなるに従ってシート抵抗値は低下した。この結果から、プラズマ照射時間を変えることでシート抵抗値を制御できることがわかる。
【0051】
また、上記の実験結果は、プラズマ照射時間が長いほど高ドーズであることを示している。プラズマ照射時間が長いほど、シリコン基板表面のアモルファス化の程度が進行し、B2H6ガスの基板への導入の量が増加したものと考えられる。
【0052】
(実施例6)
実施例6では、バイアス電圧とシート抵抗値の関係を検討した。その結果を図8に示す。アルゴンプラズマ照射時間は70秒とし、その他の条件は何れも同じとして、バイアス電圧を、45V(サンプル1)、160V(サンプル2)、210V(サンプル3)と変えてサンプルを作成した。特に明記していない条件は、実施例5と同様である。
【0053】
図8が示すように、シート抵抗値はバイアス電圧の絶対値が大きくなるに従って低下した。その結果、サンプル2およびサンプル3のシート抵抗値は、1.0E3 ohm/sq以下に低下した。
【0054】
これにより、バイアス電圧を変えることでシート抵抗値を制御できることがわかる。
【0055】
また、上記の実験結果はバイアス電圧の絶対値が大きいほど高ドーズであることを示している。バイアス電圧の絶対値が大きいほど、シリコン基板表面のアモルファス化の程度が進行し、B2H6ガスの基板への導入の量が増加したものと考えられる。
【0056】
(実施例7)
実施例7では、アモルファス層の厚さとシート抵抗値の関係を検討した。その結果を図9に示す。横軸のアモルファス層の厚さは、実施例6で作成したサンプル1−3の基板表面に形成されたアモルファス層の厚さをエリプソメトリーで測定した値である。また、アモルファス層の厚さがゼロのデータは、比較例としてシリコン基板を測定した場合の測定結果である。
【0057】
図9に示すように、アモルファス層の厚さは、サンプル1で約2nmであり、サンプル2および3では約3nmである。また、アモルファス層の厚さが増加するに従って、シート抵抗値は低下していることが分かる。この結果から、シート抵抗値はアモルファス層の厚さを変えることで制御できることがわかる。また、実施例5および6で説明したアモルファス化の程度は、アモルファス層の厚さとして表現することが出来ることがわかる。
【0058】
また、上記の実験結果はアモルファス層の厚さが大きいほど高ドーズであることを示している。これは、アモルファス層の厚さが大きいほどB2H6ガスの基板への導入の量が増加したことを示している。
【0059】
(比較例1)
プラズマ照射によるアモルファス化をしていないことを除いて、その他は本発明の実施の形態を示す実施例3、5、6、7と同じ条件で実験を行った。比較例1は、ガスドーピングに関するもので実施例3に対応する。プロセスチャンバー15にシリコン基板を導入し、プロセスチャンバー15内を真空引きした後、B2H6ガスを基板表面に70秒間接触させた。
【0060】
70秒後にB2H6ガスの供給を止めて真空引きした後、窒素パージをして基板をプロセスチャンバー15から取り出した。取り出した基板は、1100℃、3分間でアニールした後、4探針法でシート抵抗値を測定した。また、Secondary Ion Mass Spectrometry (以下、SIMS)でボロンのドーズ量を測定した。さらに、プロセスチャンバー15に導入する前のシリコン基板表面をRHEED、エリプソメトリーで観察、測定した。
【0061】
図5は比較例のシリコン基板のRHEEDによる表面観察結果である。図5に示す写真の上部に揮点が観察されており、結晶であることが確認できた。また、エリプソメトリーによる測定でも表面のアモルファス層は確認できなかった。
【0062】
また、シート抵抗値は1.7E5 ohm/sqであった。これはアモルファス層を形成した本実施例のシート抵抗値と比較して1桁以上大きい。SIMSの測定結果からボロンのドーズ量は2E12 cm-2であった。不純物がほとんど導入されていないことがわかる。
【0063】
(比較例2)
プラズマ照射によるアモルファス化をしていないことを除いて、その他は発明の実施例4と同じ条件で実験を行った。つまり、プロセスチャンバー15内に被処理体13としてのシリコン基板を搬送した。その後、プラズマドーピングのために、ヘリウムガスで5%に希釈したB2H6ガスのプラズマをバイアス電圧200Vで7秒間照射した。プラズマ照射を止めて、真空引きした後、窒素ガスでパージをして基板をプロセスチャンバー15から取り出した。その後、不純物を電気的に活性化するために、昇温速度200℃/秒、降温速度50℃/秒、最高到達温度1000℃のspike RTAでアニールした。このように作成した試料のシート抵抗とSIMSプロファイルを測定した。
【0064】
この試料のシート抵抗は923ohm/sq、接合深さは28.1nmであった。これは、実施例3のアモルファス化した試料と比較して、30%以上シート抵抗が高い。
【0065】
実施の形態では、固体基体表面自体のアモルファス化を説明したが、本発明の固体基体表面には、基体自体の表面に加えて基体上に形成された薄膜の表面も含む。従って、固体基体上に形成された薄膜の表面のアモルファス化も本発明に包含される。
【0066】
このように、本実施例の不純物導入方法は、室温かつ短時間で非常に浅い不純物層を形成でき、半導体、液晶、バイオチップなどの能動素子、及び抵抗、コイル、コンデンサなどの受動素子が容易に製造できる。
【産業上の利用可能性】
【0067】
以上のように本発明は、室温において短時間で高濃度の非常に浅い不純物層を形成でき、且つ、ドーズ量の制御が容易でアニール後のシート抵抗を低抵抗化できる不純物層を形成することができる不純物導入方法を提供するものである。
【図面の簡単な説明】
【0068】
【図1】本発明の一実施例に係る装置の要部断面図
【図2】本発明の一実施例における基板の断面TEM観察結果を示す図
【図3】本発明の一実施例におけるアモルファス層の厚さのプラズマ照射バイアス依存性を示す図
【図4】本発明に係るRHEED観察結果を示す図
【図5】比較例に係るRHEED観察結果を示す図
【図6】本発明の一実施例と比較例とについてシート抵抗値を比較した図
【図7】本発明の一実施例におけるシート抵抗値のプラズマ照射時間依存性を示す図
【図8】本発明の一実施例におけるシート抵抗値のバイアス電圧依存性を示す図
【図9】本発明の一実施例におけるシート抵抗値とアモルファス層厚さの関係を示す図
【符号の説明】
【0069】
1 高周波電源
2 マッチングボックス
3 コイルおよびアンテナ
4 マスフローコントローラ
5 マスフローコントローラ
6 ターボ分子ポンプ
7 コンダクタンスバルブ
8 ドライポンプ
9 サークレータ
10 DC電源
11 マッチングボックス
12 高周波電源
13 被処理体
14 下部電極
Claims (10)
- 単結晶基板表面にアモルファス層を形成する第1工程と、
アモルファス化された前記単結晶基板に浅い不純物導入層を形成する第2工程を含み、
前記第1工程が前記単結晶基板の表面にヘリウム、または水素、またはヘリウムと水素からなるプラズマを照射する工程であり、
前記第2工程がアモルファス化された前記単結晶基板の表面に不純物を導入する工程であることを特徴とする不純物導入方法。 - 請求項1記載の不純物導入方法であって、前記第2工程が不純物をプラズマドーピングする工程であることを特徴とする不純物導入方法。
- 請求項1記載の不純物導入方法であって、前記第2工程の後にアニール工程を含み、前記アニール工程が前記不純物を活性化させることを特徴とする不純物導入方法。
- 請求項1記載の不純物導入方法であって、
前記第1工程が前記第2工程に先立って行われるか、または、前記第2工程と同時に行われることを特徴とする不純物導入方法。 - 請求項1記載の不純物導入方法であって、
前記第1工程と前記第2工程を同じプロセスチャンバー内で連続の工程としてin-situで行うことを特徴とする不純物導入方法。 - 請求項1記載の不純物導入方法であって、
前記単結晶基板がシリコンであり、前記第1工程が、前記単結晶基板の表面に照射するプラズマに関するバイアス電圧、照射時間、バイアスパワー、イオン種およびシース電圧のうちの少なくとも一の条件を変えることで、前記アモルファス層の厚さ制御する工程であることを特徴とする不純物導入方法。 - 請求項6記載の不純物導入方法であって、前記プラズマ照射時間が5秒以上70秒未満であることを特徴とする不純物導入方法。
- 請求項1記載の不純物導入方法であって、前記第2工程が、前記アモルファス層に前記所望の粒子を含むガス、またはプラズマを接触させる工程であって、前記単結晶基板の表面に前記粒子が不純物として導入されることを特徴とする不純物導入方法。
- 請求項1記載の不純物導入方法であって、前記第2工程で、所望の粒子が、プラズマ、ラジカル、ガス、イオンの状態で導入されることを特徴とする不純物導入方法。
- プラズマを照射している間のバイアス電圧が30V以上310V未満であることを特徴とする請求項1記載の不純物導入方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2003041123 | 2003-02-19 | ||
JP2003041123 | 2003-02-19 | ||
PCT/JP2004/001473 WO2004075274A1 (ja) | 2003-02-19 | 2004-02-12 | 不純物導入方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
JPWO2004075274A1 JPWO2004075274A1 (ja) | 2006-06-01 |
JP4387355B2 true JP4387355B2 (ja) | 2009-12-16 |
Family
ID=32905279
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2005502685A Expired - Fee Related JP4387355B2 (ja) | 2003-02-19 | 2004-02-12 | 不純物導入方法 |
Country Status (7)
Country | Link |
---|---|
US (5) | US7709362B2 (ja) |
EP (1) | EP1596427A4 (ja) |
JP (1) | JP4387355B2 (ja) |
KR (1) | KR100739837B1 (ja) |
CN (1) | CN1751381A (ja) |
TW (1) | TW200423185A (ja) |
WO (1) | WO2004075274A1 (ja) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN103871848A (zh) * | 2012-12-14 | 2014-06-18 | 中国科学院微电子研究所 | 一种超浅结均匀性的改善方法 |
Families Citing this family (100)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW200423185A (en) | 2003-02-19 | 2004-11-01 | Matsushita Electric Ind Co Ltd | Method of introducing impurity |
WO2006064772A1 (ja) * | 2004-12-13 | 2006-06-22 | Matsushita Electric Industrial Co., Ltd. | プラズマドーピング方法 |
US7393761B2 (en) * | 2005-01-31 | 2008-07-01 | Tokyo Electron Limited | Method for fabricating a semiconductor device |
US20090140174A1 (en) | 2005-03-30 | 2009-06-04 | Panasonic Corporation | Impurity Introducing Apparatus and Impurity Introducing Method |
WO2006106858A1 (ja) * | 2005-03-31 | 2006-10-12 | Matsushita Electric Industrial Co., Ltd. | プラズマドーピング方法及び装置 |
US7888245B2 (en) * | 2006-05-11 | 2011-02-15 | Hynix Semiconductor Inc. | Plasma doping method and method for fabricating semiconductor device using the same |
AU2007281514B2 (en) * | 2006-08-01 | 2011-07-14 | Resource Converting, LLC | Improved drying system |
KR100769833B1 (ko) * | 2006-08-14 | 2007-10-23 | 동부일렉트로닉스 주식회사 | 반도체 소자 제조 방법 |
US20080075880A1 (en) * | 2006-09-26 | 2008-03-27 | Anthony Renau | Non-doping implantation process utilizing a plasma ion implantation system |
US7820533B2 (en) * | 2007-02-16 | 2010-10-26 | Varian Semiconductor Equipment Associates, Inc. | Multi-step plasma doping with improved dose control |
US9498845B2 (en) * | 2007-11-08 | 2016-11-22 | Applied Materials, Inc. | Pulse train annealing method and apparatus |
JP2009182076A (ja) * | 2008-01-30 | 2009-08-13 | Panasonic Corp | 半導体装置及びその製造方法 |
US8124506B2 (en) * | 2008-08-14 | 2012-02-28 | Varian Semiconductor Equipment Associates, Inc. | USJ techniques with helium-treated substrates |
US8372735B2 (en) * | 2008-08-14 | 2013-02-12 | Varian Semiconductor Equipment Associates, Inc. | USJ techniques with helium-treated substrates |
JP2012507867A (ja) * | 2008-10-31 | 2012-03-29 | アプライド マテリアルズ インコーポレイテッド | P3iプロセスにおけるドーピングプロファイルの調整 |
US20110300696A1 (en) * | 2010-06-02 | 2011-12-08 | Varian Semiconductor Equipment Associates, Inc. | Method for damage-free junction formation |
JP5826524B2 (ja) * | 2010-07-16 | 2015-12-02 | 住友重機械工業株式会社 | プラズマドーピング装置及びプラズマドーピング方法 |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8877602B2 (en) * | 2011-01-25 | 2014-11-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Mechanisms of doping oxide for forming shallow trench isolation |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US20140342569A1 (en) * | 2013-05-16 | 2014-11-20 | Applied Materials, Inc. | Near surface etch selectivity enhancement |
CN103500718A (zh) * | 2013-08-02 | 2014-01-08 | 上海华力微电子有限公司 | 监控集成电路制造中离子注入工艺的方法 |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US20170170018A1 (en) * | 2015-12-14 | 2017-06-15 | Lam Research Corporation | Conformal doping using dopant gas on hydrogen plasma treated surface |
US9911660B2 (en) | 2016-04-26 | 2018-03-06 | Lam Research Corporation | Methods for forming germanium and silicon germanium nanowire devices |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) * | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10147584B2 (en) * | 2017-03-20 | 2018-12-04 | Varian Semiconductor Equipment Associates, Inc. | Apparatus and techniques for decelerated ion beam with no energy contamination |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10763338B2 (en) * | 2017-08-30 | 2020-09-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Silicide implants |
US10424487B2 (en) | 2017-10-24 | 2019-09-24 | Applied Materials, Inc. | Atomic layer etching processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
WO2020174731A1 (ja) * | 2019-02-28 | 2020-09-03 | パナソニックIpマネジメント株式会社 | 赤外線センサ、赤外線センサアレイ、及び赤外線センサの製造方法 |
CN113846384B (zh) * | 2021-09-22 | 2023-05-12 | 中国科学院半导体研究所 | 晶体锗材料的表面非晶化的方法 |
Family Cites Families (66)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5897863A (ja) * | 1981-12-07 | 1983-06-10 | Toshiba Corp | 半導体装置の製造方法 |
JPS63299328A (ja) | 1987-05-29 | 1988-12-06 | Matsushita Electric Ind Co Ltd | 不純物導入方法 |
JPH03218638A (ja) | 1989-08-11 | 1991-09-26 | Seiko Instr Inc | 半導体装置の製造方法 |
JPH05206053A (ja) | 1992-01-30 | 1993-08-13 | Matsushita Electric Ind Co Ltd | 結晶損傷除去装置 |
JPH05206045A (ja) * | 1992-01-27 | 1993-08-13 | Hitachi Ltd | 半導体装置の製造方法 |
JP2530990B2 (ja) * | 1992-10-15 | 1996-09-04 | 富士通株式会社 | 薄膜トランジスタ・マトリクスの製造方法 |
JPH0712085B2 (ja) | 1992-10-22 | 1995-02-08 | 株式会社半導体エネルギー研究所 | 絶縁ゲート型電界効果半導体装置の作製方法 |
JP3437863B2 (ja) | 1993-01-18 | 2003-08-18 | 株式会社半導体エネルギー研究所 | Mis型半導体装置の作製方法 |
US5738731A (en) | 1993-11-19 | 1998-04-14 | Mega Chips Corporation | Photovoltaic device |
JP2919254B2 (ja) * | 1993-11-22 | 1999-07-12 | 日本電気株式会社 | 半導体装置の製造方法および形成装置 |
US5897346A (en) | 1994-02-28 | 1999-04-27 | Semiconductor Energy Laboratory Co., Ltd. | Method for producing a thin film transistor |
JPH08279475A (ja) | 1995-04-04 | 1996-10-22 | Murata Mfg Co Ltd | 化合物半導体における能動層の形成方法 |
US5956581A (en) | 1995-04-20 | 1999-09-21 | Semiconductor Energy Laboratory Co., Ltd. | Method of manufacturing a semiconductor device |
JPH0917867A (ja) | 1995-06-30 | 1997-01-17 | Nkk Corp | 半導体装置におけるコンタクト部の形成方法 |
JP2848439B2 (ja) * | 1995-11-10 | 1999-01-20 | 日本電気株式会社 | 半導体装置の製造方法 |
US6391690B2 (en) | 1995-12-14 | 2002-05-21 | Seiko Epson Corporation | Thin film semiconductor device and method for producing the same |
JP3545526B2 (ja) * | 1996-01-19 | 2004-07-21 | 株式会社東芝 | 半導体装置の製造方法 |
US5892235A (en) | 1996-05-15 | 1999-04-06 | Semiconductor Energy Laboratory Co., Ltd. | Apparatus and method for doping |
TW548686B (en) | 1996-07-11 | 2003-08-21 | Semiconductor Energy Lab | CMOS semiconductor device and apparatus using the same |
JP3749924B2 (ja) | 1996-12-03 | 2006-03-01 | 富士通株式会社 | イオン注入方法および半導体装置の製造方法 |
US5908307A (en) | 1997-01-31 | 1999-06-01 | Ultratech Stepper, Inc. | Fabrication method for reduced-dimension FET devices |
GB2343550A (en) * | 1997-07-29 | 2000-05-10 | Silicon Genesis Corp | Cluster tool method and apparatus using plasma immersion ion implantation |
JPH1154451A (ja) | 1997-08-07 | 1999-02-26 | Mitsubishi Electric Corp | 半導体装置の製造方法および半導体装置 |
TW388087B (en) | 1997-11-20 | 2000-04-21 | Winbond Electronics Corp | Method of forming buried-channel P-type metal oxide semiconductor |
JP3523093B2 (ja) | 1997-11-28 | 2004-04-26 | 株式会社東芝 | 半導体装置およびその製造方法 |
US6071782A (en) | 1998-02-13 | 2000-06-06 | Sharp Laboratories Of America, Inc. | Partial silicidation method to form shallow source/drain junctions |
JP3054123B2 (ja) | 1998-06-08 | 2000-06-19 | アプライド マテリアルズ インコーポレイテッド | イオン注入方法 |
US6037204A (en) | 1998-08-07 | 2000-03-14 | Taiwan Semiconductor Manufacturing Company | Silicon and arsenic double implanted pre-amorphization process for salicide technology |
US6030863A (en) | 1998-09-11 | 2000-02-29 | Taiwan Semiconductor Manufacturing Company | Germanium and arsenic double implanted pre-amorphization process for salicide technology |
KR100316707B1 (ko) * | 1999-02-05 | 2001-12-28 | 윤종용 | 모스 트랜지스터 및 그 제조방법 |
KR100745495B1 (ko) | 1999-03-10 | 2007-08-03 | 동경 엘렉트론 주식회사 | 반도체 제조방법 및 반도체 제조장치 |
US6617226B1 (en) | 1999-06-30 | 2003-09-09 | Kabushiki Kaisha Toshiba | Semiconductor device and method for manufacturing the same |
TW423052B (en) * | 1999-09-06 | 2001-02-21 | Taiwan Semiconductor Mfg | Preprocess of metal silidation manufacturing process |
TW484187B (en) | 2000-02-14 | 2002-04-21 | Tokyo Electron Ltd | Apparatus and method for plasma treatment |
WO2001071787A1 (en) | 2000-03-17 | 2001-09-27 | Varian Semiconductor Equipment Associates, Inc. | Method of forming ultrashallow junctions by laser annealing and rapid thermal annealing |
JP3851752B2 (ja) | 2000-03-27 | 2006-11-29 | 株式会社東芝 | 半導体装置の製造方法 |
US6265321B1 (en) * | 2000-04-17 | 2001-07-24 | Chartered Semiconductor Manufacturing Ltd. | Air bridge process for forming air gaps |
JP2001326190A (ja) | 2000-05-17 | 2001-11-22 | Nec Corp | 薄膜処理方法及び薄膜処理装置 |
JP4171162B2 (ja) | 2000-05-30 | 2008-10-22 | 三洋電機株式会社 | 光起電力素子およびその製造方法 |
US6893907B2 (en) | 2002-06-05 | 2005-05-17 | Applied Materials, Inc. | Fabrication of silicon-on-insulator structure using plasma immersion ion implantation |
KR100962054B1 (ko) | 2000-12-05 | 2010-06-08 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | 반도체 장치 제조 방법 |
TW525216B (en) | 2000-12-11 | 2003-03-21 | Semiconductor Energy Lab | Semiconductor device, and manufacturing method thereof |
JP2002184710A (ja) * | 2000-12-18 | 2002-06-28 | Sony Corp | 半導体層のドーピング方法、薄膜半導体素子の製造方法、及び薄膜半導体素子 |
TW546846B (en) | 2001-05-30 | 2003-08-11 | Matsushita Electric Ind Co Ltd | Thin film transistor and method for manufacturing the same |
JP2003007636A (ja) | 2001-06-26 | 2003-01-10 | Sony Corp | ドーピング量削減方法 |
US20030040130A1 (en) * | 2001-08-09 | 2003-02-27 | Mayur Abhilash J. | Method for selection of parameters for implant anneal of patterned semiconductor substrates and specification of a laser system |
JP4686945B2 (ja) | 2001-09-12 | 2011-05-25 | 株式会社デンソー | 炭化珪素半導体装置の製造方法 |
US6713819B1 (en) * | 2002-04-08 | 2004-03-30 | Advanced Micro Devices, Inc. | SOI MOSFET having amorphized source drain and method of fabrication |
JP3746246B2 (ja) | 2002-04-16 | 2006-02-15 | 株式会社東芝 | 半導体装置の製造方法 |
US7135423B2 (en) | 2002-05-09 | 2006-11-14 | Varian Semiconductor Equipment Associates, Inc | Methods for forming low resistivity, ultrashallow junctions with low damage |
JP2004014878A (ja) | 2002-06-07 | 2004-01-15 | Sharp Corp | 半導体基板の製造方法及び半導体装置 |
JP2004063391A (ja) | 2002-07-31 | 2004-02-26 | Mitsumi Electric Co Ltd | モジュール用コネクタ |
JP2004158627A (ja) | 2002-11-06 | 2004-06-03 | Renesas Technology Corp | 半導体装置の製造方法 |
TW200409279A (en) * | 2002-11-27 | 2004-06-01 | Promos Technologies Inc | Method for forming trench isolation |
JP4544447B2 (ja) | 2002-11-29 | 2010-09-15 | パナソニック株式会社 | プラズマドーピング方法 |
TW200423185A (en) | 2003-02-19 | 2004-11-01 | Matsushita Electric Ind Co Ltd | Method of introducing impurity |
US20040235281A1 (en) | 2003-04-25 | 2004-11-25 | Downey Daniel F. | Apparatus and methods for junction formation using optical illumination |
US7333034B2 (en) | 2003-05-21 | 2008-02-19 | Sony Corporation | Data processing device, encoding device, encoding method, decoding device decoding method, and program |
JP4589606B2 (ja) | 2003-06-02 | 2010-12-01 | 住友重機械工業株式会社 | 半導体装置の製造方法 |
US7759254B2 (en) * | 2003-08-25 | 2010-07-20 | Panasonic Corporation | Method for forming impurity-introduced layer, method for cleaning object to be processed apparatus for introducing impurity and method for producing device |
JP2005223218A (ja) | 2004-02-06 | 2005-08-18 | Matsushita Electric Ind Co Ltd | 不純物導入方法 |
US20050196961A1 (en) * | 2004-03-08 | 2005-09-08 | Da Zhang | Method for forming a semiconductor device having metal silicide |
US7501332B2 (en) * | 2004-04-05 | 2009-03-10 | Kabushiki Kaisha Toshiba | Doping method and manufacturing method for a semiconductor device |
WO2006064772A1 (ja) | 2004-12-13 | 2006-06-22 | Matsushita Electric Industrial Co., Ltd. | プラズマドーピング方法 |
US20060205192A1 (en) | 2005-03-09 | 2006-09-14 | Varian Semiconductor Equipment Associates, Inc. | Shallow-junction fabrication in semiconductor devices via plasma implantation and deposition |
EP1881523B1 (en) | 2005-05-12 | 2013-01-02 | Panasonic Corporation | Plasma doping method and plasma doping apparatus |
-
2004
- 2004-02-12 TW TW093103328A patent/TW200423185A/zh not_active IP Right Cessation
- 2004-02-12 JP JP2005502685A patent/JP4387355B2/ja not_active Expired - Fee Related
- 2004-02-12 CN CNA2004800046349A patent/CN1751381A/zh active Pending
- 2004-02-12 EP EP04710478A patent/EP1596427A4/en not_active Withdrawn
- 2004-02-12 KR KR1020057014878A patent/KR100739837B1/ko not_active IP Right Cessation
- 2004-02-12 WO PCT/JP2004/001473 patent/WO2004075274A1/ja active Application Filing
-
2005
- 2005-06-15 US US11/153,572 patent/US7709362B2/en not_active Expired - Fee Related
-
2007
- 2007-06-28 US US11/819,567 patent/US7618883B2/en not_active Expired - Fee Related
-
2008
- 2008-01-15 US US12/007,736 patent/US7696072B2/en not_active Expired - Fee Related
- 2008-02-29 US US12/040,476 patent/US7741199B2/en not_active Expired - Fee Related
-
2010
- 2010-03-05 US US12/718,549 patent/US8222128B2/en not_active Expired - Fee Related
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN103871848A (zh) * | 2012-12-14 | 2014-06-18 | 中国科学院微电子研究所 | 一种超浅结均匀性的改善方法 |
Also Published As
Publication number | Publication date |
---|---|
US20070254460A1 (en) | 2007-11-01 |
EP1596427A4 (en) | 2009-06-10 |
TW200423185A (en) | 2004-11-01 |
TWI328827B (ja) | 2010-08-11 |
US8222128B2 (en) | 2012-07-17 |
US7741199B2 (en) | 2010-06-22 |
US20100167508A1 (en) | 2010-07-01 |
EP1596427A1 (en) | 2005-11-16 |
US20050277273A1 (en) | 2005-12-15 |
US20080124900A1 (en) | 2008-05-29 |
CN1751381A (zh) | 2006-03-22 |
JPWO2004075274A1 (ja) | 2006-06-01 |
US20080160728A1 (en) | 2008-07-03 |
US7618883B2 (en) | 2009-11-17 |
KR100739837B1 (ko) | 2007-07-13 |
US7709362B2 (en) | 2010-05-04 |
US7696072B2 (en) | 2010-04-13 |
WO2004075274A1 (ja) | 2004-09-02 |
KR20050098923A (ko) | 2005-10-12 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4387355B2 (ja) | 不純物導入方法 | |
JP4619951B2 (ja) | 不純物導入層の形成方法 | |
US8501605B2 (en) | Methods and apparatus for conformal doping | |
WO2017112353A1 (en) | Damage free enhancement of dopant diffusion into a substrate | |
WO2005093800A1 (ja) | 不純物導入方法、不純物導入装置およびこの方法を用いて形成された半導体装置 | |
WO2005119745A1 (ja) | 不純物導入方法 | |
US20140144379A1 (en) | Systems and methods for plasma doping microfeature workpieces | |
US6077751A (en) | Method of rapid thermal processing (RTP) of ion implanted silicon | |
US5874352A (en) | Method of producing MIS transistors having a gate electrode of matched conductivity type | |
US20020098664A1 (en) | Method of producing SOI materials | |
US20120289036A1 (en) | Surface dose retention of dopants by pre-amorphization and post implant passivation treatments | |
EP0430166A2 (en) | Method of doping impurity into semiconductor films and patterned semiconductor strip | |
US7547619B2 (en) | Method of introducing impurity, device and element | |
JPH0517300A (ja) | 化合物半導体基体のエツチング方法および製造方法 | |
CN117476543A (zh) | 碳化硅半导体结构及其制备方法、碳化硅半导体器件 | |
JPS63164427A (ja) | 半導体装置の製造方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
RD02 | Notification of acceptance of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7422 Effective date: 20071114 |
|
RD04 | Notification of resignation of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7424 Effective date: 20071121 |
|
RD02 | Notification of acceptance of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7422 Effective date: 20071128 |
|
RD04 | Notification of resignation of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7424 Effective date: 20071205 |
|
RD04 | Notification of resignation of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7424 Effective date: 20071212 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20090519 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20090716 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20090901 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20090930 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20121009 Year of fee payment: 3 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20131009 Year of fee payment: 4 |
|
LAPS | Cancellation because of no payment of annual fees |