JP2005123607A - エアーギャップを選択的に形成する方法及び当該方法により得られる装置 - Google Patents

エアーギャップを選択的に形成する方法及び当該方法により得られる装置 Download PDF

Info

Publication number
JP2005123607A
JP2005123607A JP2004284815A JP2004284815A JP2005123607A JP 2005123607 A JP2005123607 A JP 2005123607A JP 2004284815 A JP2004284815 A JP 2004284815A JP 2004284815 A JP2004284815 A JP 2004284815A JP 2005123607 A JP2005123607 A JP 2005123607A
Authority
JP
Japan
Prior art keywords
air gap
dielectric material
etching
dielectric
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004284815A
Other languages
English (en)
Other versions
JP2005123607A5 (ja
JP4864307B2 (ja
Inventor
Gerald Beyer
ゲラルト・バイヤー
De Mussy Jean Paul Gueneau
ジャン・ポール・ゲノー・ドゥ・ミュシー
Karen Maex
カレン・メックス
Victor Sutcliffe
ビクター・サトクリフ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Interuniversitair Microelektronica Centrum vzw IMEC
Texas Instruments Inc
Original Assignee
Interuniversitair Microelektronica Centrum vzw IMEC
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Interuniversitair Microelektronica Centrum vzw IMEC, Texas Instruments Inc filed Critical Interuniversitair Microelektronica Centrum vzw IMEC
Publication of JP2005123607A publication Critical patent/JP2005123607A/ja
Publication of JP2005123607A5 publication Critical patent/JP2005123607A5/ja
Application granted granted Critical
Publication of JP4864307B2 publication Critical patent/JP4864307B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Window Of Vehicle (AREA)
  • Manufacturing Of Electrical Connectors (AREA)

Abstract

【課題】半導体装置にエアーギャップを形成する。
【解決手段】コンタクトホールを有する第1誘電体材料1を水素化されたシリコンオキシカーバイド(SiCO:H)で形成する。酸化剤を含んだUV/オゾン処理若しくは超臨界二酸化炭素などの酸化工程によりコンタクトホールの側壁に第1誘電体材料1より少ない炭素を含む変更された材料4に変換する。コンタクトホールにバリア層5及び銅6を形成する。その後、変更された材料4を、HFを用いたエッチングにより除去し、変更された材料4が有った場所にエアーギャップを形成する。
【選択図】図5

Description

本発明は、半導体プロセスの分野に関連する。より詳細には、ミクロ及びナノ電気機械システム(MEMS、NEMS)の製造方法、及び配線のための低誘電率絶縁体の製造方法に関する。これは、半導体装置におけるエアーギャップ集積の分野の研究に貢献する。
半導体装置においてエアーギャップを使用することは知られている。MEMS及びNEMS装置において、一般的にキャビティ状のエアーギャップが配置されている。これらのエアーギャップは一般的に上記のような半導体装置の一部であり、機能的役割を果たす。
配線技術において、エアーギャップは非常に有望であると考えられている。この配線技術において、エアーギャップは配線を離間するための誘電体として使用される。IC技術がスケールダウンするにしたがって、配線は、伝搬遅延、ダイナミック電気消費、近接する金属ライン間のクロストーク降下により発生するシグナルエラーの観点から、最も重大な制限要素となってきている。アルミニウムから銅へと変更することにより、チップ上のワイヤ接続の抵抗が大きく減少する。本発明は、酸化シリコン(k=4.2)より低い誘電定数を有する材料を導入することにより、ライン間においてより良好な絶縁性を達成することを目的とする。ここで、この酸化シリコンは、キャパシタンス(C)を減少させる。低誘電率材料(k<3.0)を製造プロセスに導入することにより、リーク、機械的不安定性、ジュール加熱に関連する多くの問題が発生し、将来のICプロセスの全コストが増加する。さらに、バリアー層及び中間層により、最終積層体の実効誘電率を増加させる。上記問題に鑑み、また空気が、利用可能な最良の絶縁体であることにより、近接する金属ライン間にエアーギャップを形成することに対して強いインセンティブが存在する。
電気的配線を絶縁するため空気を誘電体として使用し、ダイナミック電気消費及びシグナルエラー(例えばクロストーク)と同様RCディレイが減少することが知られている。
この技術分野において、エアーキャビティを導入する様々な方法が知られている。例えば、
(i)等方性エッチング(MEMSに応用する):これは、SiO犠牲層を溶解するためHFを使用することが含まれる。ここで、他の非反応性フィルム(例えばSiC)をエッチング停止材として使用する。その後、エッチングソースを非共形のCVD SiO層によりシールする。
(ii)非等方性エッチング:これは、非等方性ドライエッチングにより材料を除去することが含まれる。このとき、専用のマスクを有すること、その後のドライエッチング及びストリップオペレーションをさらに行うことが必要である。その後、共形のCVD SiOは、エアーギャップが形成されるラインの上面に積層され、共形CVD SiOに続いて非共形CVD SiOが積層される。
米国特許第6,268,261号において、エアーギャップを有する半導体回路を製造する方法が開示されている。このプロセスは、伝導性ライン間に固体状の充填物を有する複数の近接する伝導性ラインを作製する工程と、上記ライン及び充填物上に一以上の層を形成する工程と、層を通って充填物まで延びる一以上のパスウェイを形成する工程と、充填物を、上記パスウェイを通って逃げるガスに変換し、これにより近接するライン間に空気の間隙を形成する工程とを含む。このプロセスにより、伝導性ラインを含む多層半導体回路となる。この半導体回路において、ラインは、それらの間に誘電体である空気を有する。ここで、固体状の充填物を伝導性ライン間に積層させる必要がある。この充填物は、上に層を析出している間安定でなければならない。また、この層においてパスウェイを上方に予め設けることが必要である。そのため、これは、エキストラマスキング及びエッチング工程のような付加的な処理が必要となる。
米国特許第6,599,814号において、基板のシリコンカーバイド層、特にアモルファスSiCを取り除くための方法が開示されている。最初、酸素含有プラズマをカーバイドシリコン層に接触させることにより、カーバイドシリコン層の照射部分が少なくとも部分的に変換される。その後酸化シリコン層を基板から取り除くことができる。
米国特許第6,268,261号 米国特許第6,599,814号
半導体装置において、離間されたライン又は配線を製造するための方法であって、
上記半導体装置は積層体を含み、
該積層体は、
第1誘電体材料からなる第1誘電体層と、
第2誘電体材料からなる第2誘電体層とを少なくとも有する方法を開示している。上記第2誘電体層は上記第1誘電体層上に位置する。上記第1誘電体層及び任意ではあるが上記第2誘電体層は、第1エッチング物質に対して耐性を有する。
より詳細には、半導体装置の積層体中にエアーギャップ(7)を形成する方法であって、上記積層体は、
第1エッチング化合物に対して耐性を有する第1誘電体材料と、
上記第1誘電体材料上の第2誘電体材料とからなる方法において、
上記方法は、
第2エッチング化合物により、上記積層体中に少なくとも1つのホールをエッチング形成する工程と、
少なくとも局所的に、上記第1誘電体材料中の上記ホールの側壁を、化学的及び/又は機械的に誘電体材料(4)に変換して、上記誘電体材料を上記第1エッチング化合物によりエッチング可能とする工程と、
上記ホールに電気伝導性材料を析出させる工程と、
上記変換された誘電体材料を露出するため、上記伝導性材料の過剰堆積部分を取り除く工程と、
上記変換された誘電体材料を取り除くため、上記第1エッチング化合物を上記の変換された誘電体材料に接触させる工程とを含むことを特徴とする方法を開示している。
上記第1誘電体材料は、(水素化)シリコンオキシカーバイド(SiCO:H)材料であることが好ましい。また、上記第1誘電体材料は、上記第2誘電体材料より多孔性であることが好ましい。
上記方法は、上記積層体に少なくとも1つのホールをエッチング形成し、局所的に上記第1誘電体層の特性を化学的及び/又は機械的に変換する工程を含み、それにより上記第1誘電体層のホールの一部が局所的に変換され、上記第1エッチング物質によりエッチング可能とする。
この第1誘電体層における変換では、上記材料から炭素を減少させ、少なくとも部分的に若しくは全体的に炭素が減少した誘電体材料が、上記第1誘電体層中に少なくとも局所的に生成される。
第1誘電体層のホールのエッチング形成は非等方的であり、エッチングプラズマは酸化プラズマであることが好ましい。
この酸化プラズマは酸素含有プラズマ若しくはN/H含有プラズマである。さらに、エッチングプラズマは、過フッ化炭化水素化合物を含む。酸化プラズマを使用して、第1誘電体材料を変換する工程は、第1誘電体材料におけるホールのエッチング形成工程と同時であってもよい。
酸化剤雰囲気において第1誘電体材料中にホールをエッチング形成する工程の後、第1誘電体の変換を行ってもよい。このとき、この変換工程には、酸化剤の添加とともにするUV/オゾン処理、若しくは酸化剤の添加とともにするSCCO処理が含まれる。
不活性ガス中でイオン照射により第1誘電体材料を変換してもよい。この処理により、この第1誘電体材料中の炭素が減少する。この変換は機械的変換と考えられる。これは、酸化のような化学反応が付随的に含まれていないからである。
上記の変換された誘電体材料は、1μm以下、より好ましくは500nm以下、最も好ましくは100nm以下の膜厚を有する。
このホールに電気伝導性材料の層、及び任意ではあるがバリアー層を積層させた後、積層体に除去技術を適用して、これにより上記積層体の表面において、変換された誘電体材料を露出し、少なくとも1つの伝導性材料ラインを形成する。
その後、この積層体を第1エッチング物質にさらし、これにより、エアーギャップが上記ラインの近傍に形成される。この第1エッチング物質は、HFを含んでいることが好ましく、HFを含む水溶液中にこの構造体を浸漬することによりエッチングを行うことがさらに好ましい。水溶性HF溶液は、5%以下、より好ましくは2%以下、最も好ましくは1%辺りのHFを含有する。
また、半導体装置にエアーギャップを形成する方法であって、積層体が、第1誘電体材料からなる第1誘電体層を含み、第2誘電体材料がさらに上記積層体上にハードマスク若しくは金属ハードマスクを含むことを特徴とする方法を開示している。
ハードマスク層の具体例は、SiO、SiC、SiN及びSiC(N)である。SiOC、MSQ、及びHSQ材料をハードマスク層として使用してもよいが、これらの材料は、上記積層体中におけるハードマスク層の下にある誘電体層より高い密度を有していなければならない。
金属ハードマスク層の具体例は、TaN、TiN、WCN、WN等である。
任意ではあるが、上記積層体中に第2誘電体層がなく、ハードマスク若しくは金属ハードマスクを上記第1誘電体層の上面に配置してもよい。
上記積層体中のホールに形成された伝導性ラインは、水平ライン(「トレンチ」と称する)若しくは垂直構造体(「ビア」と称する)であってもよい。ビア及びトレンチは、半導体装置の後工程(BEOL)において形成されたデュアルダマシン構造の一部であることが好ましい。好ましい実施の形態において、エアーギャップを、デュアルダマシン構造体中に形成することが好ましい。さらに、エアーギャップを上記構造体のビア若しくはトレンチの近くに配置することがさらに好ましい。また、エアーギャップをトレンチの近くに配置することが最も好ましい。
好ましい実施の形態では、第1誘電体材料を2つの近接する伝導性ライン間から完全に取り除いている。
この伝導性材料は、金属、カーボンアノテイト、伝導性ポリマーの群から選択されることが好ましい。伝導性材料は、例えばCu、Au若しくはAgである。
全ての図面は、本発明の概要及び実施の形態を例示することを意図している。明確にするため、装置を簡略した方法で図示している。全ての変更例及びオプションを示しているわけではなく、それゆえ所定の図面の内容に限定されるものではない。同一の番号は、異なる図面における同一のパーツを参照するのに用いられる。
次の記載及び具体例は、本発明の好ましい実施の形態を詳細に例示したものである。
半導体装置の積層体にエアーギャップ(7)を形成するための方法であって、
上記積層体は、第1エッチング化合物に対して耐性を有する第1誘電体材料と、上記第1誘電体材料上に第2誘電体材料とを含む方法において、
上記方法は、
第2エッチング化合物で、上記積層体に少なくとも1つのホールをエッチング形成する工程と、
少なくとも局所的に、上記第1誘電体材料(1)中の上記ホールの側壁を、化学的及び/又は機械的に誘電体材料(4)に変換して、上記誘電体材料(4)を上記第1エッチング化合物によりエッチング可能とする工程と、
上記ホール内に電気伝導性材料を析出させる工程と、
上記変換された誘電体材料を露出するために、上記伝導性材料の過剰堆積部分を取り除く工程と、
上記変換された誘電体材料を取り除くため、上記第1エッチング化合物を、上記変換された誘電体材料に接触させる工程とを備えることを特徴とする方法を開示している。
より詳細には、半導体装置においてエアーギャップを作製するための方法を開示している。図1に示すように、例えば、プラズマ気相成長法(PECVD)、化学的気相成長法(CVD)、スピンオン成長法などの方法により、最初誘電体材料(1)をSi基板若しくは他の適切な基板(不図示)上に積層させる。例えば材料(1)に関して記載されたあらゆる方法により、他の誘電体材料(2)を誘電体材料(1)上に積層させる。
誘電体材料(2)の機能は、プラズマ変換から誘電体(1)の領域を保護することである。このプラズマ変換に関してさらに詳細に記載している(図3a及びb参照)。
材料(1)の具体例としては、有機シリコンガラス(OSG)が挙げられる。これは、一般的にSiOC:H材料、水素化シリコンオキシカーバイド若しくは炭素ドープ酸化物(より高い多孔性を有する誘導体を含む)と称されている。材料(1)の他の具体例には、メチル含有ポリシロキサン(MSQ)の類が含まれる。上記材料(1)は、炭素でドープされたSiの骨格(backbone)により特徴付けられる。これらの具体的なものは、ブラックダイアモンド(BDと称される)、コーラル(Coral)若しくはオーロラ(Aurora)等の市販されている材料である。
材料(2)の好ましい具体例は、SiO、SiC若しくはSiCNである。
材料(1)及び材料(2)は、同様のタイプの材料であってもよい。この場合、これらは異なる気孔率を有する必要がある。両方の材料(1)及び(2)がSiCO:Hのような材料である場合、材料(2)の気孔率が低いことが必要である。これにより、プラズマ変換が殆ど起こらず、そして材料(2)が材料(1)の領域を保護する。より低い気孔率のSiCO:H材料は、O含有プラズマにより材料の変換を受けやすい。より高い気孔率を有するSiCO:H材料は、より多くの炭素を含み、より多くの炭素は、O含有プラズマの中で取り除かれるだろう。
誘電体材料(2)の代替材料として、(金属)ハードマスクを使用してもよい。または誘電体材料(2)の上面で(金属)ハードマスクを使用して、非等方性エッチングのためのハードマスクとして用いてもよい。金属ハードマスクとは、金属を含むハードマスクである。これらの金属ハードマスクの具体例として、TaN、TiN等がある。
図2に示すように、エッチングプラズマを使用して、誘電性積層体にレジストパターン(ここでは不図示)を写し取ることにより、誘電性の積層体にホール(3)をエッチング形成する。その後、ドライアッシュプラズマとウェットストリップのコンビネーションにより、残ったレジスト及びポリマー(ここでは不図示)を取り除く。(金属)ハードマスク及び/又は誘電体材料(2)は、誘電体(1)の領域を保護する。その領域において、誘電体(1)が変換されないようにするためである。
エッチングプラズマ及び/又はアッシュプラズマにより、誘電体(1)を局所的に変換して、変更された誘電体(4)とする(図3a及びb)。これは、プロセスの後の工程において、エッチング溶媒により誘電体(1)よりも速く取り除かれる。しかし、誘電体(1)を(4)に変換することは、この工程において欠くことのできないものではない。
誘電体材料を、変更された誘電体材料(4)に変換することは、SiCO:H材料の酸化により行われる。酸化により、この材料(おそらく二酸化炭素)から炭素を取り除く。
プラズマ処理、若しくは例えばUV−オゾン、超臨界二酸化炭素(SCCO)のような他の処理若しくは上述の処理方法のコンビネーションにより、ホール内の誘電体(1)の側壁を誘電体(4)に変換する(図3a及びb)。ここで、プラズマ処理は、誘電体材料中にホールをエッチング形成するため使用されるプラズマと実質的に同一である必要はない。この処理により誘電体(1)と比較して、変換された誘電体(4)中の炭素濃度が減少することとなる。
この誘電体材料(4)は、炭素量が減少したSiCO:H材料である。誘電体材料(1)を誘電体材料(4)に変換する程度は、SiCO:H材料(1)のタイプ、より詳細には誘電体材料(1)の気孔率及び炭素含有量に依存する。SiCO:H材料から炭素を完全に取り除く場合、それらは、SiO材料と言われる。SiOフィルムは、Si(シリコン)及びO(酸素)を含有するフィルムに対応する。ここで、このフィルムにおいて両元素が化学量論的に関連していない。フィルムのこの部分は一般的により多くの欠陥を有し、SiOのものと比較するとあまりクロスリンクされていない。SiO材料はクロスリンクされていないので、HFエッチング(除去プロセス)をより受けやすい。
誘電体材料(1)を、変換された誘電体材料(4)に変換することを、誘電体材料(1)をドライエッチングする間及び/又は酸化プラズマを使用してアッシングする間に、その場で(同時に)実行してもよい。
誘電体材料(1)を、変換された誘電体材料(4)に変換することを、誘電体材料(1)にホールを形成するためのドライエッチングプロセスの間にその場で実行してもよい。
誘電体材料(1)のドライエッチングの後、誘電体材料(1)に対する付加的処理(酸化処理)、即ち酸化剤を添加すると共に、UV−オゾン処理、超臨界二酸化炭素(SCCO)、若しくは誘電体(1)を酸化するのに応用することができる他のいくつかの酸化処理を実行することにより、誘電体材料(1)を、変換された誘電体材料(4)に変換することを、その場以外で実行してもよい。
誘電体材料(1)の横方向への変換を、プラズマ、若しくは他の変換プロセス及びSiOC:H材料の気孔率により制御する。2つ若しくはそれ以上の近接するトレンチ間において誘電体(1)を全体的に変換してもよいし(3b)、又は部分的に変換してもよい(3a)。
その後、ホールの側壁及び上記領域がバリアー層(5)により囲まれる(図4a及びb)。このバリアー層(5)を、物理的気相成長法(PVD)、化学的気相成長法(CVD)、有機金属化学的気相成長法(MOCVD)、原子層成長法(ALD)及び関連する方法により成長させてもよい。バリアー層の一般的な具体例は、TaN、Ta、TiN、Ti、WN、WCN等である。このバリアー層を拡散バリアーとして機能させてもよい。
その後、このホールを伝導性材料例えば銅(6)により充填する。可能性として挙げられる他の材料は、例えばあらゆる金属、カーボンナノチューブ及び伝導性ポリマーである。
伝導性材料の過剰堆積部分、例えばCuの過剰堆積部分及び上記領域上のバリアー層の過剰堆積部分を除去技術により取り除く(図5a及びb)。それでも、バリアー層(5)とCu(6)はホールの適切な位置に配置されたままである。
除去技術の具体例として、化学的機械的研磨法(CMP)、電解研磨、エッチング若しくは上記技術のあらゆるコンビネーションが挙げられる。図5に示すように除去技術により誘電体(2)を取り除いてもよい。
その後、図6a及びbに示すように、変換された誘電体材料(4)を、エッチング溶媒により、変換されていない誘電体(1)より速く取り除くことができる。変換された誘電体材料の除去を、HF(フッ酸)処理することにより行う。上記HF処理を、上記誘電体材料(4)をHF蒸気に曝すことにより、若しくは液体のHF溶液(メタノールのような添加物を含んでいても良いし含んでいなくてもよいが、様々なHF濃度を有する。)に浸漬することにより、若しくはHF等を添加すると共に、超臨界CO(SCCO)雰囲気に曝すことにより行ってもよい。
より小さな配線形状(より小さいインターラインスペーシング)において、誘電体材料(4)が完全に取り除かれ、このエアーギャップは、2つの近接するライン間の幅に亘って完全に拡がる(図6b)。
局所的に第1誘電体層を化学的及び/又は機械的に変換する工程の期間は、第1エッチング物質をさらす工程の期間と比較してより重要である。ここで、局所的に第1誘電体層の特性を化学的及び/又は機械的に変更する工程は、第1エッチング物質をさらすエッチング工程によりどの領域がエッチングされるかを決定する。
近接する伝導性ライン間の距離は、積層体における隣接するホールを形成することに関連する一般的な技術の限界により決定される。一般的に、金属ラインは約100nm離間されている。本発明は、スケールにより影響を受けず、伝導性ライン間の距離は限定されていない。これは、局所的にライナー層の特性を化学的及び/又は機械的に変更する工程をナノメートル若しくはこれ以下のスケールに適用することができるからである。
近接する伝導性ライン間の距離が100nmより小さい場合、中間誘電体材料を全て取り除き、それらの間にエアーギャップを残すことが好ましい。これは、図3b、4b、5b及び6bに示されている。
100nmより大きい距離では、このライン間に存在する誘電体材料を残すことが好ましい。この誘電体が存在することにより、本発明により作製されたエアーギャップ構造体上にさらに別の層を積層させるための機械的サポートを得ることができる。
好ましい実施の形態では、本発明に係る方法が繰り返し行ってもよい。即ち、エアーギャップ構造体上に新たな層を形成し、その上で、本発明に係る方法を繰り返し行ってもよい。図13に示されるようなデュアルダマシン(DD)配線構造において、エアーギャップ(7)をビア(図13(c)に示す)の近く、トレンチ(図13(b)に示す)の近く、若しくは両方(図13(a)に示す)の近くの誘電体材料に形成してもよい。トレンチ近くにエアーギャップを形成し、ビア構造体近くではオリジナルの誘電体材料(1)を残すことが最も好ましい。ビア構造の近くにオリジナル誘電体材料(1)を残すことにより、デュアルダマシン構造に、より機械的なサポートを与えることができる。
実施例1:エアーギャップを形成するためのプロセスフローの簡略的な記載
標準的なプロセス工程を使用して、誘電体(1)として超低誘電率材料(ULK)を、また誘電体(2)としてSiOを積層させることにより図10に示した構造を作製した。標準的なレジスト及び標準的な193nm光学リソグラフィーによりレジストパターンを作製した。ホールを形成するために、標準的なプラズマエッチング系を使用して、レジストパターンを誘電性積層体に写し取る。プラズマは、アルゴン、酸素、及び過フッ化炭化水素分子を含む。酸素及び過フッ化炭化水素分子を使用して、標準的なアッシュ系のプラズマにより灰化される。ホール内に及び当該領域上にTaN/Taバリアー層(5)及び銅(6)を積層し、その後標準的な系により当該領域上を取り除いた。その後、変換された誘電体を、希釈されたフッ酸により取り除いた。この希釈されたフッ酸により、ワイヤに近接するエアーギャップ(7)を作製する。
実施例2:エアーギャップ形成の詳細なプロセスフロー
全プロセスを図7に示す。最初に、50/200/50/275/13nmのSi/SiO/SiC/SiOC:H/SiOからなるスタックを、プラズマ気相成長法(PE−CVD)により、Si(100)ウエハ上に形成した。193nmの波長の光学リソグラフィーによりパターニングを行った。低圧においてはCF/CH/Ar/Oプラズマを、高圧においてはO/CFプラズマをそれぞれ使用して、Lam Exelan2300TMチャンバー内で、ドライエッチ及びレジスト灰化を行った。ドライエッチング及びレジスト灰化の両プロセスを注意深く制御して、SiOC:Hトレンチの側壁において、欠陥のあるSiOフィルムとした(図7(a))。その後、15/10nmTaTa(N)の拡散層及び100nmのCuシード層を、イオン化物理的気相成長法(i−CVD)により形成した。図7(b)に示すように、銅電解メッキによりトレンチを充填し、化学的機械的研磨法(CMP)により、溢れた金属を取り除いた。SiOC:Hと対比して、HFは選択的にSiOを溶解する。これにより、図7(c)に示すように、側壁にエアーギャップが形成される。HFの浸漬時間を制御して、SiCO:H層、Ta/Ta(N)拡散バリアー層及び銅伝導体への攻撃が最小となるようにSiO層を取り除く。その後、図7(d)に示すように、50/330/500nmSiC/SiO/Si不動態層を上面に積層させた。
実施例3:電気的特徴
エアーギャップを有するか若しくは有さないプロセスサンプル(エアーギャップの形成に関しては上記具体例に記載されている)をウエハレベルで完全な電気的評価を行った。ウエハD07、D09、D10、D11、D18、D19、D20、D21、D22及びD23を加工してエアーギャップを形成した。全てのサンプルは、様々な配線形状及び様々なインターラインスペーシングを有する構造から構成される。より詳細に言えば、ウエハ上の様々な構造体間のインターラインスペーシングは、0.15nm、0.20nm、若しくは0.25nmのいずれかであった。ウエハD10を除いて、加工処理後、ウエハの全ての構造体上に不動態層を積層させた。ウエハD08は、エアーギャップが形成されておらず、参照サンプルとして用いた。様々なウエハの主な特徴を表1に示した。

表1 加工処理されたサンプルの特徴
Figure 2005123607
表2は、エアーギャップを有する不動態化サンプルに対するRCディレイのデータを示している。表3は、エアーギャップを有するサンプル及び有さないサンプルのRCディレイのデータを示している。図8に示すように、RCディレイの大幅な落ち込みが、インターラインスペーシングに依存することに注意することが重要である。これは、エアーギャップの大きさが、それぞれのピッチに対して一定であり、表4及び図9によりサポートされているように、配線形状がより狭くなれば、キャパシタンス降下がより大きくなるからである。

表2:エアーギャップを有するウエハに対する平均RCディレイ
Figure 2005123607

表3:エアーギャップを有するウエハと有さないウエハに対する平均RCディレイ
Figure 2005123607
*:44個のダイスのデータの平均(1つのウエハ)
**:352個のダイスのデータの平均(8つのウエハ)

表4:エアーギャップを有するウエハと有さないウエハに対する平均RCディレイ
Figure 2005123607
*:44個のダイスのデータの平均(1つのウエハ)
**:352個のダイスのデータの平均(8つのウエハ)
実施例4:エアーギャップを有する処理されたサンプルのSEM観察
図10は、L/Sのインターラインスペーシングが150/150nm、200/200nm及び250/250nmの、Cu/SiOC:H/エアーギャップ/不動態構造の断面SEM図である。いくつかのイメージを分析した結果、エアーギャップの大きさは25〜35nmの厚さ、約275nmの高さを有する。これらの大きさは、不動態化されていないエアーギャップ構造より小さい。このエアーギャップ構造は、不動態層の成長が、エアーキャビティを貫通しないことを意味する。一般的に、エアーギャップの幅は、SiCO:Hの酸化後のSiOフィルムの厚さに対応する。RIE(エッチング)プロセスの間これを調整してもよい。このRIE(エッチング)プロセスは、誘電体材料にホールをエッチング形成する為に使用される。上記具体例は、本発明に係るいくつかの方法及び材料を開示している。本発明に係る製造方法及び製造装置を容易に修正することができ、この製造方法と材料を容易に変更することができる。そのような修正は、当業者であれば、本明細書に記載された発明の開示及び実施例を考察することにより、明らかである。従って、本発明は、本明細書に記載された特定の実施の形態及び実施例に限定することを意図するものではなく、添付の特許請求の範囲に具体化された発明の真の技術的範囲及び思想から想到するあらゆる修正及び変更をカバーする。全てのパテント、応用例及び本発明に引用された他の引用文献を全体的に引用して援用する。
図1は、本発明に係る方法の様々な処理工程を示している。 図2は、本発明に係る方法の様々な処理工程を示している。 図3は、本発明に係る方法の様々な処理工程を示している。図3aは、近接するCuライン間にエアーギャップが部分的に形成されたものを示している。図3bは、近接するCuライン間の全ての誘電体材料を完全に取り除き、近接するCuライン間にエアーギャップだけが配置されたものを示している。近接する2つのCuラインが互いに近い(例えば50nm)場合、誘電体材料を完全に取り除くことが可能である。 図4は、本発明に係る方法の様々な処理工程を示している。図4aは、近接するCuライン間にエアーギャップが部分的に形成されたものを示している。図4bは、近接するCuライン間の全ての誘電体材料を完全に取り除き、近接するCuライン間にエアーギャップだけが配置されたものを示している。近接する2つのCuラインが互いに近い(例えば50nm)場合、誘電体材料を完全に取り除くことが可能である。 図5は、本発明に係る方法の様々な処理工程を示している。図5aは、近接するCuライン間にエアーギャップが部分的に形成されたものを示している。図5bは、近接するCuライン間の全ての誘電体材料を完全に取り除き、近接するCuライン間にエアーギャップだけが配置されたものを示している。近接する2つのCuラインが互いに近い(例えば50nm)場合、誘電体材料を完全に取り除くことが可能である。 図6は、本発明に係る方法の様々な処理工程を示している。図6aは、近接するCuライン間にエアーギャップが部分的に形成されたものを示している。図6bは、近接するCuライン間の全ての誘電体材料を完全に取り除き、近接するCuライン間にエアーギャップだけが配置されたものを示している。近接する2つのCuラインが互いに近い(例えば50nm)場合、誘電体材料を完全に取り除くことが可能である。 図7は、実施例を例示するための付加的プロセスフローを示す。 図8は、エアーギャップがあるウエハと無いウエハのピッチサイズに対する、平均RCディレイを示している。バーは、各ピッチに対するRCディレイの降下を表している。 図9は、エアーギャップがあるウエハと無いウエハの平均キャパシタンスのデータを示している。バーは、各ピッチに対するそれぞれの降下を示している。 図10は、L/Sのインターラインスペーシングが(a)150/150nm、(b)200/200nmそして(c)250/250nmであるCu/低誘電率物質/エアーギャップ/不動態構造の断面SEMイメージを示している。 図11は、エアーギャップが15nmの大きさを有し、さらにSiCキャップ層(不動態層)を備えることを特徴とするCu/低誘電率物質/エアーギャップ/不動態構造の断面SEMイメージを示している。一般的に、エアーギャップの幅は、SiCO:Hの酸化後のSiOフィルムの膜厚に対応する。これは、誘電体材料にホールをエッチング形成するために使用されるRIE(エッチング)プロセスの間調整される。 図12は、Cuラインに近接する部分的エアーギャップ及びCuライン間に形成された完全エアーギャップを有する低誘電率材料のk値を空気のk値と比較して示したものである。 図13は、デュアルダマシン(DD)配線構造を示している。図13(c)に示すようにビアの近く、図13(b)に示すようにトレンチの近く、若しくは図13(a)に示すようにビア及びトレンチの近くの誘電体材料(1)内にエアーギャップ(7)を形成することができる。トレンチの近くにエアーギャップを形成し、ビア構造の近くにオリジナルの誘電体材料(1)を残すことが好ましい。ビア構造の近くにオリジナルの誘電体材料(1)を残すことにより、デュアルダマシン構造に対して、より機械的なサポートを与えることができる。

Claims (22)

  1. 半導体装置の積層体にエアーギャップ(7)を形成するための方法であって、
    上記積層体が、第1エッチング化合物に対して耐性を有する第1誘電体材料(1)と、上記第1誘電体材料(1)上の第2誘電体材料(2)とを有する方法において、
    上記方法は、
    第2エッチング化合物により、上記積層体に少なくとも1つのホールをエッチング形成する工程と、
    少なくとも局所的に、上記第1誘電体材料(1)中の上記ホールの側壁を、化学的及び/又は機械的に誘電体材料(4)に変換して、上記誘電体材料(4)を上記第1エッチング化合物によりエッチング可能とする工程と、
    上記ホールに電気伝導性材料を析出させる工程と、
    上記変換された誘電体材料を露出するため、上記伝導性材料の過剰堆積部分を取り除く工程と、
    上記変換された誘電体材料を取り除くため、上記第1エッチング化合物を上記の変換された誘電体材料(4)に接触させる工程とを含むことを特徴とするエアーギャップ作製方法。
  2. 上記第2誘電体材料(2)は、上記第1エッチング化合物に対して耐性を有することを特徴とする請求項1記載のエアーギャップ作製方法。
  3. 上記積層体が、上記誘電体材料(2)の上面にハードマスク若しくは金属ハードマスクをさらに備えることを特徴とする請求項1記載のエアーギャップ作製方法。
  4. 上記誘電体材料(2)がハードマスク若しくは金属ハードマスクにより置き換えられていることを特徴とする請求項1〜3のいずれかに記載のエアーギャップ作製方法。
  5. 上記第1エッチング化合物が、フッ酸(HF)を含むことを特徴とする請求項1〜4のいずれかに記載のエアーギャップ作製方法。
  6. 上記の少なくとも1つのホールをエッチング形成する工程が、酸化プラズマにより行われることを特徴とする請求項1〜5のいずれかに記載のエアーギャップ作製方法。
  7. 上記の少なくとも1つのホールをエッチング形成する工程が、N/H含有プラズマにより行われることを特徴とする請求項1〜5のいずれかに記載のエアーギャップ作製方法。
  8. 上記酸化プラズマが、酸素を含有することを特徴とする請求項6記載のエアーギャップ作製方法。
  9. 上記酸化プラズマが、さらに過フッ化炭化水素化合物を含むことを特徴とする請求項6記載のエアーギャップ作製方法。
  10. 上記第1誘電体材料(1)がSiCO:H材料であることを特徴とする請求項1〜9のいずれかに記載のエアーギャップ製造方法。
  11. 上記第1誘電体材料(1)が、上記第2誘電体材料(2)より多孔性であることを特徴とする請求項1〜10のいずれかに記載のエアーギャップ作製方法。
  12. 上記伝導性材料が、金属、炭素ナノチューブ及び伝導性ポリマーからなる群から選択されることを特徴とする請求項1〜11のいずれかに記載のエアーギャップ作製方法。
  13. 上記伝導性材料が銅であることを特徴とする請求項12記載のエアーギャップ作製方法。
  14. 上記の積層体中に少なくとも1つのホールをエッチング形成する工程と、上記変換工程とが同時に行われることを特徴とする請求項1〜13のいずれかに記載のエアーギャップ作製方法。
  15. 上記変換工程が酸化剤雰囲気中で行われることを特徴とする請求項1〜13のいずれかに記載のエアーギャップ作製方法。
  16. 上記変換工程は、酸化剤を添加してするUV/オゾン処理、若しくは酸化剤を添加してするSCCO処理を含むことを特徴とする請求項15記載のエアーギャップ作製方法。
  17. 上記誘電体材料(4)が、1μm以下、500nm以下、若しくは100nm以下さらに50nm以下の膜厚を有することを特徴とする請求項1〜16のいずれかに記載のエアーギャップ作製方法。
  18. 請求項1〜17のいずれかに記載された方法に係るエアーギャップを備える半導体装置作製方法。
  19. 上記変換工程後にバリアー層を積層する工程をさらに含むことを特徴とする請求項18記載のエアーギャップを有する半導体装置の作製方法。
  20. 上記接触工程の後に、上記積層体上にキャップ層を積層させる工程をさらに含むことを特徴とする請求項18又は19に記載のエアーギャップを有する半導体装置の作製方法。
  21. 上記キャップ層がSiC層であることを特徴とする請求項20記載のエアーギャップを有する半導体装置の作製方法。
  22. 請求項17〜21のいずれかに係る半導体装置作製方法により得ることができる装置。
JP2004284815A 2003-09-30 2004-09-29 エアーギャップを選択的に形成する方法及び当該方法により得られる装置 Expired - Fee Related JP4864307B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US50761303P 2003-09-30 2003-09-30
US60/507613 2003-09-30

Publications (3)

Publication Number Publication Date
JP2005123607A true JP2005123607A (ja) 2005-05-12
JP2005123607A5 JP2005123607A5 (ja) 2005-11-04
JP4864307B2 JP4864307B2 (ja) 2012-02-01

Family

ID=34312477

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004284815A Expired - Fee Related JP4864307B2 (ja) 2003-09-30 2004-09-29 エアーギャップを選択的に形成する方法及び当該方法により得られる装置

Country Status (5)

Country Link
US (2) US7078352B2 (ja)
EP (1) EP1521302B1 (ja)
JP (1) JP4864307B2 (ja)
AT (1) ATE505813T1 (ja)
DE (1) DE602004032198D1 (ja)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007088460A (ja) * 2005-09-16 2007-04-05 Interuniv Micro Electronica Centrum Vzw 誘電性材料に狭いトレンチを形成する方法
JP2007220742A (ja) * 2006-02-14 2007-08-30 Sony Corp 半導体装置およびその製造方法
JP2008510075A (ja) * 2004-08-18 2008-04-03 ダウ・コーニング・コーポレイション コーティングを有する基板及びその調製方法
JP2008166756A (ja) * 2006-12-21 2008-07-17 Commiss Energ Atom カーボンナノチューブに基づく層間配線要素
JP2009094519A (ja) * 2007-10-09 2009-04-30 Applied Materials Inc Rc遅延を減少するために誘電体層にエアギャップを生成する方法及び装置
JP2009135139A (ja) * 2007-11-28 2009-06-18 Toshiba Corp 半導体装置及びその製造方法
JP2010186858A (ja) * 2009-02-12 2010-08-26 Fujitsu Semiconductor Ltd 半導体装置の製造方法
US8133805B2 (en) 2007-03-29 2012-03-13 International Business Machines Corporation Methods for forming dense dielectric layer over porous dielectrics
US8975684B2 (en) 2012-07-18 2015-03-10 Samsung Electronics Co., Ltd. Methods of forming non-volatile memory devices having air gaps
US9214374B2 (en) 2011-05-17 2015-12-15 Samsung Electronics Co., Ltd. Semiconductor devices including stress relief structures
KR20180012920A (ko) * 2016-07-27 2018-02-07 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
JP2022116000A (ja) * 2018-02-28 2022-08-09 アプライド マテリアルズ インコーポレイテッド 空隙を形成するためのシステム及び方法

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004003337A1 (de) * 2004-01-22 2005-08-18 Infineon Technologies Ag Plasmaangeregtes chemisches Gasphasenabscheide-Verfahren, Silizium-Sauerstoff-Stickstoff-haltiges Material und Schicht-Anordnung
US7088003B2 (en) * 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
EP1799877B2 (en) * 2004-08-18 2016-04-20 Dow Corning Corporation Sioc:h coated substrates
DE102004050391B4 (de) * 2004-10-15 2007-02-08 Infineon Technologies Ag Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung
ATE543210T1 (de) * 2005-09-16 2012-02-15 Imec Verfahren zum herstellen von engen graben in dielektrischen materialien
ATE443456T1 (de) * 2005-11-14 2009-10-15 Unilever Nv Verpackte, oxidationsstabile öl-in-wasser- emulsion
US7994046B2 (en) * 2006-01-27 2011-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a dielectric layer with an air gap, and a structure including the dielectric layer with the air gap
US7682977B2 (en) * 2006-05-11 2010-03-23 Micron Technology, Inc. Methods of forming trench isolation and methods of forming arrays of FLASH memory cells
US7803713B2 (en) * 2006-09-21 2010-09-28 Taiwan Semiconductor Manufacturing Co. Ltd. Method for fabricating air gap for semiconductor device
WO2008056295A1 (en) * 2006-11-09 2008-05-15 Nxp B.V. A semiconductor device and a method of manufacturing thereof
KR100843233B1 (ko) * 2007-01-25 2008-07-03 삼성전자주식회사 배선층의 양측벽에 인접하여 에어갭을 갖는 반도체 소자 및그 제조방법
US7871923B2 (en) * 2007-01-26 2011-01-18 Taiwan Semiconductor Maufacturing Company, Ltd. Self-aligned air-gap in interconnect structures
US20080185722A1 (en) * 2007-02-05 2008-08-07 Chung-Shi Liu Formation process of interconnect structures with air-gaps and sidewall spacers
US7622390B2 (en) * 2007-06-15 2009-11-24 Tokyo Electron Limited Method for treating a dielectric film to reduce damage
KR100849773B1 (ko) * 2007-06-29 2008-07-31 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US20090081862A1 (en) * 2007-09-24 2009-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Air gap structure design for advanced integrated circuit technology
US7868455B2 (en) * 2007-11-01 2011-01-11 Taiwan Semiconductor Manufacturing Company, Ltd. Solving via-misalignment issues in interconnect structures having air-gaps
FR2926397B1 (fr) * 2008-01-16 2010-02-12 Commissariat Energie Atomique Procede de fabrication de films dielectriques permeables
WO2009127914A1 (en) 2008-04-17 2009-10-22 Freescale Semiconductor, Inc. Method of sealing an air gap in a layer of a semiconductor structure and semiconductor structure
KR101382564B1 (ko) * 2008-05-28 2014-04-10 삼성전자주식회사 에어갭을 갖는 층간 절연막의 형성 방법
US7754601B2 (en) * 2008-06-03 2010-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor interconnect air gap formation process
US8108820B2 (en) * 2008-09-11 2012-01-31 International Business Machines Corporation Enhanced conductivity in an airgapped integrated circuit
US7979824B2 (en) * 2008-09-11 2011-07-12 International Business Machines Corporation Cost-benefit optimization for an airgapped integrated circuit
DE102009010845B4 (de) * 2009-02-27 2016-10-13 Advanced Micro Devices, Inc. Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten und wieder aufgefüllten Luftspaltausschließungszonen
KR101536333B1 (ko) * 2009-03-26 2015-07-14 삼성전자주식회사 배선 구조물 및 이의 형성 방법
US8298911B2 (en) * 2009-03-26 2012-10-30 Samsung Electronics Co., Ltd. Methods of forming wiring structures
JP2011009636A (ja) * 2009-06-29 2011-01-13 Oki Semiconductor Co Ltd ビアホールの形成方法
US8304863B2 (en) * 2010-02-09 2012-11-06 International Business Machines Corporation Electromigration immune through-substrate vias
US8456009B2 (en) 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
US8722445B2 (en) 2010-06-25 2014-05-13 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
DE102010030757B4 (de) * 2010-06-30 2019-03-28 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung komplexer Metallisierungssysteme in Halbleitern durch Entfernung geschädigter dielektrischer Oberflächenschichten
CN102330089B (zh) * 2010-07-14 2013-07-17 中国科学院微电子研究所 硅片打孔系统及方法
US8497203B2 (en) 2010-08-13 2013-07-30 International Business Machines Corporation Semiconductor structures and methods of manufacture
US8530347B2 (en) 2010-10-05 2013-09-10 Freescale Semiconductor, Inc. Electronic device including interconnects with a cavity therebetween and a process of forming the same
KR20120061609A (ko) * 2010-12-03 2012-06-13 삼성전자주식회사 집적회로 칩 및 이의 제조방법
EP2649005B1 (en) 2010-12-07 2020-02-05 SPTS Technologies Limited Process for manufacturing electro-mechanical systems
US8975751B2 (en) * 2011-04-22 2015-03-10 Tessera, Inc. Vias in porous substrates
US8450212B2 (en) * 2011-06-28 2013-05-28 International Business Machines Corporation Method of reducing critical dimension process bias differences between narrow and wide damascene wires
CA2843415C (en) 2011-07-29 2019-12-31 University Of Saskatchewan Polymer-based resonator antennas
US20130069189A1 (en) * 2011-09-20 2013-03-21 United Microelectronics Corporation Bonding pad structure and fabricating method thereof
CN103094183B (zh) * 2011-10-29 2015-07-29 中芯国际集成电路制造(上海)有限公司 半导体器件的制造方法
CN103178000B (zh) * 2011-12-20 2014-11-05 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US8603889B2 (en) 2012-03-30 2013-12-10 International Business Machines Corporation Integrated circuit structure having air-gap trench isolation and related design structure
US9105634B2 (en) * 2012-06-29 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Voids in interconnect structures and methods for forming the same
CN103531524B (zh) * 2012-07-02 2017-02-08 中芯国际集成电路制造(上海)有限公司 含有空气隙的互连结构的制备方法
KR102054264B1 (ko) * 2012-09-21 2019-12-10 삼성전자주식회사 반도체 소자 및 그의 제조 방법
CA2899236C (en) * 2013-01-31 2023-02-14 Atabak RASHIDIAN Meta-material resonator antennas
US8900989B2 (en) * 2013-03-06 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating an air gap using a damascene process and structure of same
US9401329B2 (en) 2013-03-12 2016-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming the same
US9058983B2 (en) 2013-06-17 2015-06-16 International Business Machines Corporation In-situ hardmask generation
CN103325730A (zh) * 2013-06-27 2013-09-25 上海华力微电子有限公司 介电常数可调的铜互连层间介质之制造方法
EP3075028B1 (en) 2013-12-20 2021-08-25 University of Saskatchewan Dielectric resonator antenna arrays
US9230911B2 (en) 2013-12-30 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming the same
US9117822B1 (en) * 2014-04-29 2015-08-25 Globalfoundries Inc. Methods and structures for back end of line integration
US9583380B2 (en) 2014-07-17 2017-02-28 Globalfoundries Inc. Anisotropic material damage process for etching low-K dielectric materials
US9443956B2 (en) 2014-12-08 2016-09-13 Globalfoundries Inc. Method for forming air gap structure using carbon-containing spacer
US10170330B2 (en) * 2014-12-09 2019-01-01 Globalfoundries Inc. Method for recessing a carbon-doped layer of a semiconductor structure
US9390967B2 (en) 2014-12-11 2016-07-12 International Business Machines Corporation Method for residue-free block pattern transfer onto metal interconnects for air gap formation
US9768058B2 (en) 2015-08-10 2017-09-19 Globalfoundries Inc. Methods of forming air gaps in metallization layers on integrated circuit products
FR3040532B1 (fr) * 2015-08-31 2017-10-13 St Microelectronics Tours Sas Puce a montage en surface
US20170365504A1 (en) 2016-06-20 2017-12-21 Globalfoundries Inc. Forming air gap
US9768118B1 (en) * 2016-09-19 2017-09-19 International Business Machines Corporation Contact having self-aligned air gap spacers
US11527433B2 (en) * 2016-09-30 2022-12-13 Intel Corporation Via and plug architectures for integrated circuit interconnects and methods of manufacture
KR102594413B1 (ko) * 2018-03-30 2023-10-27 삼성전자주식회사 반도체 장치
CN110858578B (zh) * 2018-08-23 2021-07-13 联华电子股份有限公司 管芯封环及其制造方法
US11302641B2 (en) * 2020-06-11 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned cavity strucutre

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001326222A (ja) * 2000-05-16 2001-11-22 Nec Corp 半導体装置、半導体ウェーハおよびこれらの製造方法
JP2002324837A (ja) * 2001-04-25 2002-11-08 Hitachi Ltd 半導体装置の製造方法
JP2003060032A (ja) * 2001-08-17 2003-02-28 Matsushita Electric Ind Co Ltd 半導体装置および製造方法
JP2003077920A (ja) * 2001-09-04 2003-03-14 Nec Corp 金属配線の形成方法
JP2003115534A (ja) * 2001-10-03 2003-04-18 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法
JP2003163266A (ja) * 2001-11-28 2003-06-06 Sony Corp 半導体装置の製造方法および半導体装置

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6255156B1 (en) 1997-02-07 2001-07-03 Micron Technology, Inc. Method for forming porous silicon dioxide insulators and related structures
US6268261B1 (en) 1998-11-03 2001-07-31 International Business Machines Corporation Microprocessor having air as a dielectric and encapsulated lines and process for manufacture
JP2002543610A (ja) 1999-05-03 2002-12-17 アンテルユニヴェルシテール・ミクロ−エレクトロニカ・サントリュム・ヴェー・ゼッド・ドゥブルヴェ SiCの除去法
US6342722B1 (en) 1999-08-05 2002-01-29 International Business Machines Corporation Integrated circuit having air gaps between dielectric and conducting lines
US6387818B1 (en) 2000-07-21 2002-05-14 Advanced Micro Devices, Inc. Method of porous dielectric formation with anodic template
US6413852B1 (en) 2000-08-31 2002-07-02 International Business Machines Corporation Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material
TW465039B (en) 2000-11-06 2001-11-21 United Microelectronics Corp Void-type metal interconnect and method for making the same
KR100493409B1 (ko) * 2000-12-23 2005-06-07 주식회사 하이닉스반도체 반도체 소자 제조방법
US6492245B1 (en) 2001-10-16 2002-12-10 Taiwan Semiconductor Manufacturing Company Method of forming air gap isolation between a bit line contact structure and a capacitor under bit line structure

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001326222A (ja) * 2000-05-16 2001-11-22 Nec Corp 半導体装置、半導体ウェーハおよびこれらの製造方法
JP2002324837A (ja) * 2001-04-25 2002-11-08 Hitachi Ltd 半導体装置の製造方法
JP2003060032A (ja) * 2001-08-17 2003-02-28 Matsushita Electric Ind Co Ltd 半導体装置および製造方法
JP2003077920A (ja) * 2001-09-04 2003-03-14 Nec Corp 金属配線の形成方法
JP2003115534A (ja) * 2001-10-03 2003-04-18 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法
JP2003163266A (ja) * 2001-11-28 2003-06-06 Sony Corp 半導体装置の製造方法および半導体装置

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008510075A (ja) * 2004-08-18 2008-04-03 ダウ・コーニング・コーポレイション コーティングを有する基板及びその調製方法
JP2007088460A (ja) * 2005-09-16 2007-04-05 Interuniv Micro Electronica Centrum Vzw 誘電性材料に狭いトレンチを形成する方法
JP4735314B2 (ja) * 2006-02-14 2011-07-27 ソニー株式会社 半導体装置およびその製造方法
JP2007220742A (ja) * 2006-02-14 2007-08-30 Sony Corp 半導体装置およびその製造方法
JP2008166756A (ja) * 2006-12-21 2008-07-17 Commiss Energ Atom カーボンナノチューブに基づく層間配線要素
US8598708B2 (en) 2006-12-21 2013-12-03 Commissariat A L'energie Atomique Carbon nanotube-based interconnection element
US8133805B2 (en) 2007-03-29 2012-03-13 International Business Machines Corporation Methods for forming dense dielectric layer over porous dielectrics
JP2009094519A (ja) * 2007-10-09 2009-04-30 Applied Materials Inc Rc遅延を減少するために誘電体層にエアギャップを生成する方法及び装置
JP2009135139A (ja) * 2007-11-28 2009-06-18 Toshiba Corp 半導体装置及びその製造方法
JP2010186858A (ja) * 2009-02-12 2010-08-26 Fujitsu Semiconductor Ltd 半導体装置の製造方法
US9214374B2 (en) 2011-05-17 2015-12-15 Samsung Electronics Co., Ltd. Semiconductor devices including stress relief structures
US8975684B2 (en) 2012-07-18 2015-03-10 Samsung Electronics Co., Ltd. Methods of forming non-volatile memory devices having air gaps
US9041088B2 (en) 2012-07-18 2015-05-26 Samsung Electronics Co., Ltd. Non-volatile memory devices having air gaps and methods of manufacturing the same
US9773795B2 (en) 2012-07-18 2017-09-26 Samsung Electronics Co., Ltd. Semiconductor devices having airgaps and methods of manufacturing the same
KR20180012920A (ko) * 2016-07-27 2018-02-07 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
KR102658192B1 (ko) 2016-07-27 2024-04-18 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
JP2022116000A (ja) * 2018-02-28 2022-08-09 アプライド マテリアルズ インコーポレイテッド 空隙を形成するためのシステム及び方法
JP7401593B2 (ja) 2018-02-28 2023-12-19 アプライド マテリアルズ インコーポレイテッド 空隙を形成するためのシステム及び方法

Also Published As

Publication number Publication date
US20060177990A1 (en) 2006-08-10
EP1521302A1 (en) 2005-04-06
US7319274B2 (en) 2008-01-15
DE602004032198D1 (de) 2011-05-26
ATE505813T1 (de) 2011-04-15
JP4864307B2 (ja) 2012-02-01
US20050074961A1 (en) 2005-04-07
US7078352B2 (en) 2006-07-18
EP1521302B1 (en) 2011-04-13

Similar Documents

Publication Publication Date Title
JP4864307B2 (ja) エアーギャップを選択的に形成する方法及び当該方法により得られる装置
US10192781B2 (en) Interconnect structures incorporating air gap spacers
US7534696B2 (en) Multilayer interconnect structure containing air gaps and method for making
US7994046B2 (en) Method for forming a dielectric layer with an air gap, and a structure including the dielectric layer with the air gap
US7037851B2 (en) Methods for selective integration of airgaps and devices made by such methods
JP5255292B2 (ja) 2層金属キャップを有する相互接続構造体及びその製造方法
JP4679193B2 (ja) 半導体装置の製造方法及び半導体装置
JP5306196B2 (ja) 誘電体空隙を有する相互接続構造体
US20240112952A1 (en) Interconnect wires including relatively low resistivity cores
JP2009094378A (ja) 半導体装置及びその製造方法
US20090075470A1 (en) Method for Manufacturing Interconnect Structures Incorporating Air-Gap Spacers
JP2004508712A (ja) 多孔性誘電性層及びエアギャップを有する半導体装置の製造方法
JP2008235890A (ja) 集積回路用のキャビティを有する配線構造の製造方法
JP2005197606A (ja) 半導体装置およびその製造方法
JP2005268791A (ja) エアギャップを伴うダマシーン構造を有する半導体デバイスの製造方法およびエアギャップを伴うダマシーン構造を有する半導体デバイス
JP2007227921A (ja) 低減された誘電率を有する誘電体の製造方法、および半導体デバイス構成要素、および基板
JP4379878B2 (ja) エアーギャップを選択的に形成する方法及び当該方法により作製された装置
US7560357B2 (en) Method for creating narrow trenches in dielectric materials
KR100571391B1 (ko) 반도체 소자의 금속 배선 구조의 제조 방법
TWI254986B (en) Method for fabricating a dual damascene and polymer removal
JP2008053308A (ja) 半導体装置の製造方法およびプラズマ処理装置
EP1608013B1 (en) Method of formation of airgaps around interconnecting line
JP4695842B2 (ja) 半導体装置およびその製造方法
KR100869029B1 (ko) 저유전율 물질로 이루어진 층간유전체의 유전상수를 낮추는방법 및 그에 의해 만들어진 층간유전체
JP2007088460A (ja) 誘電性材料に狭いトレンチを形成する方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050915

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050915

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20070730

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20070730

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20071129

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090224

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090525

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090616

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091016

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20091201

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20091225

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111004

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111109

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141118

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees