JP4379878B2 - エアーギャップを選択的に形成する方法及び当該方法により作製された装置 - Google Patents

エアーギャップを選択的に形成する方法及び当該方法により作製された装置 Download PDF

Info

Publication number
JP4379878B2
JP4379878B2 JP2004287734A JP2004287734A JP4379878B2 JP 4379878 B2 JP4379878 B2 JP 4379878B2 JP 2004287734 A JP2004287734 A JP 2004287734A JP 2004287734 A JP2004287734 A JP 2004287734A JP 4379878 B2 JP4379878 B2 JP 4379878B2
Authority
JP
Japan
Prior art keywords
layer
etching
liner
air gap
laminate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004287734A
Other languages
English (en)
Other versions
JP2005175435A (ja
JP2005175435A5 (ja
Inventor
ジャン・ポール・ゲノー・ドゥ・ミュシー
ゲラルト・バイヤー
カレン・メックス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Interuniversitair Microelektronica Centrum vzw IMEC
Original Assignee
Interuniversitair Microelektronica Centrum vzw IMEC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP03447239A external-priority patent/EP1521301A1/en
Application filed by Interuniversitair Microelektronica Centrum vzw IMEC filed Critical Interuniversitair Microelektronica Centrum vzw IMEC
Publication of JP2005175435A publication Critical patent/JP2005175435A/ja
Publication of JP2005175435A5 publication Critical patent/JP2005175435A5/ja
Application granted granted Critical
Publication of JP4379878B2 publication Critical patent/JP4379878B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Micromachines (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

本発明は、半導体プロセスの分野に関連する。より詳細には、ミクロ及びナノ電気機械システム(MEMS、NEMS)の製造方法、及び配線のための低誘電率絶縁体の製造方法に関する。
また、本発明は、半導体装置におけるエアーギャップ集積の分野の研究に貢献する。
半導体装置においてエアーギャップを使用することは知られている。MEMS及びNEMS装置において、一般的にキャビティ状のエアーギャップが配置されている。これらのエアーギャップは一般的に上記のような半導体装置の一部であり、機能的役割を果たす。
配線技術において、エアーギャップは非常に有望であると考えられている。この配線技術において、エアーギャップは配線を離間するための誘電体として使用される。IC技術がスケールダウンするにしたがって、配線は、伝搬遅延、ダイナミック電気消費、近接する金属ライン間のクロストーク降下により発生するシグナルエラーの観点から、最も重大な制限要素となってきている。アルミニウムから銅へと変更することにより、チップ上のワイヤ接続の抵抗が大きく減少する。本発明は、酸化シリコン(k=4.2)より低い誘電定数を有する材料を導入することにより、ライン間においてより良好な絶縁性を達成することを目的とする。ここで、この酸化シリコンは、キャパシタンス(C)を減少させる。低誘電率材料(k<3.0)を製造プロセスに導入することにより、リーク、機械的不安定性、ジュール加熱に関連する多くの問題が発生し、将来のICプロセスの全コストが増加する。
さらに、バリアー層及び中間層により、最終積層体の実効誘電率を増加させる。上記問題に鑑み、また空気が、利用できる最良の絶縁体であることにより、近接する金属ライン間にエアーギャップを形成することに対して強いインセンティブが存在する。
電気的配線を絶縁するため空気を誘電体として使用し、ダイナミック電気消費及びシグナルエラー(例えばクロストーク)と同様RC遅延が減少することが知られている。
この技術分野において、エアーキャビティを導入する様々な方法が知られている。例えば、
(i)等方性エッチング(MEMSに応用する):これは、SiO犠牲層を溶解するためHFを使用することが含まれる。ここで、他の非反応性フィルム(例えばSiC)をエッチング停止材として使用する。その後、エッチングソースを非共形のCVD SiO層によりシールする。
(ii)非等方性エッチング:これは、非等方性ドライエッチングにより材料を除去することが含まれる。このとき、専用のマスクを有すること、その後のドライエッチング及びストリップオペレーションをさらに行うことが必要である。その後、共形のCVD SiOは、エアーギャップが形成されるラインの上面に積層され、共形CVD SiOに続いて非共形CVD SiOが積層される。
米国6,268,261号において、エアーギャップを有する半導体回路を製造する方法が開示されている。このプロセスは、伝導性ライン間に固体状の充填物を有する複数の近接する伝導性ラインを作製する工程と、上記ライン及び充填物上に一以上の層を形成する工程と、層を通って充填物まで延びる一以上のパスウェイを形成する工程と、充填物を、上記パスウェイを通って逃げるガスに変換し、これにより近接するライン間に空気の間隙を形成する工程とを含む。このプロセスにより、伝導性ラインを含む多層半導体回路となる。この半導体回路において、ラインは、それらの間に誘電体である空気を有する。ここで、固体状の充填物を伝導性ライン間に積層させる必要がある。この充填物は、上に層を析出している間安定でなければならない。また、この層においてパスウェイを上方に予め設けることが必要である。そのため、これは、エキストラマスキング及びエッチング工程のような付加的な処理が必要となる。
米国特許第6,599,814号において、基板のシリコンカーバイド層、特にアモルファスSiCを取り除くための方法が開示されている。最初、酸素含有プラズマをカーバイドシリコン層に接触させることにより、カーバイドシリコン層の照射部分が少なくとも部分的に変換される。その後酸化シリコン層を基板から取り除くことができる。
米国特許第6,268,261号明細書 米国特許第6,599,814号明細書 米国特許出願公開第2002/055243号明細書 米国特許第6,255,156号明細書
本発明は、狭く離間された近接する金属ライン間に選択的にエアーを導入することができる方法を提供することを目的とする。
さらに、本発明は、実質的にスケールによって影響を受けない方法を提供することを目的とする。
また、本発明は、他の最新の技術の方法と比較して、プロセスステップが少ない方法を提供することを目的とする。
半導体装置内にエアーギャップを形成する方法であって、
該半導体装置は積層体を有し、該積層体は、少なくとも1つのサブ積層体を備え、
該サブ積層体は、
ライナー材料からなるライナー層と、
犠牲層材料からなる犠牲層とを含み、
上記ライナー材料は、第1エッチング物質に対して耐性があり、
上記第1エッチング物質は、上記犠牲層材料をエッチングすることができることを特徴とする方法を開示する。
上記犠牲層は、上記ライナー層の下に位置する誘電体層であることが好ましい。
上記犠牲層上に位置するライナー層は、ハードマスク層の機能を有することが好ましい。積層体中で上記犠牲層の下に位置するライナー層は、エッチング停止層として作用し得るが、これは必須ではない。
エアーギャップを形成する方法は、
a)第2エッチング物質により積層体にホールをドライエッチング形成する工程と、
b)局所的にライナー層の特性を化学的及び/又は機械的に変化させ、それにより上記ライナー層の一部が局所的に変換され、上記ライナー層の一部を第1エッチング物質によりエッチング可能とする工程と、
c)伝導性材料からなり、バリアー層により犠牲層から離間されている場合もあるラインをホール中に形成する工程とを有し、
上記ライン及びバリアー層が存在する場合はバリアー層が、第1エッチング物質に対して耐性を有し、上記方法はさらに
d)上記積層体に第1エッチング物質をさらし、それによりエアーギャップが上記ラインの近傍に形成される工程とを有することを特徴とする。
好ましい実施の形態において、上記犠牲層上に位置するライナー層だけが、上記第2エッチング物質により局所的に変換され、ホールのエッチングが、上記犠牲層の下に位置するライナー層で停止される。上記犠牲層の下に位置するライナー層は、その後エッチング停止層として作用する。
他の好ましい実施の形態では、上記犠牲層上のライナー層(ハードマスク層として作用する)と、上記犠牲層の下のライナー層(この層はホールのエッチングの間開口される)の両方が、上記第2エッチング物質により局所的に変換される。
あらゆる標準的な技術によりホールにラインを形成してもよい。
工程(c)は、
i バリアー層を積層させるサブ工程、
ii バリアー層の上面に電気伝導性材料の層を積層させるサブ工程と、
iii 積層体の上面に除去技術を適用することにより、伝導性材料及びバリアー層の過剰堆積部分を取り除くサブ工程とからなり、少なくとも1つの埋設されたラインが形成されることを特徴とする。
上記除去技術の具体例としては、化学的機械的研磨法(CMP)、電気研磨法、エッチング、上記技術のあらゆる組み合わせが挙げられる。
バリアー層の具体例として、TaN、Ta、TiN、Ti、WN、WCN等が挙げられる。これらのバリアー層を、物理的気相成長法(PVD)、化学的気相成長法(CVD)、有機金属気相成長法(MOCVD)、原子層堆積法(ALD)及び関連する方法により積層させてもよい。このバリアー層は、拡散防止層としての機能を有し、ジエレクトリカム(例えば低誘電体材料)に伝導性材料が拡散するのを防止してもよい。
ラインの伝導性材料が第1エッチング物質に対して耐性を有さず、さらにバリアー層が存在する場合、工程(d)を行う前にラインの塗布部分上に保護層を積層させる付加的な工程を適用することが好ましい。
この導電性材料を、金属、カーボンナノチューブ及び伝導性ポリマーからなる群から選択する。この伝導性材料は例えばCu、Au若しくはAgである。
ホールを充填することにより、伝導性ラインを形成することが好ましい。これらの伝導性ラインが、半導体中に形成された(シングル若しくはデュアル)ダマシン構造の一部であることが好ましい。このデュアルダマシン構造は、水平ライン(「トレンチ」と称される)及び垂直構造体(「ビア」と称される)からなる。シングル及びデュアルダマシン構造は、半導体プロセスの後工程(BEOL)において形成される。
本発明に係るエアーギャップをトレンチ近くに、ビアの近くに、若しくはビア及びトレンチの近くに形成することが好ましい。本発明のエアーギャップをビア近くに形成することが最も好ましい。
本発明は、電気的に絶縁するエアーギャップを形成することを目的とするが、たとえばエアーギャップが構造的若しくは機能的な一部である他のMEMS装置においてもエアーギャップを形成することができる。
好ましい実施の形態において、積層体にホールをエッチング形成する工程、及び局所的に上記ライナー層の特性を化学的に変更する工程を同時に実行する。
また、好ましい実施の形態では、ライナー層はハードマスク層であり、上記ライナー材料はシリコンカーバイド(SiC)である。
さらに、好ましい実施の形態では、犠牲層材料は誘電体層である。最も好ましくは、上記誘電体層は酸化シリコン(SiO)である。
好ましい実施の形態では、上記ライナー層(例えばSiC)材料は、上記犠牲層材料(例えばSiO)に局所的に変換される。
上記ライナー層の化学的に変換された部分は、面内において1μmより小さい大きさを有することが好ましく、500nmより小さい大きさを有することがさらに好ましく、100nmより小さい大きさを有することがさらに好ましい。10nmより小さい大きさを容易に得ることができる。
特定の実施の形態において、上記積層体は更に基盤層を備える。
この基盤層は、第2エッチング物質に対して耐性を有し、上記基盤層により、積層体にホールをエッチング形成することが下方向に関して制限される。この基盤層は、埋設された金属ラインを有していてもよい。
この基盤層は、エッチング停止層であってもよい。本発明に係るある実施の形態では、この基盤層は、第1エッチング物質に対して耐性を有する。これは、エアーギャップの形成を下方向に関して制限する。
好ましい実施の形態では、第2エッチング物質は酸化剤である。
好ましい実施の形態では、第2エッチング物質は酸素を含有したドライエッチングプラズマである。
好ましい実施の形態では、この第1エッチング物質は、フッ酸(HF)を含む。HF気相雰囲気でエッチングを行うことが好ましいが、しかし希釈されたHF溶液中でHF浸漬を行ってもよい。
第1エッチング物質がHF溶液である場合、水溶性のHF溶液(HF/HO混合物)ではなく、無水のHF溶液であることが好ましい。これは、制御が容易であるからである。無水HF溶液の具体例としてHF/アルコール(例えばメタノール)混合物が挙げられる。
好ましい実施の形態では、このホールは後工程(BEOL)において形成される。
好ましい実施の形態では、この基盤層は金属を含有する。
好ましい実施の形態では、埋設された金属ライン及び金属ラインは、電気コンタクト層を形成している。
好ましい実施の形態では、本発明に係る方法を繰り返し行ってもよい。エアーギャップ構造の上面に新たな層を形成し、この新たな層上において本発明に係る方法を繰り返し行ってもよい。
全ての図面は、本発明及びこの実施の形態を例示することを意図している。明確にするため、装置を簡略して示している。全ての変更及びオプションを示しているわけではなく、そのため本発明は与えられた図面の内容に限定されない。異なる図面において、同一の部分を参照する場合、同一の数字を使用している。
次の詳細な説明及び具体例は、本発明に係る好ましい実施の形態を詳細に例示している。当業者であれば、本発明の技術的範囲を包含する範囲で本発明を変更若しくは修正するような実施形態が多数存在することは分かるであろう。従って、好ましい実施の形態の詳細な説明は、本発明の技術的範囲を制限するものと解してはならない。
半導体にエアーギャップを作製する方法を開示している。
より詳細には、半導体装置においてエアーギャップを作製する方法であって、上記半導体装置は、積層体を備え、該積層体は少なくとも1つのサブ積層体を有し、該サブ積層体は、ライナー材料からなるライナー層と、犠牲材料からなる犠牲層とからなり、上記ライナー材料は、第1エッチング物質に対して耐性を有し、上記第1エッチング物質は、上記犠牲層材料をエッチング形成することができることを特徴とする方法を開示している。
上記犠牲層は、上記ライナー層の下に位置する誘電層であることが好ましい。
上記犠牲層上に位置するライナー層は、ハードマスク層の機能を有することが好ましい。上記積層体の中で上記犠牲層の下に位置するライナー層は、エッチング停止層として機能することができるが、これは必須ではない。
上記のエアーギャップを作製する方法は、
a)第2エッチング物質を用いて、積層体中にホールをプラズマドライエッチング形成する工程と、
b)局所的にライナー層の特性を化学的に変化させ、それによりライナー層の一部が局所的に変換され、このライナー層の一部を上記第1エッチング物質によりエッチング可能とする工程と、
c)伝導性物質からなり、バリアー層により上記犠牲層から離間されている場合もあるラインをホールに形成する工程とを有し、
上記ライン若しくはバリアー層が存在する場合はバリアー層は、第1エッチング物質に対して耐性を有し、
上記方法は、さらに
d)上記積層体に上記第1エッチング物質をさらし、それによりエアーギャップを上記ライン近傍に形成する工程を有することを特徴とする。
図1は、狭く離間されたインターコネクト(1)間の犠牲材料(絶縁若しくは誘電体と称される)をエッチングする前(a)及び後(b)の標準的なCuシングルダマシン(SD)スタックを示している。一般的なインターコネクトは、誘電体スタック(3)中に埋設された金属(例えば、Cu、Au、Ag…)である。この誘電体スタック(3)は、ライナー層(2)を含んでいる。上記誘電体材料とライナー層は、Si若しくは他の基板(不図示)上に積層される。これは、プラズマ気相成長法(PECVD)、化学的気相成長法(CVD)、スピンオン成長法などの方法により積層される。層(3)は、一般的にSiO(k=4.2)であるか、若しくはk値が低い他のSi含有絶縁材料、例えばSiOC:H材料(炭素ドープ酸化物、一般的にシリコンオキシカーバイドと称される)である。ライナー層(2)の具体例として、SiC、SiC:H等の炭素含有Si化合物が挙げられる。図1において、部分(4)は、選択的に組み込まれたエアーギャップを示している。上記犠牲層(3)上に位置するライナー層は、ハードマスク層の機能を有することが好ましい。
本発明に係る好ましい実施の形態において、金属ライン(1)例えばCuラインが、犠牲材料(3)(例えばSiO)に埋設されている。一般的に、金属ライン(1)がバリアー層(不図示)例えばTa/Ta(N)バリアー層により上記犠牲材料(3)から分離されている。このバリアー層は、拡散バリアー層としての機能を有していてもよい。ライナー層(ハードマスク)(2)を例えばSiCの上面に積層させる。犠牲層材料はエッチング剤(例えばHF)に対して耐性を有し、さらに非伝導性であることが好ましい。金属ライン(1)を形成する前にライナー層を局所的に変換し(ナノメートルスケールで可能である。)、脆弱なスポット(プラグ)を形成しなければならない。例えば、SiCライナー層を局所的にSiOにプラズマ変換する。プラグは、化学的拡散される金属ラインに対して選択的チャンネルとして作用し、また一般的にバリアー層が積層される。この積層された金属(伝導性材料)の過剰堆積部分及び上記バリアー層の過剰堆積部分を、化学的機械的研磨法(CMP)のような標準的な除去技術を用いて取り除きそして平坦化する。犠牲層材料の溶解は、エッチング剤を塗布した後に起こる。これは、狭く離間されたCuライン間で選択的に起こる。
単一の工程により、狭く離間されたCuインターコネクト間に選択的に空気を導入する方法であって、図1に示したように、完全にプロセスの後工程に対して作用を及ぼさないことを特徴とする方法を開示している。
本発明の好ましい実施の形態(図2)を以下に示す。プロセスの出発点は、図2aに示すような標準的なCuシングル若しくはデュアルダマシンスタックである。ビア及びトレンチを形成するためのドライエッチ工程を酸素含有プラズマ中で行い、ライナー層(たとえばSiC)を局所的に酸化させる(図2b)。
ドライエッチングの手順は、高濃度の酸素を含む酸素/フルオロカーボンプラズマにより開始しハードマスクを開放し、その後酸素を殆ど含まないプラズマを照射し(フルオロカーボンの濃度がより高い)、Si含有絶縁層(例えばSiO)に開口部を形成する。ドライエッチングプロセスは非等方性である。即ち、これは、垂直エッチングと比較して、横方向エッチングが無視しうるということを意味する。Si含有絶縁層(3)(犠牲層と称される)の下に位置するライナー層(2)に到達するまで非等方性エッチングプロセスを実行する。犠牲層(3)の下に位置するライナー層(2)は、ここではエッチング停止層として作用する。ライナー層(ハードマスク及びエッチング停止層)はSiCであることが好ましい。ドライエッチングプロセスにおいて、開口部の側壁に位置するハードマスク層(たとえばSiC)の一部が、酸素リッチプラズマにより酸化されSiOとなる。SiCハードマスク層の変換された部分の大きさは、ナノメータの範囲、より好ましくは100nm以下であることが好ましい。エッチング停止層として作用するライナー層(2)は、酸素リッチプラズマにより変換されないことが好ましい。
図2cは、バリアー層(Ta/TaN(10/15nm))を積層し、Cuシード層(100nm)を積層し、Cu電気メッキ(1μm)及び化学的機械的研磨法(CMP)を行った後のスタックを示している。バリアー層の一般的な具体例は、TaN、Ta、TiN、Ti、WN、WCN等である。現在用いられているバリアー層の積層方法は、プラズマ気相成長法(PECVD)、化学的気相成長法(CVD)及びスピンオン成長法等である。図2(d)は、例えば蒸気HF(VHF)(若しくは誘電体(8)をエッチングする他のあらゆる化合物)を用いて誘電体をエッチングした後、この誘電体層/バリアー層の界面近くにエアーキャビティ(4)を形成したものを示している。SiCをSiOに変換した部分の範囲は、ナノメートルの範囲にあり、この範囲では、下地のSiO層に対してHF分子を拡散させるには十分である。これがTa(N)/SiC界面に依存したプロセスであることにより、剥き出しになったSiO(大きく離間したCuライン間に存在する)と比較して、狭く離間されたCuライン間に存在するSiOの溶解速度は加速することとなる。結果的に得られるスタックは、機械的及び化学的に安定であり、図2eに示されるように、更なるプロセスを実行することができる。後の図面において、ライナー層(2)若しくは誘電体層(3)のような薄い共形層をエアーキャビティの上部に析出させる。
本発明に係る他の好ましい実施の形態(図3)を以下に示す。
最初の実施の形態と同様に、出発点は、図3aに示すような標準的Cuシングル若しくはデュアルダマシンスタックであるが、このスタックは、Cuラインの下に基盤層としてHFレジスト層(9)(例えば化学的に積層されたSiOC)を備える点で異なる。ドライエッチング工程は、酸素含有プラズマ中で行われ、例えばSiCのようなライナー層(7)を局所的に酸化する(図3b)。結果的に得られるスタックは、機械的及び化学的に安定であり、図3eに示すようなさらなるプロセスを行ってもよい。Cuラインの下にはエアーギャップが形成されないことに注意しなければならない。このCuラインは、スタックを機械的に良好に安定させる。
基盤層は、第2エッチング物質に対して耐性を有することが好ましい。このため、この基盤層により、ホールをエッチング形成することが下方向に関して制限される。この基盤層は、金属構造を含んでいても良い。
本発明に係る他の好ましい他の実施の形態(図4)を以下に示す。この出発点は、図4aに記載された標準的なCuシングル若しくはデュアルダマシンスタックである。ドライエッチング工程は、Oプラズマ中で行われ(図4b)、SiC/Ta(N)界面でプラグを形成する(図4b)。レジストストリップの後、補助共形CVD SiCライナー層(例えば50nm)を積層し、全部の露出面を被覆する(図4c)。その後、等方性のOプラズマ処理を行い、図4dに示すように、底面のSiCを開口する。VHFは、設けられたプラグを通って、近接するSiO材料に拡散する。一方、Cuラインが、SiCと共に埋設されたままである(図4e)。結果的に得られるスタックは、機械的及び化学的に安定であり、さらなるプロセスに耐えることができる(図4f)。
基盤層(9)にはエアーギャップを形成しない方が好ましい。この基盤層(9)は、第2エッチング物質に対して耐性を有することが好ましく、このために、基盤層(9)により、ホールをエッチング形成することが下方向に関して制限される。この基盤層は、金属構造を含んでいてもよい(図4ii)
作製されたエアーギャップ(4)のサイズを考慮するとき、局所的にライナー層の特性を化学的に変更する工程の間の期間は、積層体にエッチング物質を塗布する工程の間の期間程厳密でない。化学的及び/又は機械的に変更されたライナー層の領域の大きさと依存性がないので、積層体に対してエッチング物質を塗布する工程の間の期間を制御することによって、エアーギャップサイズを制御することができる。
隣接する導線性ラインの間の距離は、積層体における近接するホールを形成することに関連する最新技術の限界により決定される。一般的に金属ラインは、約1μm離間される。本発明はスケールによって影響を受けず、導電性ライン間の距離に限定されない。これは、局所的にライナー層の特性を化学的及び/又は機械的に変更する工程を、ナノメートル以下のスケールで適用することができるためである。
実施例1:実際の実施例及びプロセスパラメータ
200mmのウエハは、標準的なシングルダマシン(SD)スタックからなる。このスタックは、図2aに示すように、500nmSiOの上面にSiCライナー層が配置され、このSiCライナー層上に600nmのSiOが配置されている。これらは、Si(100)上の50nmSi吸着層上に支持されている。リソグラフィを行った後、図2bに示すようにSiC層が開口されるまで、このスタックをドライエッチングした。その後、25nmのTa(N)拡散防止層(10nmTa(N)、15nmTa)及び100nmのCuシード層を、セルフイオン化プラズマ技術(SIP)により成長させ、Cu電気メッキによりトレンチを充填した(図2c)。そして、残りの材料を化学的機械的研磨法により除去した(図2d参照)。約8トールの圧力において、有機シリコントリメチルシランガス及びHeをa−SiC:H(アモルファス水素化SiC)の前駆物質として用いた。2.6トールにおけるSiO、SiH、及びNOは前駆物質として有益である。a−SiC:H(BLOK若しくは低誘電体バリアー層と称される)の主な機械的特性は、40〜300MPaの応力を有することである。
ドライエッチングは、次の条件で行われる。
-圧力範囲:175及び70ミリトール(ダブルステップSiOエッチングの場合)及び90ミリトール(SiCエッチングの場合)、
-温度:放出温度は20℃に設定される。また、ドライエッチング工程の間のウエハ温度は、プロセス特性及びプロセス期間に依存して70〜80℃まで立ち上がる。
-種類:*SiOは2工程においてエッチング形成される。これは、Ar、O、CF及びCHFに基づくメインエッチング(SiO/SiCの選択性は低い)、Ar、C、及びOによる付加的な過剰エッチングを含む。*SiCは、Ar、N、CF及びCHFからなるプラズマによりエッチングされる。
-エッチング時間:これは、特性長及び深さに依存する。しかし、600nmの深さを超える250nm幅のトレンチをエッチングするため(SiOを主にエッチングする工程)には、一般的に60秒かかる。ストリップは2つの工程において行われる。即ち、(i)蒸気のHOが用いられるドライストリップ及び(ii)ウェットストリップである。さらに、HF溶液(クリーンルームにおける濃度が49%)を使用することによって、サンプルに対して、良く制御されたSiOエッチングが行われた。使用する時間及び温度により、エッチングされるSiOの量を容易に制御することができる。図7は、VHFの照射量に対するエッチング率をプロットしたものであり、図8は組み込まれたエアーギャップの結果物を示している。図8は、VHF照射を行った後の一般的なSDスタックの概略断面積図を示している。
実施例2:エアーギャップ形成のためのプロセスフロー
全プロセスを図10に示している。最初の段階では、50/500/50/600/50nmのSi/SiO/SiC/SiO/SiCからなるスタックをSi(100)上にプラズマ気相成長法(PE-CVD)により形成した。248nmの波長を用いた光学リソグラフィによりパターニングを行った(図10a)。70ミリトールにおいて、Ar/N/O/CF/C混合物中において反応性イオンエッチング(RIE)を実行した。レジストストリップを注意深く制御し、1100ミリトールにおいてHO(v)/CFガスを使用することによって側壁のSiCを局所的に酸化させた(図10b)。その後、15/10nmTa/Ta(N)拡散層及び100nmのCuシード層をイオン化物理的気相成長法(i−PVD)により形成した。銅の電気メッキによりトレンチを充填し、そして図10cに示すように化学的機械的研磨法(CMP)により過剰に堆積された金属を除去した。この時点において、ウエハを2×2cmのダイスに分割した。低圧においてMEMS−CETシステム(Primaxx,PA,USA)により単一のダイスにHF/メタノールガス相混合物(HF(g))を照射した。HF(g)は、局所的に酸化されたSiCを容易に溶解し、そしてTa(N)/SiC界面を介して拡散した。これにより、下にあるSiO層を溶解し、図10dに示すような完全なエアーギャップを形成した。主にHF(g)照射時間を調整することにより、エッチングされたSiOの量を制御した。エッチング率を微調整するためにガスの温度及び圧力を使用した。
図11は、曲がったフォーク構造のFIB断面図を示している。好ましいエッチング方向が見られないように、SiO誘電体材料を等方的に溶解した結果、エアーギャップが形成されている。適用される実験条件の下では、SiOエッチングは非常に感度が高く、Ta/Ta(N)のバリアー層に対するアタックを抑止する。さらに、イメージング前に上面にPt層をFIB積層した後でも、上部のSiC層は適切な場所にある。
実施例3:電気特性
幅/スペース(L/S)が200/200nmと400/400nmとの間にある微小ラインを有する、1−2cmの長さの湾曲状部若しくはフォーク状部間のキャパシタンスを測定した。ここで、HP4284ALCRメータを100kHzで使用した。また、インピーダンスに対してパラレルRCモデルを想定している。全ての実験データを表2に示した。
(表2)
Figure 0004379878
//は、使えるデータがないことを示す。
実施例4:銅ライン間のフルサイズ及びハーフサイズのエアーギャップ
図12は、フルサイズのエアーギャップ(実験の第1組)及びハーフサイズのエアーギャップ(実験の第2組)を有する2組のダイスのキャパシタンス特性を示している。ハーフサイズのエアーギャップは、銅ラインの高さの半分のエアーキャビティに対応する。図12に示すように、より低いキャパシタンスを有するサンプルはフルサイズのエアーギャップであり、銅ライン間にハーフサイズのエアーギャップを有するサンプルは、フルサイズのエアーギャップを有するサンプルより安定である。HFのエッチング時間はエアーギャップのサイズを決定するのに重要な要素ではなく、チャンバーの温度及び圧力(2組の実験で異なる)は、エアーギャップのサイズを決めるのに重要であった。
実施例5:TEM及びEFTEM観察
SDスタックの一般的なTEMイメージの断面図を図5に示している。(a)が低解像度のものであり、(b)が高解像度のものである。図5aのサンプルの右サイドは、その左側の対称側に形成されるウェッジの最も薄い部分に対応する。TEMにより観察され、EFTEMによりサポートされるSiC/Ta(N)界面の近傍のSiC層の球形部分は、この層が、局所的にSiOに変換され、Ta(N)バリアー壁を有するコンタクト領域が大幅に減少することを示している。このSiC/SiO変換は、ドライエッチング工程において行われる酸素含有プラズマの作用による。
実施例6:FIB、SEM及び光学顕微鏡観察
図6aは、離間されたCuラインの一般的なFIB断面図である。これは、VHF照射の後周辺のSiOが徐々に溶解することによる。図6aiに示したように4mnのエッチングを行った後、上部のSiO層の半分を取り除いた。HF照射を継続するに従って、SiC/Ta(N)界面の下において、下地のSiOフィルムを徐々に取り除いた。この界面により、金属構造の下にエアーを挿入することができる。上記の現象は、あらゆるSiC/Ta(N)界面の下にあるSiO材料に対して選択的に起こることが分かった。長いHFエッチング時間(約8mn以上)の後、そのスタックの変換を光学検査により容易に観察することができる。
図9は、狭く離間したライン間(300mm)にエアーギャップが形成される任意の照射時間の後のSDスタックを示した概略図である。この図は、エアーギャップ構造体の上部に新たな層を積層することが可能であることを示している。ここで、(16)は、SiC(50nm)−SiO(300nm)−Si(500nm)層を示している。さらに、これは、エアーギャップ構造体の上部に犠牲層、ハードマスク層、および適切であれば基盤層を形成することができることを示している。本発明の実施の形態をこの後繰り返し行ってもよい。
上記具体例及び詳細な説明は、本発明に係るいくつかの方法及び材料を開示している。この発明は、製造方法及び製造装置における変更と同様、方法及び材料の修正を受けやすい。そのような修正は、本明細書に開示された発明の開示及びプラクティスを考慮すれば当業者であれば自明である。従って、本発明を本明細書に記載された特定の実施の形態に限定すべきことを意図しているわけではなく、本発明は、添付の特許請求の範囲に記載した発明の真の技術的範囲及び思想の範囲で行われる全ての修正及び変更を網羅する。本明細書に引用された全ての特許、応用及び他の参考文献を全体として引用して援用する。
図1は、狭く離間させたインターコネクト(1)間において犠牲層材料をエッチングする前(a)及び後(b)の標準的なCuシングルダマシン(SD)スタックを示す概略図である。一般的なインターコネクトは、金属(例えば、Cu、Au、Ag…)であり、この金属はSiO(3)とSiC(2)とのスタックに埋設されている。(4)は、選択的に挿入されたエアーギャップである。 図2(a)は、レジストコーティング及びディープウルトラバイオレット(DUV)によるパターニング(5)を行った後のスタック(出発点)を示した概略図である。図2(b)は、酸素含有プラズマを照射し、SiC層(7)を局所的に酸化した後のスタック(a)を示している。図2(c)は、バリアー層(例えばTa/Ta(N)(10/15nm))を積層し、Cuシード層(100nm)を積層し、Cu電気メッキ(1μm)を行い、化学的機械的研磨法(CMP)を実行した後の上記スタックである。図2(d)は、蒸気HF(VHF)を照射し(8)、SiO/TaN界面近くにエアーキャビティを形成した後のスタックを示している。図2(e)は、薄い共形CVD層(例えばSiC/SiOCVD)が上面に積層された後のものを示している。 図3(a)は、レジストコーティングやディープウルトラバイオレット(DUV)によるパターニング(5)を行った後のスタック(出発点)を示した概略図である。層(9)を基盤層と呼ぶ。この基盤層は、第2エッチング物質に対して耐性を有することが好ましく、これにより積層体にホールをエッチング形成することは、この基盤層により下方向に関しては制限される。基盤層は、エアーギャップの形成を制限する第1エッチング物質に対して耐性を有することが好ましい。この基盤層(9)にエアーギャップを形成しないことが好ましい。図3(b)は、酸素含有プラズマを照射し、SiC層(7)を局所的に酸化した後のスタック(a)を示している。図3(c)は、バリアー層(例えばTa/Ta(N)(10/15nm))を積層し、Cuシード層(100nm)を積層し、Cu電気メッキ(1μm)を行い、化学的機械的研磨法(CMP)を実行した後の上記スタックである。図3(d)は、蒸気HF(VHF)を照射し(8)、SiO/TaN界面近くにエアーキャビティを形成した後のスタックを示している。しかし、下地の材料はHFによりエッチングされないことに注意が必要である。これはエアーギャップキャビティを単一の層に制限する。図3(e)は、薄い共形CVD層(例えばSiC/SiOCVD)が上面に積層された後のものを示している。図4は、SiO材料中に開口部がエッチング形成された後、SiC層(1)が特別に積層されている点で図3と異なる。この追加のSiC層が積層された後、追加のSiC層の底面は、エッチングにより除去され、その後バリアー層(例えばTa/Ta(N)(10/15nm))、Cuシード層(100nm)を積層し、さらにCu電気メッキ(1μm)、化学的機械的研磨法(CMP)を実行する。 図4iiは、基盤層(9)がさらに金属構造を備えるという点で図4iと異なる。この金属構造は、犠牲層(3)にエッチング形成されたホール内の伝導性ラインと接触することが好ましい。最終的にこの構造により、ダマシン構造となる。図4(a)は、レジストコーティング及びディープウルトラバイオレット(DUV)によるパターニング(5)の後のスタック(出発点)を示した概略図である。図4(b)は、O含有プラズマ中でドライエッチング作業を行った後のスタック(a)を示している。図4(c)は、追加のSiC層(例えば50nm)を積層した後の上記スタックを示している。酸素含有プラズマを照射し、局所的に酸化させた後のスタック(a)を示している。図4(d)は、等方性の酸素プラズマで処理し、SiC層の底面を除去した後のものを示している。図4iでは、ホールのエッチング形成が、基盤層(9)上で停止され、図4iiでは、ホールのエッチング形成は、基盤層(9)に埋設された金属構造上において停止される。図4(e)は、バリアー層(例えばTa/Ta(N)(10/15nm))を積層し、Cuシード層(100nm)を積層し、Cu電気メッキ(1μm)を行った後のものを示している。この後、化学的機械的研磨法(CMP)を行い、HF蒸気照射し、エアーキャビティ(4)を形成する。図4(f)は、薄い共形CVD層(例えばSiC/SiOCVD)が上記エアーキャビティの上面に積層された後のものを示している。 図5は、Cu/SiOシングルダマシンスタックの断面TEMイメージである。(a)は、拡大していないもの、(b)は拡大したものである。(10)及び(11)は、プラチナ及びガラスの保護層にそれぞれ対応する。12は、Si基板を示す。(c)は、(a)の白い波線の四角形により囲まれた領域のC(13)、Cu(14)及びO(15)のEFTEMマッピングに対応する。EFTEM分析において、左のゾーンは、成分濃度が高いことに注意しなければならない。白い波線の円は、変換されたSiC領域(プラグ)を示している。 図6は、VHFエッチングを(i)4分及び(ii)8分行った後の標準的なCu−SiOシングルダマシンスタックの断面FIBイメージである。(a)は、狭いライン(低い領域)を示し、(b)はボンドパッド(大きい領域)を表す。x方向を図面に示している。 図7は、狭いラインとボンドパスの時間に対する、エッチング距離の依存性をプロットしたものである。 図8は、Cuラインの下にエアーギャップが挿入されるような任意のVHF照射時間の後のSDスタックを示した概略図である。HF拡散のための選択的チャンネルをSiC/Ta(N)界面に黒の波線により示している。 図9は、狭く離間したライン(300nm)の間にエアーギャップが挿入されるような任意のVHF照射時間の後のSDスタックを示した概略図である。ここで、(16)は、エアーギャップ構造上に形成されたSiC(50nm)−SiO(300nm)−Si(500nm)層を示している。 図10は、エアーギャップを形成するための付加的なプロセスフローを示し、実際の具体例を例示している。 図11は、インターラインスペーシングL/Sが、200/200nm、250/250nm、300/300nm及び400/400nmである構造の断面FIBイメージを示している。 図12は、2つの異なるHF照射時間に亘ってエアーギャップを形成した後の微小スペーシングに対するインターラインキャパシタンスを示している。これは、エアーギャップが形成されていないサンプルと対比している。 図13は、エアーギャップを有するサンプルと有さないサンプルの微小スペーシングに対するインターラインキャパシタンスを示している。バーは、エアーギャップによるキャパシタンス降下を示している。キャパシタンスにおける降下は、近接する2つの銅ライン間のスペースに依存するようである。これは、より広いスペースでは、より小さいスペースより、より大きなエアーキャビティを収容できるからである。

Claims (27)

  1. 半導体装置内にエアーギャップを形成する方法であって、
    該半導体装置は積層体を有し、該積層体は、少なくとも1回繰り返したサブ積層体を含み、該サブ積層体は、犠牲層材料からなる犠牲層(3)と、ライナー材料からなり、上記犠牲層(3)の上、若しくは上記犠牲層(3)の上及び下に配置されたライナー層(2)と、を有
    上記ライナー材料は、第1エッチング物質に対して耐性があり、
    上記第1エッチング物質は、上記犠牲層材料をエッチングすることができ、
    当該方法は、
    a)第2エッチング物質で上記積層体にホールをドライエッチング形成する工程と、
    b)上記ホールの側壁部に露出しているライナー層(2)の一部について局所的にライナー層(2)の特性を化学的に変化させ、これにより、上記ライナー層(2)の一部局所的に変換、第1エッチング物質によりエッチング可能とする工程と、
    c)伝導性材料からなり、バリアー層により犠牲層から離間されているライン(1)を上記ホールに形成する工程と、を有し、
    上記ライン(1)、及び上記バリアー層は、第1エッチング物質に対して耐性を有し、
    当該方法は、さらに
    d)上記積層体に第1エッチング物質をさらし、それにより上記の局所的に変換されたライナー層及び犠牲層材料を除去して、エアーギャップ上記ライン(1)の近傍に形成る工程を有することを特徴とする方法。
  2. 上記犠牲層の下に配置されたライナー層がエッチング停止層として作用し、該エッチング停止層は化学的に変換されることがないことを特徴とする請求項に記載の方法。
  3. 上記工程(c)は、
    i バリアー層を積層るサブ工程と、
    ii バリアー層の上面に電気伝導性材料の層を積層るサブ工程と、
    iii 積層体の上面に除去技術を適用することにより、伝導性材料及びバリアー層の過剰堆積部分を取り除くサブ工程とからなり、
    少なくとも1つの埋設されたラインが形成されることを特徴とする請求項1記載の方法。
  4. 上記伝導性材料が、金属、カーボンナノチューブ、及び伝導性ポリマーからなる群から選択されることを特徴とする請求項1〜のいずれかに記載の方法。
  5. 上記伝導性材料がCu、Au若しくはAgであることを特徴とする請求項1〜のいずれかに記載の方法。
  6. 上記積層体が、さらに基盤層(9)を含むことを特徴とする請求項1〜のいずれかに記載の方法。
  7. 上記積層体にホールをエッチング形成する工程と、局所的にライナー層の特性を化学的に変換する工程とを同時に行うことを特徴とする請求項1〜のいずれかに記載の方法。
  8. 上記ライナー層材料が局所的に上記犠牲層材料に変換されることを特徴とする請求項1〜のいずれかに記載の方法。
  9. 上記ライナー層の化学的に変換された部分の大きさは、1μmより小さいことを特徴とする請求項1〜のいずれかに記載の方法。
  10. 上記ライナー層の化学的に変換された部分の大きさは、500nmより小さいことを特徴とする請求項記載の方法。
  11. 上記ライナー層の化学的に変換された部分の大きさは、100nmより小さいことを特徴とする請求項10記載の方法。
  12. 上記ライナー層の化学的に変換された部分の大きさは、10nmより小さいことを特徴とする請求項11記載の方法。
  13. 上記積層体が、シングル若しくはデュアルダマシンスタックであることを特徴とする請求項1〜12のいずれかに記載の方法。
  14. 上記基盤層が、上記第2エッチング物質に対して耐性を有することを特徴とする請求項1〜13のいずれかに記載の方法。
  15. 上記基盤層が、上記第1エッチング物質に対して耐性を有することを特徴とする請求項1〜14のいずれかに記載の方法。
  16. 上記犠牲層材料が酸化シリコン(SiO)であることを特徴とする請求項1〜15のいずれかに記載の方法。
  17. 上記ライナー層材料がシリコンカーバイド(SiC)であることを特徴とする請求項1〜16のいずれかに記載の方法。
  18. 上記第2エッチング物質が、酸素を含有するドライエッチングプラズマであることを特徴する請求項1〜17のいずれかに記載の方法。
  19. 上記の酸素含有プラズマが、さらにハイドロフルオロカーボン化合物及び/又はフルオロカーボン化合物を含有することを特徴とする請求項18記載の方法。
  20. 上記ハイドロフルオロカーボン化合物が、CHFであり、又は上記フルオロカーボン化合物がCF 又はC であることを特徴とする請求項19記載の方法。
  21. 上記第1エッチング物質が、フッ酸(HF)を含有することを特徴とする請求項1〜18のいずれかに記載の方法。
  22. 上記フッ酸が、49%HF溶液であることを特徴とする請求項21記載の方法。
  23. 上記フッ酸が、HF蒸気であること特徴とする請求項21記載の方法。
  24. 上記ホールが、後工程(BEOL)において形成されることを特徴とする請求項1〜23のいずれかに記載の方法。
  25. 上記基盤層が、埋設された金属ラインを含むことを特徴とする請求項24のいずれかに記載の方法。
  26. 上記の基盤層(9)に埋設された金属ライン及び当該金属ラインに連続する上記の犠牲層(3)に設けられた金属ラインが、電気的コンタクト層を形成することを特徴とする請求項25のいずれかに記載の方法。
  27. 半導体装置にエアーギャップ(4)を形成する方法であって、
    請求項1〜26のいずれかに係る方法を用いて、伝導性材料からなるライン(1)の近傍にエアーギャップ(4)を有する積層体を形成する工程と、
    つづいて、請求項1〜26のいずれかに係る方法を用いて、伝導性材料からなるライン(1)の近傍にエアーギャップ(4)を有する次の積層体を形成する工程と、を有することを特徴とする方法。
JP2004287734A 2003-09-30 2004-09-30 エアーギャップを選択的に形成する方法及び当該方法により作製された装置 Expired - Fee Related JP4379878B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US50758403P 2003-09-30 2003-09-30
EP03447239A EP1521301A1 (en) 2003-09-30 2003-09-30 Method of formation of airgaps around interconnecting line

Publications (3)

Publication Number Publication Date
JP2005175435A JP2005175435A (ja) 2005-06-30
JP2005175435A5 JP2005175435A5 (ja) 2005-11-04
JP4379878B2 true JP4379878B2 (ja) 2009-12-09

Family

ID=34740672

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004287734A Expired - Fee Related JP4379878B2 (ja) 2003-09-30 2004-09-30 エアーギャップを選択的に形成する方法及び当該方法により作製された装置

Country Status (1)

Country Link
JP (1) JP4379878B2 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100712517B1 (ko) * 2005-07-14 2007-04-30 삼성전자주식회사 에어 갭 구조를 갖는 반도체 소자의 인터포저
JP4735314B2 (ja) * 2006-02-14 2011-07-27 ソニー株式会社 半導体装置およびその製造方法
FR2916303B1 (fr) * 2007-05-15 2009-07-31 Commissariat Energie Atomique Procede de fabrication de cavites d'air utilisant des nanotubes
JP2010258215A (ja) 2009-04-24 2010-11-11 Renesas Electronics Corp 半導体装置及び半導体装置の製造方法
KR102190654B1 (ko) * 2014-04-07 2020-12-15 삼성전자주식회사 반도체 장치 및 이의 제조 방법

Also Published As

Publication number Publication date
JP2005175435A (ja) 2005-06-30

Similar Documents

Publication Publication Date Title
JP4864307B2 (ja) エアーギャップを選択的に形成する方法及び当該方法により得られる装置
US7037851B2 (en) Methods for selective integration of airgaps and devices made by such methods
US10192781B2 (en) Interconnect structures incorporating air gap spacers
TWI351733B (en) Dielectric spacers for metal interconnects and met
TW441015B (en) Dual-damascene interconnect structures and methods for fabricating same
TWI316731B (en) Method for fabricating semiconductor device and semiconductor device
US7534696B2 (en) Multilayer interconnect structure containing air gaps and method for making
US6562732B2 (en) Method of manufacturing a semiconductor device
TW200809923A (en) Dual-damascene process to fabricate thick wire structure
US5891804A (en) Process for conductors with selective deposition
US20110163446A1 (en) Method to generate airgaps with a template first scheme and a self aligned blockout mask and structure
JP2003017558A (ja) 半導体装置およびその製造方法
JPH0864680A (ja) 半導体デバイス内に多孔質誘電体層を集積する方法及び半導体デバイス
JP2012038961A (ja) 半導体装置及び半導体装置の製造方法
JP2005340808A (ja) 半導体装置のバリア構造
TW200937604A (en) Semiconductor device and method of manufacturing the same
JP4379878B2 (ja) エアーギャップを選択的に形成する方法及び当該方法により作製された装置
JP5823359B2 (ja) 半導体装置の製造方法
EP1608013B1 (en) Method of formation of airgaps around interconnecting line
WO2007142172A1 (ja) 多層配線製造方法と多層配線構造と多層配線製造装置
JPH1074837A (ja) 半導体装置及びその製造方法
JP2005150682A (ja) 半導体素子の金属配線の形成方法
JP2011155074A (ja) 半導体装置の製造方法
JP2003332336A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050915

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050915

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20070711

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081113

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090428

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090728

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090825

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090911

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121002

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131002

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees