KR20120063494A - 자성 기록 매체 상에 패턴을 제조하기 위한 시스템 - Google Patents

자성 기록 매체 상에 패턴을 제조하기 위한 시스템 Download PDF

Info

Publication number
KR20120063494A
KR20120063494A KR1020127007584A KR20127007584A KR20120063494A KR 20120063494 A KR20120063494 A KR 20120063494A KR 1020127007584 A KR1020127007584 A KR 1020127007584A KR 20127007584 A KR20127007584 A KR 20127007584A KR 20120063494 A KR20120063494 A KR 20120063494A
Authority
KR
South Korea
Prior art keywords
processing
carrier
mdc
layer
disk
Prior art date
Application number
KR1020127007584A
Other languages
English (en)
Inventor
아지트 파란지페
토드 아서 루제
로저 피. 프렘겐
나라시만 스리니바산
카트리나 룩
보리스 엘. 드러즈
아드리안 셀라루
Original Assignee
비코 인스트루먼츠 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 비코 인스트루먼츠 인코포레이티드 filed Critical 비코 인스트루먼츠 인코포레이티드
Publication of KR20120063494A publication Critical patent/KR20120063494A/ko

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/84Processes or apparatus specially adapted for manufacturing record carriers
    • G11B5/855Coating only part of a support with a magnetic layer
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/62Record carriers characterised by the selection of the material
    • G11B5/64Record carriers characterised by the selection of the material comprising only the magnetic material without bonding agent
    • G11B5/65Record carriers characterised by the selection of the material comprising only the magnetic material without bonding agent characterised by its composition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • C23C14/505Substrate holders for rotation of the substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67709Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using magnetic elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67712Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrate being handled substantially vertically
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67718Changing orientation of the substrate, e.g. from a horizontal position to a vertical position
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S428/00Stock material or miscellaneous articles
    • Y10S428/90Magnetic feature

Abstract

하드 디스크 드라이브에 사용하기 위한 하드 디스크 상에 자성 기록 층을 패터화하기 위한 인라인 프로세싱 시스템. 디스크는 MDC라 불리는 라운드 플레이트 형상 홀더(plate-like holder)의, 수직 방향에서 동시에 양쪽에 프로세스된다. 다수 (10개 정도)의 디스크는 MDC의 다이얼 캐리어에서 홀딩되고, 하나의 프로세스 스테이션에서 또 다른 프로세스 스테이션으로 이동된다. MDC의 다이얼 캐리어는 하나 또는 다수의 프로세스 소스들이 디스크들을 동시에 처리할 수 있도록 각각 프로세스 스테이션에서, 법선으로부터 70°까지 회전 및/또는 각도를 제공한다. 이러한 구성은 시간 절약 및 요구된 프로세스 소스의 수와 크기의 감소를 제공한다. 자성 매체의 패턴화를 위한 마스크 개선 프로세스, 및 충진과 평탄화 프로세스는 그것과 함께 사용되고, 또한 기술된다.

Description

자성 기록 매체 상에 패턴을 제조하기 위한 시스템{SYSTEM FOR FABRICATING A PATTERN ON MAGNETIC RECORDING MEDIA}
본 발명은 자성 기록 물질이 증착되고 불연속적 자성 도메인들 안으로 패턴화된 특별한 경성(rigid) 및 하드 디스크 매체에서, 자성 기록 매체의 제조에 관한 것이다. 보다 상세하게는, 본 발명은 패턴화된 매체의 상기 제조를 위한 단일 통합된 프로세싱 툴(tool) 내에 몇몇의 프로세싱 단계들의 통합에 관한 것이다.
종래의 하드 디스크 메모리 저장은 개별적 도메인(domain)들, 또는 비트(bit)들 안으로 그것의 금속 그레인(grain)들의 작은 클러스터(cluster)들의 자성을 배열함으로써 필름 위에 정보를 저장하는, 연속 자성 필름 ("연속 매체"라고도 알려짐)을 사용한다. 이 "연속 매체" 기술은 메모리 밀도에 대한 물리적 제한을 가지고 있고, 도메인들이 서로 더 작아지게 된 이후로, 그들의 영향은 서로 증가하고, 수용불가한 수준의 자발적 스위칭이 심지어 작은 열 영향들, "초-상자성(super-paramagnetism)"으로 불리는 물리적 효과 하에서 발생한다.
현대 전자공학들은 데이터 저장을 위한 절대 부피(absolute volume) 요구 사항들이 계속해서 증가하는 반면에, 데이터 저장을 위한 장치에 할당된 공간은 동일하게 유지되거나, 더 작게 된다. 고체-상태 메모리(Solid-state memory)는, 매우 조밀한 반면에, 여전히 자성 메모리보다, 비트당, 거의 수백배 더 비싸다.
수년 동안, 데이터 저장 요구 사항들의 계속적인 증가는 "면적 밀도" - 유닛 하드 디스크 면적당 자성 도메인들의 수의 꾸준한 증가를 이끌었다. 이것은 사용된 상기 자성 필름들의 평균 그레인 크기들의 상당한 감소를 요구했고, 상기 초상자성 한계를 극복하기 위해 더 높은 자성 이방성(anistropy) 및 보자력(coercivity)을 가진 물질들을 사용하였다. 이러한 보자력의 증가는 상기 비트를 입력(write)하기 위해 더 높은 전계 강도들을 요구하며, 그것의 한계들을 위해 상기 박막 필름 헤드(head)에서 입력기(writer)의 용량(capability)을 한계에 이르게 한다. 결국, 종래 헤드들로는 성공적으로 입력될 수 있는 상기 매체의 최대 보자력에 한계가 있어서, 대안들은 추구되어야 한다.
수직 기록 매체를 위한 하나의 대안적인 방법으로, 연속적으로 상기 입력기 매체 인터페이스에 가까운 더 부드러운 물질로부터 상기 매체의 보자력을 등급화하여, 상기 인터페이스에서 멀수록 실질적으로 더 높은 보자력을 등급화하는 것이 제안되었다. 그러한 설계들은 지금 도입되고 있고, 700 Gb/in2 - 800 Gb/in2의 면적 밀도의 달성을 허용할 것으로 기대된다.
연구되고 있는 또 다른 접근방법은 열적으로-보조된, 또는 마이크로파-지원된 기록을 사용하는 것이다. 이 기술은 상기 입력 프로세스 동안에 상기 매체의 상기 보자력을 일시적으로 감소시키기 위해 국부 가열(localized heating)을 사용한다. 이것은 상기 입력 프로세스로 동기화된(synchronized) 열 또는 마이크로파 펄스로 달성된다. 열적으로 보조된 기록의 다양한 형태들은 지금 R&D 실험실들에서 계속 연구되고 있고, 2012년까지 상업적으로 이용 가능한 제품들에 도입될 수 있다.
상기 초-상자성의 문제뿐만 아니라, 면적 밀도에서의 증가들은 판독 헤드(read head)에 신호-대-잡음비(signal-to-noise ratio; S/R)를 저하시키는 크로스 트랙 간섭(cross track interference)으로 이어진다. 이것은 상기 트랙들 사이에서 상기 매체의 결과이고, 달성될 수 있는 상기 면적 밀도를 제한한다. 새로운 판독 헤드 설계들은 이 크로스 트랙 간섭을 최소화한 신규 차폐(shielding)를 포함하지만, 그러나 이러한 접근의 궁극적 확장성을 제한하면서, 계속해서 낮은 부상(flying) 높이를 요구한다.
평방 인치당 약 8000억 비트(800 Gb/in2)를 넘는 면적 밀도를 증가시키기 위해, 상기 기록 층의 상기 자성 도메인들이 더 이상 연속적이지 않을 수 있지만, 물리적으로 불연속 도메인들 안으로 패턴화되어야만 한다. 이것은 그들 사이에서 자성 매체 없이 연속적인 트랙들 안으로 상기 필름을 패턴닝함으로써 부분적으로 달성될 수 있고, 따라서 인접한 기록 트랙들 사이에서 상기 자성 결합을 크게 감소시킨다. 대안은 상기 자성 그레인들이 물리적으로 단절시키는 것보다 상기 자성적이도록 상기 트랙들 사이에서 상기 영역들의 자성을 없애는 것이다. 이것은 두 규모(dimension) 중 하나에서 상기 도메인들을 효과적으로 분리(de-couple)하고, 판독/입력 헤드 기술에 대하여 작은 또는 전혀 없는 변화를 요구하고, 상기 면적 밀도가 평방 인치당 약 1조 비트(1 Tb/in2)로 증가되도록 허용한다. 그것 이상으로, 면적 밀도의 더 큰 증가는 상기 트랙들 자체가 절단되고 불연속 도메인들, 또는 비트들로 패턴화되도록 요구한다. 심지어 더 작은 자성 도메인이 제조될 때, 이것은 더 높은 보자력 물질들의 사용을 허용하고 따라서 신호 강도를 향상시킬 것이다. 그것은 면적 밀도가 약 6 Tb/in2의 물리적 한계로 증가되도록 더 허용할 것이다. 따라서, 패턴화된 매체의 상기 스테이지형(staged) 도입은 2009년 및 2014년 사이에 자성 저장 밀도의 30-40% 화합물 연간 성장을 허용할 것으로 예상된다.
불연속 트랙들을 생성하기 위한 매체의 패터화는, 결과적으로 불연속적 비트들을 생성하기 위한 것이고, 여러 새로운 프로세스 단계들을 상기 하드 디스크들의 제조에 도입한다. 새로운 프로세싱 시퀀스(sequence)들은, 시작에서 끝이 완전히 새로운 기술들을 포함하는 것이 연구되고 있지만, 가장 유망한 기술들은 새로운 프로세스 단계들을 기존 생산 라인에 간단히 삽입하는 것이다.
이러한 단순한 경우들에서, 상기 연속 자성 필름은 포토레지스트와 같은, 마스킹 물질로 코팅된다. 그리고 나서 패턴화된 스탬프는 상기 마스킹 물질 안으로 트랙들 또는 비트 도메인들의 나노스케일의 패턴을 임프린트(imprint)하기 위해 사용된다. 상기 포토레지스트를 적용하고 상기 포터레지스트 안으로 상기 패턴을 임프린트 하기 위한 나노-임프린트 기술을 사용하는 생산 시스템은 이미 Molecular Imprints, Obducat, 및 EV Group과 같은 회사에 의해 판매되었다.
그리고 나서 상기 임프린트된 마스크 패턴은 상기 자성 필름 아래에 전사될 필요가 있다. 이것은 반응성 이온 식각(reactive ion etching; RIE), 이온 빔 임플란테이션(ion beam implantation), 이온 빔 식각(ion beam etching; IBE) 및 반응성 이온 빔 식각(reactive ion beam etching; RIBE)과 같은, 모두 또는 일련의 삭감(subtractive) 및/또는 자성적으로 파괴적인 프로세스들의 전부 또는 일부에 의하여 행해질 수 있다. 상기 패턴 전사 기술은 상기 디스크 표면을 평탄하지 않은 채로 남겨두면, 상기 비편평도(unevenness)가 충진되고 매끄러워져서, 그 사용 동안 그것 위에서 수 나노미터 부양하는 상기 판독 헤드에 수용불가한 진동을 전하지 않게 된다. 그러므로, 상기 디스크는 상기 패턴을 충진하고 매끄럽게 평편화할 필요가 있을 수 있다. 일단 매끄럽게 된다면, 상기 디스크는 다이아몬드-유사 탄소(diamond-like carbon), 및 박형 윤활제(lubricant) 필름과 같은 보호용 오버코트(overcoat)로 마무리된다.
기존 하드 디스크 제조 라인을 연속적인 것에서 패턴화된 매체로 전환하는 것들을 위한 도전은 기술적이고 경제적이다. 비용이 너무 높거나 바닥 공간 요구 사항 또한 너무 크면, 패턴화된 매체는 단지 선택적으로 채택되거나, 또는 전혀 채택되지 않을 것이다. 현재 지표들은 모든 상기 패터닝 단계들을 추가하기 위한 증대하는 디스크당 비용(cost/disk)은 효과적인 비용을 위한 이 접근 방법을 위해 디스크당 1달러 미만이어야 한다는 것이다. 새로운 툴링(tooling)은 마스크 층을 적용하고, 패턴들을 임프린트하고, 상기 자성 매체로 상기 패턴을 전사하고, 상기 마스킹 층을 제거하고, 상기 도메인들 사이의 갭을 채우고, 매끄럽게 하거나, 필요에 따라 그것들을 “평편화(planarize)” 하도록 요구될 것이다. 상기 새로운 툴링은 디스크당 비용을 추가할 것이지만, 비트당 비용을 추가하여서는 안된다. 종래의 프로세스들이 업그레이드되고 있는 경우에, 이 새로운 프로세싱 장비는 여분의 방이 거의 없을 수 있는 공장들에 적합해야 하기 때문에, 그것은 소형이어야 한다. 이러한 도전(challenge)들에 부합하는 것은 패턴화된 매체의 채택을 위해, 그리고 확장에 의해, 전자공학 산업의 지속적인 성장을 위해 필수적일 것이다.
현재 작동하는 하드 디스크 제조라인들의 생산 흐름과 경쟁할 수 있고, 불연속 특랙 및 비트 패턴화된 매체 모두의 높은 생산량을 얻을 수 있는, 가능한 작은 공간에서, 가능한 많은 새로운 프로세스 단계를 하나의 플랫폼(flatform) 상에 통합(intergrate)시키는 경제적으로 효율적인 프로세싱 해결책이 필요하다.
패턴화된 매체를 만드는 데 특별한 사용을 위한 어떤 종래 기술 시스템이 존재하지 않을지라도, 종래 연속 매체 프로세싱 요구 사항들을 달성하기 위한 산업-허용된 수단들을 이용한 프로세싱 시스템이 있다. 프로세싱 시스템 구조와 같은 일 실시예는 도 1에 나타난다. 도 1에 도시된 바와 같이, 상기 시스템은 기판 이송 시스템(2), 및 프로세싱 유닛(6)을 형성하는 프로세스 모듈들(4)의 선형 시리즈를 포함한다. 상기 기판 이송 시스템(2)은 프로세싱 및 언로딩 스테이션(16)을 위한 기판들(14)의 카세트들(12)을 수용하는 로딩 스테이션(10)의 전단부(8)를 포함하며, 거기서 프로세스된 기판들(14)의 카세트들은 언로딩된다. 프로세싱 시스템의 또 다른 실시예는 도 2에 도시되며, 상기 시스템의 상기 선형 경로의 방향을 변화시키기 위해 사용되는 회전 모듈들(18)을 가진다. 이 실시예에 있어서, 상기 방향은 그들이 로딩된 동일한 영역으로 프로세스된 웨이퍼들을 되돌려 주기 위해 4회 변화된다. 도 3은 두 디스크들(14)을 가지는 일반적인 디스크 캐리어(12)를 나타낸다.
종래 하드 드라이브 디스크 제조에서 사용된 상기 선형 프로세싱 시스템들은 일반적으로 “인라인(inline)” 시스템들로 구성되며, 디스크들이 각각의 프로세싱 위치를 통과하여 이동하는 것처럼 동시에 상기 디스크의 양측들에서 발생하는 프로세싱으로 수직 방향에 있는 동안 운반된다. 현재 사용하는 기술의 실시예는 미국 등록특허 제 5,215,420 호 및 제 5,425,611 호에 개시되고, 예를 들어, MDP-250 및 200 Lean 시스템들과 같은, 산타 클라라, 캘리포니아의 Intevac, Inc. 에 의해 판매된 시스템들에서 구체화된다.
기간이 만료된 여러 특허는 인라인 진공 프로세싱 시스템 기술의 일반적 특징을 보여준다.
1966년에, S.S. Charschan 등은 Western Electric Company, Inc. 에서 출원된 미국 등록특허 제 3,294,670 호를 부여받았다. 집적 회로(integrated circuit)들에 대한 박형 기판들의 일-측(one-sided) 수직 프로세싱을 위한 입구 및 출구 로드 잠금(loadlock)을 가지는 인라인 진공 코팅 시스템을 기술했다. 상기 시스템은 연결된 진공 프로세싱 챔버들 및 한 챔버에서 다음 챔버로의 시퀀스로 기판 홀더들을 이송하기 위한 인터커넥팅 트랙을 포함한다. 이 특허 명세서의 도 12는 상기 입구 및 출구 로드 잠금들이 서로 인접하여 위치되도록 허용하는 U-형 경로를 형성하면서, 챔버들의 배열 상태는 그 자체에 대해 정반대의 방향으로 나아간 실시예를 보여준다. 그리고 나서, 1963년 10월에 출원된 이 특허가 하기를 개시했다: 연결된 프로세스 챔버들의 인라인 진공 시스템에서 기판들의 수직 프로세싱은, 대기-대-진공 잠금들, 및 회전되거나 굽어진 경로를 가지는 각각의 단부에서 종결된다(terminated).
인라인 시스템은 일반적으로 한 단부에 로딩되고, 다른 단부에서 언로딩되지만, 일부 혁신적 설계들은, 예를 들어 도 1 및 도 2에 도시된 바와 같이, 상기 로딩 영역의 부근으로 돌려지고 되돌아오는 프로세싱의 라인을 허용하는 것을 도입하여 디스크들이 거의 동일한 장소에서 로딩되고 언로딩된다. 이것은 공장 플로우 관리 및 공간 활용에 대해 장점을 가진다. 이것의 실시예들은 상기에서와 미국 등록번호 제 6,027,618 호, 제 6,228,439 B1 호, 및 제 6,251,232 B1 호에서 개시되고, 일본 후추(Fuchu)의 Anelva Corporation 에 의해 C-3040으로서 판매된 시스템들에서 구체화된다. 비슷한 목적으로, Intevac은 "200 Lean"라 불린, 미국 특허 제 6,919,001 B2 호에 개시된, 적층된 프로세스들을 가지는 인-라인 시스템을 판매하였고, 인라인 프로세스들의 두 레벨들을 적층함으로써 상기 바닥 공간 요구를 감소시키고, 상기 레벨들 사이에서 이송되기 위한 상기 디스크 캐리어들을 위한 수단들을 제공하였다.
1981에, R. B. Love 는 Advanced Coating Technology, Inc.에서 출원된 미국 등록특허 제 4,274,936 호를 부여받았다. 그것은 대규모 인라인 건축용 유리 코터(coater)를 개시했으며, 유리 기판들이 대기-대-진공 잠금들을 가진 각 단부에서 종결된 분리된 프로세스 챔버들을 게이트-밸브의 인라인 시리즈를 통하여 상기 수직 방향에서 이송되었다. 그것은 대칭적 프로세싱의 사용을 개시한다 - 스퍼터 캐소드의 양측은 동시에 유리의 두 장의 유리를 코팅하기 위해 사용되었다.
1985에, Boys 및 Graves는 Varian Associates, Inc. 에서 출원된 미국 등록특허 제 4,500,407 호를 부여받았다. 그것은 인라인 프로세싱 시스템을 개시했다. 상기 발명의 다른 중요한 특징들 중에서, 그것은 선형 경로를 따라 디스크 기판을 이동시켰고, 동시에 양측들(both sides)이 프로세스되었고, 상기 인라인 프로세싱 경로가 U-턴 및 그 자체에 폴드 백(fold back)을 만들 수 있도록 이송 방향 변화들을 제공하였다. 이 마지막 특징은 상기 로딩 및 언로딩 포트(port)들이 나란히(side-by-side) 위치되었고, 다시, 도 1 및 도 2에 도시된 바와 같이, 인라인 프로세서들을 위해 "폐쇄된-루프(closed-loop)" 구조를 설치했다.
1985에, C. B. Garrett는 Varian Associates, Inc.에서 출원된 미국 등록특허 제 4,518,078 호를 부여받았다. 1984년 7월에 출원된, 이 특허는, 인라인 진공 프로세싱 시스템에서 워크 피스(work piece) 이송을 액추에이팅(actuating)함으로써 자성적으로-결합된 드라이브들의 사용을 개시한다.
1988년에, D. R. Bloomquist 등은 Hewlett-Packard Company에서 출원된 미국 특허 제 4,790,921 호를 부여받았다. 그것은 디스크들의 양측들을 코팅하기 위한 인라인 진공 프로세싱 시스템을 개시한다. 그것은 회전 다중 디스크 캐리어를 사용했으며, 디스크들은 유도된 2차, 또는 유성식 모션(planetary motion)을 가졌다. 이러한 2차 회전이 두 개의 개시된 방법들 중 하나에서 구체화되었다: 하나는, 디스크들이 그 중심부를 관통한 스핀들(spindle)의 둘레에 감겨진 것이고, 다른 하나는 그들이 전체 웨이퍼 캐리어가 회전됨에 따라 그루브-엣지된 개구(groove-edged opening) 내에서 감긴 것이다. 그러므로, 상기 2차 유성식 모션은 상기 스핀들 및 상기 디스크의 중심 홀의 내측 엣지 사이에서, 또는 그것이 집합된 상기 디스크의 외측 및 상기 유지 그루브 사이에서, 마찰(friction)에 의해 가동되었다. 두 실시예들은 상기 마찰과 롤링(rolling) 모션 때문에 입자들의 생성의 결과가 되었다. 상기 발명은 변화하는 광선(radial)의 조성물로, 큰 포맷 다중-금속 스퍼터링 캐소드(large format multi-metal sputtering cathode)를 이용했다. 이것은 필름 조성물 균일도를 위해 상기 2차, 또는 유성식 모션을 필요하게 했다. 그것은 대기-대-진공 잠금들(atmosphere-to-vaccum locks)과 각 단부에서 종결된 프로세스 챔버들을 분리하는 게이트-밸브의 인라인 시리즈를 통한 수직 방향에서, 회전식 다중 디스크 캐리어에서 디스크들의 이-측 프로세싱(two-sided processing)을 설립했지만, 여기에서 기술되는 본 발명의 신규 독창적인 조합을 암시하지 않는다.
"틸트 및 회전" 기술은 미국 특허 제 6,238,582 B1 호에서 충분히 개시되고, 2001년에 K.E. Williams 에게 부여받았고 본 발명의 양도인인 Veeco Instruments에서 출원되었고, 본 명세서 내에 참조로서 포함된다.
상기에 논의된 상기 인-라인 시스템은 일반적으로 각각 하나 이상의 디스크들을 베어링(bearing)하는 디스크 캐리어들로 로드된다. 상기 디스크 캐리어들은 회전 또는 수직 운동 없이 상기 시스템을 통과하고, 그러므로 상기 디스크들에 영향을 미치는 프로세스들이 2 가지 중 하나의 방법에서 설계된다: 스캐닝 또는 스태틱 프로세스(static process)를 제공하기 위해. 스캐닝 프로세스는 상기 처리 소스, 일반적으로 스퍼터링 캐소드를 지나서, 상기 디스크 캐리어가 움직이거나 또는 스캔하는 것 중에서 하나이다. 이 경우에, 상기 스퍼터 캐소드들은 상기 전체 디스크 캐리어를 가로질러 균일한 프로세스를 제공하기 위해 설계될 것이다. 스태틱 프로세스에서, 상기 디스크 캐리어는 각각의 프로세스 위치에서 멈추고 각각의 디스크는 개별적 스퍼터 캐소드에 의해 처리된다. 그러한 경우에서, 각각의 프로세스 위치는 상기 디스크 캐리어 상에서 디스크들이 있는 것처럼 많은 스퍼터 캐소드들을 가지고 있을 수 있다. 상기 스퍼터 캐소드들의 실시예는 상기 선행 기술의 구조를 설명하기 위해 단지 사용되었다; 식각, 화학 기상 증착, 및 윤활제 응용과 같은 다른 공정 또한 인-라인 시스템 내로 통합되었다.
상기에서 기술된 상기 시스템들의 상기 디스크 캐리어들은, 이러한 프로세스들의 유형에 사용된 임의의 기판 홀더(holder)와 같이, 프로세스 빌드업(buildup) 및 다른 잔여유산들을 축적한다. 그러므로, 상기 디스크 캐리어들은 세정(cleaning)을 위해 종종 외부에 전환되고, 상기 세정 프로세스는 일반적으로 상기 시스템으로부터 떨어진 어딘가에서 달성된다. 이것은 상기 시스템의 전 작동(the full utility of the system)을 중단시키고, 인력 스케쥴링, 때로는 몇몇의 "다운 타임(downtime)"을 요구하고, 비용을 발생시킨다.
본 발명의 목적은 종래의 연속 매체로부터 구별한 패턴화된 매체를 식별하는 패턴 전사 단계들을 통합한 복수의 기판의 프로세싱 장치, 패턴 구조를 제조하는 마스크 개선 공정, 자성 디스크 매체의 제조공정 및 자성 스택 상(above)의 트렌치(trench)를 포함하는 자성 매체 표면의 평탄화 방법을 제공하기 위한 것이다.
본 발명의 제 1 측면은, 복수의 기판을 잡아주는 회전형 다이얼 캐리어를 포함하는 다중 디스크 캐리어; 및 각각 다중-디스크 캐리어를 수용하는 크기의 복수의 프로세싱 모듈이고, 상기 모듈 중 적어도 하나는, 상기 프로세싱 모듈 내에 위치하는 다중 디스크 캐리어 내의 복수의 기판에, 동시에 표면 처리 공정을 적용하기 위한 프로세싱 소스(source)를 포함하는 복수의 프로세싱 모듈;을 포함하는 복수의 기판의 프로세싱 장치를 제공할 수 있다.
본 발명의 일측에 따르면, 상기 회전형 다이얼 캐리어는, 적어도 3개의 상기 기판을 잡아주는 것일 수 있으나, 이에 제한되는 것은 아니다.
본 발명의 일측에 따르면, 상기 회전형 다이얼 캐리어는, 적어도 6개의 상기 기판을 잡아주는 것일 수 있으나, 이에 제한되는 것은 아니다.
본 발명의 일측에 따르면, 상기 회전형 다이얼 캐리어는, 적어도 10개의 상기 기판을 잡아주는 것일 수 있으나, 이에 제한되는 것은 아니다.
본 발명의 일측에 따르면, 복수의 상기 프로세싱 모듈은, 상기 프로세싱 모듈 내에 위치하는 다중-디스크 캐리어의 다이얼 캐리어 내의 복수의 기판에, 동시에 표면 처리 공정을 적용하기 위한 프로세싱 소스를 포함하는 것일 수 있으나, 이에 제한되는 것은 아니다.
본 발명의 일측에 따르면, 상기 프로세싱 모듈 중 하나는, 상기 프로세싱 모듈 내에 위치하는 다중-디스크 캐리어 내의 기판들보다 적은 수에, 표면 처리 공정을 적용하기 위한 프로세싱 소스를 포함하는 것일 수 있으나, 이에 제한되는 것은 아니다.
본 발명의 일측에 따르면, 상기 다이얼 캐리어는, 정형화된 방식에서(in an indexed fashion) 회전하여, 상기 다이얼 캐리어 내의 복수의 기판에, 상기 프로세싱 소스를 순차적으로 적용하는 것일 수 있으나, 이에 제한되는 것은 아니다.
본 발명의 일측에 따르면, 상기 프로세싱 모듈은, 상기 다중-디스크 캐리어 내를 통과하는 기판의 순차적인 공정을 위하여 순서대로 배열된 것일 수 있으나, 이에 제한되는 것은 아니다.
본 발명의 일측에 따르면, 상기 프로세싱 모듈은 선형 배치되어 조립된 것일 수 있으나, 이에 제한되는 것은 아니다.
본 발명의 일측에 따르면, 상기 다중-디스크 캐리어가, 트랙을 따라서 차(car)에서 이전되는, 상기 트랙을 더 포함하는 것일 수 있으나, 이에 제한되는 것은 아니다.
본 발명의 일측에 따르면, 상기 프로세싱 모듈은, 두(two) 수직 레벨에 배열되고, 다중-디스크 캐리어를 상기 두 수직 레벨 사이를 이동시키기 위한 승강 스테이션(elevator stations)을 더 포함하는 것일 수 있으나, 이에 제한되는 것은 아니다.
본 발명의 일측에 따르면, 상기 다중-디스크 캐리어는, 일반적으로 수직 방향(vertical orientation)에서 상기 기판을 잡는 것일 수 있으나, 이에 제한되는 것은 아니다.
본 발명의 일측에 따르면, 하나의 상기 프로세싱 모듈은, 상기 다이얼 캐리어를 회전시키기 위해서, 상기 다중-디스크 캐리어에 맞물릴 수 있는 엑츄에이터를 포함하는 것일 수 있으나, 이에 제한되는 것은 아니다.
본 발명의 일측에 따르면, 하나의 상기 프로세싱 모듈은, 법선 방향을 갖는 소스(source)와, 상기 법선 방향에 대한 각도로 상기 다이얼 캐리어를 기울이는 상기 다중-디스크 캐리어 MDC에 맞물릴 수 있는 엑츄에이터를 포함하는 것일 수 있으나, 이에 제한되는 것은 아니다.
본 발명의 일측에 따르면, 적어도 하나의 상기 프로세싱 모듈 내의 환경이, 로드 잠금(load lock)에 의하여 외기로부터 단절된(isolated) 것일 수 있으나, 이에 제한되는 것은 아니다.
본 발명의 일측에 따르면, 상기 프로세싱 모듈로 다중-디스크 캐리어를 운반하거나 상기 프로세싱 모듈로부터 다중-디스크 캐리어를 받기 위한 로봇식 기판 조정기(handler)를 더 포함하는 것일 수 있으나, 이에 제한되는 것은 아니다.
본 발명의 제 2 측면은, 하기를 포함하는 패턴 구조를 제조하는 마스크 개선 공정을 제공할 수 있다:
기판 상에 패턴화된 포토레지스트 물질을 증착하는 단계; 상기 포토레지스트 물질을 경화시키는 단계; 상기 패턴화된 포토레지스트 상(above)에 탄소 스페이서 층을 증착하는 단계; 원하는 패턴 구조를 제조하기 위하여 상기 기판을 동시에 식각하는 식각 공정을 이용하여 상기 탄소 스페이서 층을 제거하는 단계; 및 상기 경화된 포토레지스트의 제거 단계.
본 발명의 일측에 따르면, 상기 탄소 스페이서 층의 증착 단계는, 상기 포토레지스트 물질에 인접한 기판의 일부분보다, 상기 포토레지스트 물질 상에 상기 스페이서 층의 더 큰 축적(accumulation)을 형성하는 것일 수 있으나, 이에 제한되는 것은 아니다.
본 발명의 제 3 측면은, 하기를 포함하는 자성 디스크 매체의 제조공정을 제공할 수 있다:
자성 스택(magnetic stack) 상(above)의 매체 내에 수직으로 정의된 트렌치(trench)의 패턴을 식각하는 단계; 상기 트렌치를 완전히 채우기 위해서 기상(vapor phase) 증착 공정을 이용하여 상기 수직으로 정의된 트렌치 충진재 층을 증착하는 단계; 및 상기 트렌치를 채우기 위해서 상기 충진재 층을 평탄화하는 단계.
본 발명의 일측에 따르면, 상기 충진재 층의 증착 단계는, 물리적 기상 증착을 포함하는 것일 수 있으나, 이에 제한되는 것은 아니다.
본 발명의 일측에 따르면, 상기 충진재 층의 증착 단계는, 응축상(凝縮相, condensed phase) 증착을 포함하는 것일 수 있으나, 이에 제한되는 것은 아니다.
본 발명의 일측에 따르면, 상기 충진재 층은, 산화 알루미늄, 실리콘, 실리콘 이산화물, 실리콘 질화물, α-탄소 및 탄소 질화물로 이루어진 군에서 선택되는 물질을 포함하는 것일 수 있으나, 이에 제한되는 것은 아니다.
본 발명의 제 4 측면은, 하기를 포함하는 자성 스택 상(above)의 트렌치(trench)를 포함하는 자성 매체 표면의 평탄화 방법을 제공할 수 있다:
트렌치 및 상기 트렌치 간의 랜드부(land)를 덮는, 자성 스택 상(above)의 선택된 두께의 탄소 이중층을 증착하는 단계; 상기 트렌치 및 상기 랜드부 상(above) 표면을 형성하기 위해서, 상기 트렌치를 채우고 상기 랜드부를 덮도록, 상기 탄소층 상(above)에 실리콘 함유 충진재 층을 증착하는 단계; 상기 트렌치 내의 상기 충진재 층을 남김으로써, 상기 선택된 두께와 거의 같은 거리의 상기 탄소 이중층의 상부 표면 아래에 함입된, 상기 랜드부 상의 상기 충진재 층을 제거하기 위한 실리콘 함유 충진재 층에 대하여 고선택성 공정에서, 상기 충진재 층을 선택적으로 식각하는 단계; 및 상기 랜드부 상에 노출된 탄소를 제거하고 상기 탄소층 및 실리콘 함유 층으로 채워진 상기 트렌치를 남기기 위해서, 상기 탄소층에 대하여 고선택성 공정에서, 상기 탄소층을 선택적으로 식각하는 단계.
본 발명에 의하여, 가능한 작은 공간에서, 가능한 많은 새로운 프로세스 단계를 하나의 플랫폼(flatform) 상에 통합시키고, 현재 작동하는 하드 디스크 제조 라인들에서 생산 플로우와 호환 가능하고, 불연속 트랙 및 비트 패턴화된 매체 둘 다의 최고 용량 생산을 위해 이용될 수 있는 처리 속도를 가지며, 비용을 감소시키고, 프로세스 재현성을 향상시키는 경제적으로 효율적인 프로세싱을 할 수 있다.
본 명세서 내에 포함되고 상세한 설명의 일부를 구성하는 첨부 도면들은, 본 발명의 실시예들을 설명하고, 상기에 주어진 본 발명의 일반적인 설명 및 하기에 주어진 실시예들의 상세한 설명과 함께 본 발명의 원리들의 설명을 돕는다.
도 1 및 도 2는 종래 기술의 디스크 프로세싱 시스템들의 개략 레이아웃 도면이다.
도 3은 도 1 및 도 2의 디스크 캐리어의 일 실시예의 개략도이다.
도 4 및 도 5는 본 발명의 디스크 캐리어의 개략적인 사시도이다.
도 6은 냉각 스테이션의 개략도이다.
도 7, 도 8 및 도 9는 빔 소스 및 회전각을 증명한다.
도 10은 인터섹션 모듈을 포함하는 레이아웃 개략도이다.
도 11은 불연속 트랙 매체를 만들기 위한 시퀀스들의 실시예들의 개략적인 마이크로레벨 도면이다.
도 12는 도 11의 제 1 실시예를 실시하기 위한 선형 프로세싱 라인의 개략도이다.
도 13은 선형 프로세싱 라인의 사시도이다.
도 14는 측정된 선택비를 도시하는 데이터 차트 그래프이다.
도 15a 내지 도 15i는 하드 마스크 프로세스로 만들어지는 불연속 자성 매체의 연속 개략 단면도이다.
도 16a 내지 도 16j는 마스크 개선 시스템 프로세스로 만들어지는 불연속 자성 매체의 연속 개략 단면도이다.
도 17은 단일 트렌치 단면의 설명이다.
도 18은 측정된 선택비 및 각도를 도시하는 데이터 차트이다.
도 18a는 이온화된 PVD로 갭-필링을 설명하는 주사 전자 현미경 그래프 (SEM)의 단면도이다.
도 19는 3 레벨 선형 프로세싱 시스템의 단면도이다.
도 20은 박스 유형의 선형 프로세싱 시스템의 개략도이다.
도 21은 MDC 조립은 보이지만 임의의 프로세스 소스들 없이 두 모듈 구조에 배열된 유니버셜 프로세스 모듈의 투명한 표면들을 가진 사시도이다.
도 22는 도 15a 내지 도 15i와 유사한 듀얼 하드 마스크 패터닝 선택들의 요약서이다.
도 23은 라벨링된 것처럼 측정된 선택비를 도시하는 데이터 차트이다.
도 24 및 도 25는 도 16a 내지 도 16j와 유사한 마스크 개선 프로세스에 관한 정보를 가진 차트들이다.
도 26은 라벨링된(labeled) 것처럼 인터트랙 또는 인터비트 단절을 위한 데이터 차트의 컬렉션이다.
도 27, 도 28 및 도 29는 유니버셜 프로세스 모듈(Universal Process Module; UPM) 이동 및 멀티 디스크 캐리어의 측면들을 도시한다.
도 30은 원자층 증착을 위한 프로세스 소스를 도시한다.
도 31a, 도 31b, 및 도 31c는 도 15g에서 나타낸 것처럼 연속 개략도이다.
박막 헤드 및 IC 제조를 위한 패턴 전사 공정들은 디스크 제조로부터 매우 다양한 경제성들을 가진다. 박막 헤드 프로세싱의 경우에, 각각의 완성된 기판은 수천개의 박막 헤드로 절단될 수 있으며, 단지 하드 드라이브당 몇몇이 필요로 하다(with only several needed per hard drive). 마찬가지로, 많은 IC들은 단일 반도체 웨이퍼로부터 절단된다. 한편, 각각의 하드 드라이브는 여러 전체의 하드 디스크들을 요구한다. 직경 150 mm 내지 200 mm의 대형 기판들 상에서 수행되는 박막 헤드 프로세싱과 달리, 디스크들은 일반적으로 매우 더 작은, 직경 48 mm 내지 95 mm 까지의 범위이다. 박막 헤드 제조를 위해 프로세스된 웨이퍼의 수량에 대한 생산된 디스크들의 수의 비율은 10,000:1 일 수 있다. 또한, 상기 박막 헤드 또는 상기 IC의 경우에, 매우 작은 입자들은 수용할 수 없는 문제들의 원인이 될 수 있고, 반면에 상기 하드 디스크의 기록 매체는 다소 덜 민감하다. 이것이 의미하는 것은, 박막 헤드 기술들에서 사용된 프로세스는 기록 매체 응용을 위해 작은 이익을 가지는 영역들에서 비용이 비싸게 과-설계되었고(over-engineered), 그 결과 너무 느리고 비용이 비싸며, 그러므로 더 높은 수준의 생산성을 위해 재설계될 필요가 있다는 것을 의미한다. 이것은 박막 헤드 또는 IC 제조 설비를 위해 사용된 것들과 비교하여, 처리량, 신뢰성, 유지 능력, 및 디스크 프로세싱 툴(tool)들의 가동 시간(uptime)에 더 많은 요구를 한다.
본 발명은 하드 드라이브들을 위한 기록 디스크들의 매우 높은 출력 생산을 위해 최적화된 프로세싱 단계들의 일체(suite)를 통합하지만, 그러나, 그것은 또한, 변경되어, 다른 디바이스들의 생산을 위해 사용될 수도 있다.
본 발명의 목적은 종래의 연속 매체로부터 구별한 패턴화된 매체를 식별하는 패턴 전사 단계들을 통합한 결합가능한 프로세스 모듈들의 소형 시스템을 제공하는 것이다.
패턴화된 매체의 새로운 요구사항들을 다루기 위하여, 디스크 프로세싱 시스템들은 전사 패턴들의 프로세스들과 통합하여야만 한다. 이것은 현대 IC들의 그것들과 같이 작업하기 어려운 것처럼 집적 회로들, 또는 IC들의 제조에서, 실리콘 웨이퍼 상에서, 그리고 최소 배선 폭 (< 50 nm)에서 정교한 식각 공정들을 요구한다. 패턴화된 매체 디스크 제조에서 직면하게 된 문제들은 현저하게 다르다, 그러나; 상기 자성 층들은 식각 프로세스에 민감하고 이것은 사용될 수 있는 접근방법들을 제한한다.
이전에, 상기 디스크에 적용된 유일한 패턴은 그레인들의 자성 배열(magnetic alignment)에서 있었고, 따라서 상기 표면은 증착된 필름들처럼 물리적으로 매끄러운 것으로 남았다. 상기에 언급된 상기 시스템은 연속 매체 프로세스들을 통합하기 위해 설계되었다; 그것을 지지하고 보호하는 상기 자성 기록 층 및 모든 상기 층들은 증착하였다. 지금 완전히 새로운 패턴 전사 시스템들이 하기와 같이 요구될 수 있다:
i.) 이미 상기에서 패턴화된 임프린트된 마스킹 층을 가진 디스크들을 수용하는 것,
ii.) 마스킹층 폴리머들의 선택적 제거로 다루는 것,
iii.) 상기 디스크들 상에 상기 자성 층들을 식각하는 것,
iv.) 상기 디스크로부터 상기 마스크 및 식각 부산물(byprocuct)들을 완전히 제거하는 것,
v.) 마지막 다이아몬드-유사 탄소 (iamond-like carbon; DLC)와 호환 가능한 적절하게 단단하고, 조밀한 물질로 식각된 패턴을 충진하는 것, 및
vi.) 상기 자성 물질의 임의의 상당한 양을 제거하거나, 상기 자성 트랙들 또는 불연속 도메인들의 상기 자성 성질을 손상시키는 것 없이 높은 포인트들의 선택적 제거에 의해 충진층을 매끄럽게 하는 것.
I. 다중 디스크 캐리어 컨셉( THE MDC ( MULTI DISC CARRIER ) CONCEPT )
본 발명은 선행 기술 하나-디스크 캐리어 또는 두-디스크 캐리어로서 동일한 선형 경로를 따라 “다이얼” 캐리어 주위에서 동시에 6 개, 8 개, 10 개 또는 그 이상 디스크들을 통과시킬 수 있는 회전식 라운드 다중-디스크 캐리어(multi-disc carrier; MDC)들을 구체화한다. 각각의 프로세스 스테이션에서, 상기 캐리어들은 모든 상기 디스크들이 큰 포맷 프로세싱 유닛(unit)들을 마주함으로써 양측들 상에서 균일하게 처리될 수 있도록 그들의 원형의 축 주위를 회전한다. 본 발명에서 상기 MDC의 일 실시예의 실시예는 도 4, 도 5 및 도 26 내지 도 29에 도시된다. 각각의 MDC는 대체로 동시에 상기 MDC에 모든 상기 디스크의 동적 배치(dynamic batch) 프로세싱을 허용하기 위해, 예를 들어, 15 rpm 내지 300 rpm 에서 회전될 수 있다 (도 4의 가운데 도면에서 화살표를 보라). 상기 회전은 도 28의 하부에서 나타난 것처럼 두 동심원(concentric) 샤프트(shaft)들의 중심 샤프트에 의해 구동된다.
본 발명의 일 실시예에 있어서, 단일 기판 홀더 상에 다중 기판들은 큰 포맷 프로세싱 소스에 의해 동시에 처리된다. 그러므로, 본 발명에서, 모든 기판들은 연속해서 처리된다. 다시 말하면, 16 초 프로세스는 8 기판들 위에서 16 초 후에 완료된다. 그에 반해서, 상기에 언급된 상기 시스템들의 상기 배치 프로세싱은 8 X 16 초를 요구한다.
특히, 프로세스 지속시간 감소가 가변성(variability)을 증가시킬 수 있는 것처럼, 상기에서 기술된 상기 속도 개선은 프로세스 지속시간을 감소시키려고 시도하는 것 없이 나온다. 일 실시예로서, 스퍼터링 캐소드들을 조절하는 발전 시설들은 1000 볼트 이상을 사용하는 방전(discharge)을 시작할 때 개시하는 상기 방전이 드로잉된(drawn) 전류 (I)의 변화를 감지하고, 미리 결정된 설정-포인트(set-point) 안정한 파워에 도달되도록 특성 임피던스를 기초로 그것을 즉시 조절한다. 방전 개시 및 자동화 조절 정밀도의 작은 변화들은 일반적으로 0.5 초 이상의 에러를 도입한다 - 4 초 프로세스의 경우에서, 전체 프로세스 시간의 12.5% 이지만, 상기에 기술된 바와 같이 16 초 프로세스의 경우에 훨씬 적다. 또한, 임의의 플라즈마 프로세스의 상기 개시 동안에, 기체 가열 및 팽창은 상기 프로세스 부피 내에 압력 경사도들 및 가스 플로우에 대한 변화들을 야기할 것이다. 이러한 변화들은 상기 모듈이 잘 설계되면, 결국 1 초 또는 2 초 미만의 시간 프레임(time frame) 내에 안정화된다. 그러나, 이 기간 동안, 상기 프로세스의 특성들은 변동할 것이고 상기 프로세스 자체는 명세서 내에서 반복할 수 있는 전달을 할 수 없을지도 모른다. 가동 셔터(movable shutter)는 안정화가 상기 기판에 영향을 미치는 것 없이 일어나도록 상기 프로세스 소스 및 상기 기판 사이에서 사용될 수 있지만, 상기 셔터의 개구부(opening) 또한 안정화 기간을 도입한다. 상기 프로세스가 반응상 가스들의 사용을 포함하면, 안정하게 꾸준히 프로세싱에 도달하기 위한 일시적인 상기 프로세스는 상기 기상(gas phase)에서 상기 조성물을 안정화시키기 위해 필요한 추가된 시간 때문에 불활성 기체들을 이용한 프로세스들과 비교하여 일반적으로 더 오래 걸린다. 기판 가열 또는 냉각은 상기 전체 프로세싱 시간에 추가하는 것을 포함하거나 또는 상기 가열 또는 냉각이 매우 짧은 전체 프로세싱 시간이 유지되는 것이라면 분리된 챔버에서 수행될 것을 요구한다. 마지막으로, 정밀 식각 및 증착 공정들을 위해, 서브-단계(sub-step)의 시퀀스는 상기 전체 프로세스 및 오버헤드 시간(over-head time)을 증가시키는 바람직한 목표를 달성하기 위해 종종 필요하다.
이러한 안정화 시간 요구는 다른 챔버 설계들 및 프로세싱 레시피(recipe)들에 대해 다르지만, 이러한 유형 (즉, 스퍼터, 식각, 화학 기상 증착, IBE, RIBE, 등)의 모든 프로세스들에 존재한다. 이것은 단일-기판 프로세서들에서 가장 현저하지만, 임의의 시스템에서 처리량(thorughput)을 제한하는 중요한 요소이다. 상기 프로세싱 시간은 항상 그것에 추가된 고정된 안정화 시간을 가지고 있어야 하고, 이것은 프로세싱 시간이 단축된 것과 같이 비례해서 크게 된다. 16 초가 소요되고, 1 초의 안정화 시간을 가지는 프로세스는 상기 프로세싱 시간이 4 초로 단축되면 변형에서 4X 증가를 겪을 것이다. 예를 들어, 10 nm 필름 증착 프로세스에서, 하나의 기판은 증착된 물질의 9 nm을 얻을 수 있고 그 다음은 11 nm을 얻을 수 있다. 이러한 변형은 상기 웨이퍼 위에서, 일반적으로 ±5%, 일률 기준(uniformity standard)에 의해 혼합된다.
본 발명의 일 실시예에 있어서, 상기 전체 MDC는 그것이 단일 대형 기판인 것처럼 프로세스된다. 따라서, 6개 내지 10개 기판들이 한번에 프로세스되고, 상기 프로세스를 완료하기 위해 요구된 시간은 상기에 언급된 상기 변형들의 중요성을 감소시키기 위해 길어질 수 있다. 예를 들어, 8개 기판들을 잡은 MDC가 16 초만에 프로세스되면, 그리고 나서 상기에 언급된 상기 에러들의 영향은 ±1 nm에서 ±0.25 nm 까지, 4분의 1 까지 감소될 수 있다.
추가적인 장점으로서, 상기 처리량 또한 개선할 수 있다. 예를 들어, 8개 기판들이 16 초만에 프로세싱을 끝내고, 그리고 나서 다음 프로세싱 단계로 4 초 후에 함께 이송한다. 상기 이송 작동에서, 압력은 두 프로세스 모듈들 사이에서 같아지고, 게이트 밸브가 열리고, 상기 기판 캐리어는 한 공정 모듈에서 또 다른 공정 모듈로 이동된다. 이러한 작동들은 상기 관련된 프로세스 모듈들에서 수행되는 임의의 프로세스들을 방해하여, 따라서 이송 작동들은 두 모듈들에서 상기 프로세스가 완료된 후에만 단지 시작된다. 1개 기판을 잡던지 8개 기판들을 잡던지, 하나의 기판 캐리어를 이송하는 데 필요한 시간은 고정되어 있지만, 기판당 이송 시간은 단일 기판 캐리어의 경우보다 8 배 더 크다(The amount of time required to transfer a substrate carrier, whether it holds one or eight substrates, is fixed, but the per substrate transfer time is eight times greater in the case of the single substrate carrier). 이것은 2.5 초 (8로 나눠진 16 + 4)의 기판당 단계 소요 시간으로 이어진다. 그와 대조적으로, 상술한 바와 같이, 4 배 시스템에서 상기 프로세스에서의 변수(variation)는, 그것을 3 배 이상으로 더 느리게 만드는, 8 초 (1로 나눠진 4 + 4)의 기판당 단계 소요 시간을 가진다.
상기 MDC는 상기 기판들을 잡아주는 다이얼 캐리어(dial carrier)를 포함할 수 있고, 상기 다이얼 캐리어는 상기 MDC 내에서 회전 가능할지도 모른다. 세정 프로세싱이 필수적이기 때문에, 상기 MDC 다이얼 캐리어는 그것의 주변부(periphery) 주위의 초-청정(ultra-clean) 진공-호환성 베어링(bearing)에 의해 지지될 수 있다. 초-청정, 진공-호환성 베어링들은 다양한 반도체 응용들에 이용될 수 있기 때문에 개발되었다. 이것들의 일부는 최소 입자 생성을 위한 세라믹들 및 진공-호환성 플라스틱들의 조합을 사용한다. 상기 베어링은 모든 접촉 면적이 상기 프로세스 환경으로부터 완전히 차폐되도록 상기 MDC의 상기 다이얼 캐리어의 림(rim) 내에 매복된다(buried). 자성적으로 부양된(levitated) 베어링 또한 사용될 수 있다. 그러한 베어링들은 일반적으로 상기 베어링의 활성 조절 및 안정성을 위한 영구적 및 전자석들의 조합을 요구한다. 처음에 억지끼워 맞춤(interference fit)을 가지고 마찰의 낮은 계수로 만들어진 밀봉(seal)은, 마모가능한(abradable) 물질이 사용될 수 있고, 상기 밀봉을 수행한 후, 그것은 결국 상기 MDC의 상기 회전 부분의 미세한 갭으로 비-접촉 밀봉되며, 그렇게 함으로써 상기 베어링에서 생성된 임의의 입자들의 상기 프로세스 공간으로 배출하는 것을 방지한다.
상기 MDC 다이얼 캐리어의 스피닝(spinning)은 베벨 기어 드라이브(bevel gear drive), 캡스턴 드라이브(capstan drive), 또는 더 적절하게는 상기 다이얼 캐리어의 엣지에 위치된 자성적으로-연결된 드라이브와 같은 다양한 드라이브들에 의해 구동될 수 있다. 그 대신에, 상기 다이얼 캐리어는 페리스 휠(Ferris wheel)과 유사한 그것의 중심 허브(hub)를 통하여 지지될 수 있고 그리고 나서 상기 중심 허브 또는 상기 에지 드라이브를 통하여 구동될 수 있다. 자성적으로 연결된 드라이브의 경우에, 영구 자석들은 자성 회전 샤프트와 자성적으로 맞물린 상기 다이얼 캐리어의 상기 외주(outer periphery)에 내장될 수 있다.
상기 다이얼 캐리어의 회전 속도가 상기 프로세스 성능에 대해 중요하지 않은 상황에서, 상기 회전 드라이브는 원하는 상부 회전 속도에 도달하기까지 그것을 회전하기 위해 상기 다이얼 캐리어와 단지 간헐적으로 맞물릴 수 있다. 일반적으로 10 초 - 60 초의 범위에서의 프로세스 시간으로, 회전 관성(rotation inertia)은 적절한 속도에서 상기 다이얼 캐리어 스피닝을 유지할 수 있다. 이러한 경우에, 상기 회전 드라이브는 상기 프로세스 모듈 내에서 또는 인접한 프로세스 스테이션들 사이의 영역에서 공동-위치(co-located)될 수 있다.
100 kHz - 40 MHz의 범위의 용량 결합 무선-주파수 파워(capacitively coupled radio-frequency power)는 상기 자성 베어링에 의해 형성된 박형 갭을 가로지르는 파워를 인가함으로써, 상기 MDC를 통해, 상기 디스크에 일반적으로 인가될 수 있고, 따라서 그와 같은 응용이 유용할 때 상기 디스크 표면에서 "바이어스 전압(bias voltage)" 생성을 가능하게 한다.
연속적 또는 펄스용 DC 바이어스가 요구된 확실한 프로세스들을 위해, 상기 바이어스는 롤링 접촉을 통해 인가될 수 있다. 상기 다이얼 캐리어는 상기 기판들을 회전시키지 않는 경우에, 상기 접촉은 수축할 수 있는 주변부 접촉(retractable periphery contact) 또는 상기 MDC의 상기 표면으로 베이어닛 유형 접촉(bayonet type contact)에 의해 만들어질 수 있다.
상기 MDC 상의 바이어스 또한 상기 MDC부근에서 2차 전극(secondary electrode)으로 저주파 (100 kHz - 400 kHz) RF 파워를 인가함으로써 유도될 수 있다. 스퍼터 식각 모듈을 위해, 이러한 2차 전극은 상대 전극(counter electrode) 또는 3극관(triode) 식각 시스템의 2차 전극일 수 있었다. 스퍼터링 시스템을 위해, 상기 RF 파워는 상기 MDC와 마주하는 타겟 조립체들에 인가될 것이다. 두 경우들에서, 상기 저주파 RF는 최초 플라즈마를 견디도록 요구된 상기 최초 RF 또는 DC 파워 상에서 중첩되어야(superimposed) 할 것이다. 주어진 RF 파워를 위해, 유도된 바이어스는 일반적으로 직접적으로 인가된 바이어스보다 하락하지만 장점은 그것이 상기 MDC로 전기적 접촉을 완전히 회피한다는 것이고, 따라서 상기 MDC가 회전하고 있을 때 조차 효과적일 것이다. 바이어스를 인가하기 위한 가장 좋은 방법은 응용 및 챔버 배열 의존이다.
상기 MDC 다이얼 캐리어 또한 정확하게 인덱스된(indexed) 위치들을 통하여 단계적으로 회전될 수 있고, 각각의 디스크는 예를 들어, 냉각 또는 가열과 같은, 정적 개별적 처리가 선호되는 경우들에서 개별적으로 처리된다. 상기 MDC 디스크 캐리어는 0.5° 이내로 포지티브(positive)의 위치가 온도 전이 패널들 (아래에 iv. 섹션에서 기술됨)을 가진 배열을 위해 획득될 수 있도록 인덱스된다.
상기 MDC들은 선형 레일들 또는 트랙들 상에서 타는 MDC 카트(cart)들 상에 상기 시스템을 통하여 이전된다. 상기 프로세스 스테이션들 사이에서 상기 선형 모션은 벨트들, 컨베이어들, 푸시-로드(push-rod)들, 기타 등등과 같은 종래의 기계적인 수단을 통하여 또는 적절하게는 선형 모터와 같은 자성적으로 연결된 드라이브에 의해 달성될 수 있다.
각각의 프로세스 스테이션에서, 하나 이상의 드라이브들이 상기 MDC의 상기 회전 또는 인덱스된 단계적인 모션을 제공하기 위해 상기 MDC 카트와 맞물린다. 게다가, 상기 드라이브들 또한 각각의 상기 프로세스 스테이션의 소스들과 관련하여 0° - 70°의 범위에서 상기 캐리어의 상기 면을 틸트(tilt)시키기 위해 수직 축에 대하여 상기 캐리어를 회전시키는데 사용될 수 있다. 이러한 틸트는 도 28의 상단에서 드러난다. 상기 틸트는 또한 상기 프로세스 스테이션 내에 수직 축에 대하여 상기 레일을 회전시킴으로써 달성될 수 있다. 많은 다른 방법들이 연속 가변 틸트를 위한 회전 드라이브를 포함하는 상기 MDC를 틸트시키기 위해 사용될 수 있다. 고정된, 미리-정의된(pre-defined) 틸트, 캠(cam)은 그것이 상기 프로세스 스테이션 내의 위치 안으로 선형으로 이동된 것처럼 상기 카트와 맞물리고, 유사한 방법이 상기 카트가 상기 프로세스 스테이션을 남긴 것처럼 0 각도로 상기 틸트를 돌려주기 위해 사용된다. 도 28의 상부에 나타낸 것처럼, 두 동심원 형태 샤프트들의 외부 샤프트는 단부에 롤러와 팔로워 암(follower arm)을 가진다. 상기 롤러는 프로파일된 레일에서 그루브와 일치한다. 상기 카트가 선형으로 이동됐던 것처럼, 상기 롤러에 압력을 인가하여, 상기 샤프트의 센터라인에서 상기 그루브까지의 거리를 변화시켰다. 이것은 차례로 상기 MDC의 상기 틸트를 변화시키는 상기 외부 샤프트를 회전시킨다. 상기 챔버에 상기 고정된 틸트가 조절되는 것이라면, 상기 캠 프로파일된 레일을 맞물리는 상기 팔로워 암의 각도는 상기 틸트 축 (도시된 것처럼, 상기 수직 축)과 관련하여 조절될 수 있다. 그렇지 않으면, 상기 캠 프로파일된 레일은 상기 축 방향에서 작동될 수 있다. 후자는 조정가능한 틸트가 요구되면 바람직할지도 모른다. 이전 명세서가 수직에 관해 언급할지라도, 상기 시스템은 상기 캐리어가 상기 동등한 틸트를 달성하기 위해 상응하는 축에 대하여 회전될 수 있도록, 다른 방향들에서 배열될 수 있는 것 또한 고려된다.
상기 다중-디스크 “배치(batch)” 프로세싱은 디스크당 적어도 2회 및 약 4회 정도까지, 이송 단계들의 수를 감소시키는 상기 MDC들에 의해 가능했고, 정기적으로 세정되어야만 하는 디스크당 상기 디스크 캐리어들의 수를 감소시키고, 전체 프로세싱 처리량 (시간당 디스크들), 바닥 면적의 평방 피트당 처리량, 및 중요한 장비 비용의 달러 당 처리량을 전체적으로 증가시켰다.
II . 유니버셜 프로세스 모듈들( UNIVERSAL PROCESS MODULES )
VAT, Inc., 및 MDC Corporation 에 의해 판매된 것들과 같은, 직사각형 진공 게이트 밸브들에 의해 서로로부터 분리되는 ˝유니버셜 프로세스 모듈”이 본 발명에 포함된다. 그러한 벨브들은 상기 진공 프로세싱 산업에서 일반적이고 이러한 시스템들의 설계 또는 사용에 관련된 누군가에게 익숙하다. 상기 유니버셜 프로세스 모듈들은, 마스킹 폴리머들, 자성 층들, 및 갭 충진 층들을 물리적으로 및 화학적으로 식각하기 위한 반응성 가스들의 혼합물들과 함께 작동하거나 또는 그러한 혼합물들 없이 작동하는(with and or without mixtures of reactive gases) 매우 큰 이온 빔 소스들을 포함하면서, 상기 디스크들을 처리함으로써 폭넓은 범위의 프로세스 기술들을 받아들일 수 있다. 그들은 또한 상기 디스크들을 프로세스하기 위해 요구된 것처럼 매우 큰 마그네트론들, 유도 결합 플라즈마(inductively-coupled plasma; ICP) 소스들, 이온 임플란테이션 소스들, 화학 기상 증착(chemical vapor deposition; CVD) 소스들, 원자층 증착 (atomic layer deposition; ALD), 플라즈마 강화 화학 기상 증착(plasma enhanced chemical vapor deposition; PECVD), 액적 화학 증착(misted chemical deposition; MCD), 원격 플라즈마 소스들, 및 캐소딕 아크 소스들을 마운트할 수 있다.
교대로, 상기 유니버셜 모듈들은 그들이 상기 MDC상을 지나서 회전된 것처럼 반복적인 시퀀스에서 상기 디스크들을 처리하는 유사한 더 작은 프로세스 소스의 배열들이 탑재될 수 있다. 그것의 마운팅 플랜지(mounting flange)들의 적응성 때문에, 상기 유니버셜 모듈은 또한 상기 디스크들 위에서 증착 물질들 및 물질 전구체(precursor)들을 위해 응축에 의지하는 다양한 미스팅(misting), 기상, 및 에어로졸 기술들을 포함한, 대기 압력 프로세스들을 수용하기 위해 형성될 수 있다. 이것들은 습윤제(wetting agent)들, 윤활제(lubricant)들, 스핀 온 글래스(spin on glass), 스핀 온 폴리머릭(spin on polymeric) 물질들, 및 다양한 대기 또는 서브-대기(sub-atmospheric) CVD 전구체들을 포함한다. 한 가지 옵션은 상기 기판 표면 상에 과산화 수소의 축합 및 실란과 같은 가스들을 포함하는 실리콘과의 후속 반응을 포함하는 Flowfill™ 프로세스이다. 또 다른 가능한 접근법은 상기 표면 상에 물질들과 같은 실라놀(silnol)을 응축하는 것이고, 다음에 트리-메틸 알루미늄, 알루미늄 수소화물과 같은 중합화제(polymerizing agent)들을 그것들과 반응시킨다. 이러한 물질들은 트렌치(trench)를 위해 적절한 다양한 이산화 실리콘 글래스 층들을 형성하고, 패턴화된 매체 상에 갭을 충진한다. 다른 서브-대기 프로세스들은 무수 HF/알코올 혼합물들을 사용하는 기상 식각, 및 CO2 스노우 또는 크라이어졸(cryosols)과 같은 초임계 유체(supercritical fluid)들을 사용하는 기상 세정을 포함하는 매체 제조에 유용하다. CO2 스노우 및 휘발성 액체들의 미스트들 또한 상기 디스크들과 물리적으로 접촉하는 것 없이 상기 디스크들을 냉각시키기 위하여 상기 디스크를 향하여 디렉트될 수 있다.
기계적 리세스(machined recess)들은 각각의 유니버셜 프로세스 모듈에서 상기 트랙을 둘러싼다. 상기 기계적 리세스들은 가짜로 강하된 기판이 모든 이동 부분들의 경로(way)에서 완전히 떨어지게 허용하도록 설계된다(The machined recesses are designed to allow a spuriously dropped substrate to fall completely out of the way of all moving parts). 개구부(opening)가 상기 챔버의 베이스로부터 모든 잔해(debris)를 빠르고 쉽게 제거하기 위해 상기 챔버에 제공될 수 있고, 축적되도록 허용되면, 상기 이전 시스템을 손상시키고 입자들의 소스가 될 수 있다. 컷-빔(cut-beam) 센서와 같은, 센서는, 각각의 프로세싱 및/또는 이송 단계 후에 놓친 기판들을 위해 상기 MDC를 체크한다.
상기 유니버셜 모듈들은 상기에 기술된 바와 같이, 선형 모션, MDC 회전 및 틸트를 위한 메커니즘들을 포함한다. 선형 모션을 위한 상기 레일 또는 트랙이 상기 유니버셜 프로세스 모듈들의 양측 상의 상기 진공 게이트 밸브에서 중단되어야만 하기 때문에, 준비(provision)는 한 모듈에 있는 레일 또는 트랙에서 다음 모듈의 상기 레일 또는 트랙으로 원활하게 이송하기 위한 상기 MDC 카트를 위해 만들어진다. 하나의 방법은 그것의 전단부가 중력의 그것의 센터가 상기 이전의 모듈에서 상기 트랙의 엣지를 통과하기 전에 다음 모듈에서 상기 트랙과 맞물리도록 충분히 오랫동안 있기 위한 상기 카트를 위한 것이다. 인접 모듈들에서 상기 레일들 사이의 우수한 배열은 적절한 작동을 돕는다. 보통 장거리들 위에 레일들 또는 구조들의 정렬에 사용된 광학적 및 기계적 기술들은 정렬이 용이하도록 상기 챔버들 안에서 사용되거나 조립될 수 있다.
정상 작동 동안에, 모든 상기 스테이션들은 카트들에 의해 차지될 것이다. 그러므로 간섭 없이 하나의 모듈에서 그 다음으로 상기 카트들의 이동을 동기(synchronous) 및 예정된 모션 제어 시스템이 요구된다. 내장된 제어기들을 가진 선형 모션 시스템들은 Magnemotion 및 Bosch Rexroth와 같은 회사들로부터 이용가능한다. 상기 모션은 동기 또는 비동기(asynchronous)일 수 있다. 비동기의 예는 상기 프로세스 모듈의 상기 카트들의 업스트림(upstream) 그곳에 남아있는 동안에, 프로세스 모듈의 상기 카트들 다운스트림(downstream)은 하나의 스테이션에 의해 인덱스된다. 이것은 세정 또는 컨디셔닝(conditioning) 단계가 상기 챔버에 카트가 존재하는 것 없이 프로세스 모듈에서 수행되게 한다.
상기 프로세스 소스들뿐만 아니라, 상기 프로세스 모듈들은 필요한 프로세스 가스 전달 시스템, 건조 및 고진공 펌프들 (예를 들어, 터보-분자 펌프(turbo-molecular pump)들, 크라이오닉 펌프(cryogenic pump)들, 워터 펌프들, 및 기타 등등)의 조합일 수 있는 진공 펌핑 시스템, 압력 게이징, 챔버 벽 온도 제어, 상기 프로세스 환경으로부터 챔버 표면들을 보호하기 위한 차폐(shielding), 및 전자/소프트웨어 제어시스템을 갖추고 있다. 각각의 유니버셜 모듈의 특정 설계 특징들은 상기 모듈 내에서 수행되는 상기 프로세스들에 의존한다.
III . 압력/대기 전이( PRESSURE / ATMOSPHERE TRANSITION )
상기 유니버셜 모듈은 또한 프로세스들 사이에서 압력 및 대기 전이를 제공하기 위해 설정될 수 있다. 예를 들어, 그것은 진공에서 MDC를 받아들일 수 있고 대기 압력 질소와 같은 고압력 환경, 또는 반대의 환경으로 그것을 전이할 수 있다. 넓게 압력을 전이하기 위한 이러한 능력은 본 발명이 상기 시스템을 단일 자동화된 통과 동안에 상기 동일한 디스크들에서 진공 프로세스들 및 대기 프로세스들을 수행하도록 허용한다. 이러한 능력은 예를 들면, 패턴 전사 식각을 가지는 디스크들을 처리하기 위해 이용될 수 있고, 그리고 나서 상기 디스크들 위에 물질의 응축을 축적하기 위해 더 높은 압력을 요구한 미스트, 기체, 또는 에어로졸 기술을 이용한 충진층으로 코팅될 필요가 있다. 대기 및 진공 프로세스들 사이에서 상기 전이는 프로세스 스테이션들 사이에 위치된 단일 챔버 내에서, 또는 상이한 진공 레벨에서 작동하는 각각의 챔버를 가진 챔버들의 시퀀스에 의해 달성될 수 있다. 챔버들의 수 및 각각의 챔버 안에 있는 상기 진공 레벨들은 처리량, 청정도 및 인트라-스테이션 크로스 오염 요구사항들에 의해 결정된다.
IV . 온도 전이 모듈들( TEMPERATURE TRANSITION MODULES )
상기 유니버셜 모듈은 또한 온도 전이들을 가지는 상기 디스크들을 제공하기 위해 설정될 수 있다. 본 발명의 일 실시예에 있어서, 복사, 전도 (하기에 기술될 것처럼, 높은 전도성 가스의 작은 양을 통해) 및 대류 냉각 또는 가열을 용이하게 하기 위한 상기 디스크들의 밀접한 접근에 유입될 수 있는 열전 세라믹(thermo-electric ceramic; TEC) 패널들이 제공될 수 있다. 상기 TEC 패널 배열은 도 5에서 도시된다. 이러한 열전 패널들은 상기 디스크들의 형상과 유사하고, 상기 MDC의 각각의 디스크가 온도 전이 동안 그것의 양쪽에 열전 패널 세트를 근접하게 하도록 그들의 홀더 상에 배열될 수 있다. 따라서 형성된 두-측 냉각(two-sided cooling) 프로세스는 20 초 미만 내에 100℃ 에서 0℃ 이하까지로 디스크를 냉각시킬 수 있거나, 비슷한 시간 내에 비슷한 온도 범위 이상에서 상기 디스크들을 가열시킬 수 있다. 이러한 전이는 유니버셜 모듈 상에서 수용될 수 있고 또한 전이들은 1 압력 및 대기에서 또 다른 것까지 상기 디스크들을 전이할 수 있다. 예를 들어, 진공에서 패턴 전사 식각을 바로 완성한 디스크들은 다음 프로세스를 위한 최적의 온도보다 더 뜨거울 수 있으며, 그것은 질소 환경의 대기 압력에서 수행할 필요가 있을 수 있다.
상기 TEC 패널들 및 디스크들 사이의 열 전달률들을 달성하기 위하여, 상기 TEC 헤드 및 상기 디스크 사이의 좁은 갭은 1 Torr 및 50 Torr 사이의 압력에서 헬륨과 같은 높은 열 전도성 가스로 충진된다. 상기 TEC 상에 더 낮은 표면 온도는 또한 냉각-다운 속도를 증가시킬 것이지만, 그것은 또한 약 -20℃가 마스킹 층들로서 사용된 상기 많은 폴리머 물질들에 대한 저온 한계라는 것 또한 고려되어야 한다. 마찬가지로, 상기 디스크가 프로세싱 이전에 일정한 온도로 가열되어야 할 때, 상기 디스크 온도는 오늘날 대부분의 진보된 매체를 위한 175℃ - 200℃의 상한 온도 한계를 초과하여서는 안된다.
냉각은 공동(cavity)의 압력이 충분히 높고 대류 열 전이가 상기 디스크 냉각 프로세스에서 평가할 수 있는 역할을 하면 이러한 공동으로 냉각된 가스를 주입함으로써 더 가속될 수 있다.
냉각된 가스와 대체가능한 것은 증발의 높은 잠열을 가진 비점이 낮은 유체의 미스트가 상기 MDC의 표면에 스프레이된 증발 냉각의 사용이다. 여러 냉각제들 (프루오로-불활성들)이 적절하지만, 이것들 중 대부분이 비싸고, 적합한 스크러빙(scrubbing)없이 상기 대기로 분출될 수 없기 때문에, 재활용(reclaim), 필터 및 상기 냉각제를 재-사용하는 폐쇄된 루프 시스템이 일반적으로 필요하다. 번 박스(burn box)에서 쉽게 약화될 수 있는 이소프로필 알콜과 같은 높은 기화 잠열을 가지는 값이 더 저렴한 휘발성 유체들 또한 사용될 수 있다. 상기 냉각을 위한 CO2 스노우의 사용은 이전에 논의된 것처럼 또 다른 옵션이다.
상기 TEC 냉각 플레이트 실시예 대신에, MDC 냉각은 또한 난류(turbulent) 가스 흐름을 가진 접촉에 의해 달성될 수 있다. 회전을 위한 그것의 고유 능력을 가진, 상기 MDC는 적절한 가스 압력의 존재 중에 유도 항력 볼텍스 난류(drag induced vortex turbulence)를 생성할 것이다. 이러한 조건들은 5 mm 내지 10 mm 거리에서, 스피닝 MDC의 양측에 인접한 평행한 냉각판들을 가진 저-체적 챔버를 사용함으로써 충족된다. 적절한 가스는 최초 열 캐리어로서 사용되고, 상기 스피닝 MDC를 포함하는 상기 부피가 조건 기압 범위의 10 Torr, 또는 더 큰 압력에 있을 때까지, 빠른 팽창 및 냉각을 허용하기 위해 상기 MDC의 스피닝 축 근처의 압력 하에서 유도된다. 각각의 평행 평판이, 그것의 MDC를-마주한 면측에서, 상기 가스, 상기 스피닝 MDC, 및 상기 플레이트 사이의 드래그를 증가시키도록 설계된 가공된 그루브들 및 줄무늬 패턴을 옮겨서, 층류(laminar flow)를 해체하고, 난류 흐름을 유도하고, 효율적인 열전이를 용이하게 한다.
본 발명의 이 후자의 실시예는 상기 디스크들 및 상기 열 교환 플레이트들 사이의 매우 작은 허용오차 갭들에 대한 필요성 없이 온도 전이를 달성하기 위해 상기 MDC를 이용한다. 이것은 비용을 감소시키고, 프로세스 재현성을 향상시키는 중요한 장점이다.
격렬한 냉각을 위해, 높은 벌크 가스 속도가 중요한 역할을 한, 열 전이는 정체되거나 낮은 벌크 가스 속도를 포함하는 종래의 경우에서보다 더 빠를 수 있다. 헬륨은, 일반적으로 웨이퍼들 사이의 열 전이에서 사용되고, 페데스탈(pedestal)들을 냉각 또는 가열시키고, NASA TN D2677 (1965)에서 M. R. Vanco에 의해 기술된 것처럼, 비싼 불활성 가스(noble gas)로 희석될 수 있다. 실제로, 난류 조건들 하에서, 이러한 이원 혼합물들은 크세논(Xenon) 및 헬륨의 20:80 몰 비의 경우에, 순수 헬륨의 40% 정도만큼을 능가할 수 있다. 상기 He 일부는 약 20:60:20의 Xe:He:H2의 최종 몰비를 위해, 가연성 없이, 25% 정도 만큼, H2로 더 희석할 수 있다. 이러한 방법으로, 상기 열 전이 가스 혼합물은 중요한 비용 절감을 제공하는 동안 순수헬륨을 능가할 수 있다.
마찬가지로, 과-냉각된 가스들은 상기 기판들의 더 빠른 냉각을 제공하기 위해 도입할 수 있다.
상기에서 언급된 본 발명의 두 실시예들에서, 그러한 캐리어 가스 또는 가스 혼합물들은 상기 평행 냉각 플레이트들에 인접한 하나 이상의 작은 챔버들의 높은 압력에서 되찾아지고, 재충진되고, 재-저장될 수 있다. 과-냉각된 가스들의 경우에, 그들은 또한 재-냉각될 수 있다. 기판 냉각 사이클의 초기에서, 더 높은 압력으로부터 상기 냉각 스테이션을 분리시키는 밸브들은 상기 플레이트들 및 상기 스피닝 MDC를 포함하는 상기 볼륨(volume) 안으로 빠르게 확장되도록 상기 캐리어 가스를 허용하면서, 열려진다. 그러한 빠른 확장은 그것을 냉각하면서, 상기 캐리어 가스의 에너지를 감소시킨다.
상기 평행 냉각 플레이트들은 주위 공기 냉각을 용이하게 하기 위해 그들의 외측 면들 안으로 만들어진 방열 베인(heat dissipation vane)들을 가지는, 상기 챔버 측벽들로부터 형성된다. 교대로, 그들을 물과 같은, 냉각된 액체 냉각제(liquid coolant)를 순환시킴으로써 활발히 냉각될 수 있다.
도 6과 관련하여, 하기와 같은 작동 시퀀스 예에서 이러한 초기 조건이 속한다.
● 모든 5 밸브들이 닫힌다.
● "냉각 스테이션"은 작동 전이 기반 압력, 10-6 Torr 및 10-5 Torr 사이에 있고, 10 리터(예를 들어)의 부피를 가진다.
● "진공 버퍼"는 1 Torr의 압력에서 캐리어 가스를 포함하고, 100 리터(예를 들어)의 부피를 가진다.
● "가압된 가스" 용기(vessel)는 100 Torr 및 10,000 Torr 사이의 압력에서 캐리어 가스를 포함하고, 1 리터의 부피를 가진다.
● "터보" 펌프는 2 Torr의 포어라인(foreline) 압력으로, 전체 RPM에서 스피닝한다.
● 건조 "펌프/컴프레서(Compressor)"는 2 Torr 주입 및 그것의 뒤 및 밸브 #4 사이에서 최고 10,000 Torr까지 공전한다.
작동들의 시퀀스:
1. MDC는 냉각 스테이션을 입력하고, 양쪽 게이트 밸브들이 닫히는 동안 위로 회전한다. 밸브 #5를 설정 포인트(set point)로 캐리어 가스 엔트리 및 압력 상승을 허용하기 위해 오픈한다.
2. MDC는 캐리어 가스가 상기 압력 용기로부터 상기 냉각 스테이션 안으로 빨리 팽창한 것처럼 30 RPM 이상으로 회전한다.
3. 상기 냉각 스테이션에서 캐리어 가스 압력은 10 Torr 및 50 Torr 사이의 압력 설정 포인트에 도달하도록 밸브 #5를 닫는다.
4. 상기 MDC는 온도 설정 포인트 값 또는 설정 시간이 지날 때까지 냉각하면서 상기 캐리어 가스에서 회전시킨다.
5. 동시에 밸브 #3 및 밸브 #4 를 열고, 상기 가압된 가스 용기를 상기 진공 버퍼, 상기 터보를 통한 페드(fed)에서 상기 캐리어 가스를 이용하여 재충진하기 시작한다.
6. 상기 냉각 프로세스가 완료될 때, 온도 측정 또는 고정된 시간 설정 포인트들에 의해 결정된 것처럼, 상기 컨덕턴스(conductance)에 따라서, 약 3초 이내에 약 1 Torr - 2 Torr까지 냉각 스테이션 가스 압력이 떨어지도록 밸브 #3을 닫고, 밸브 #1을 오픈한다.
7. 밸브 #1을 닫고 밸브 #2를 오픈한다. 상기 냉각 스테이션은 10-6 Torr 및 10-5 Torr 사이의 작동 전이 기반 압력으로 펌핑된다.
8. 밸브 #2를 닫고, 밸브 #3을 오픈하고, 상기 MDC가 냉각 스테이션에서 전이되어 나가고, 다음 MDC가 전이되어 들어올 때 상기 캐리어 가스의 순환은 완료되고, 상기 게이트 밸브들을 닫는다.
피라니(pirani)-유형 게이지들은 상기 진공 버퍼 용기, 상기 터보 포어라인 압력, 및 가압된 가스 용기를 모니터링한다. 각각의 사이클에서, 캐리어 가스 소량이 손실된다; 이것은 상기 진공 버퍼 또는 가압된 가스 용기에서 주기적 보충에 의해 보상된다. 예를 들면, 가압된 가스 용기가 상기 컴프레서를 통하여 가스의 재활용을 경유하여 주어진 설정 포인트에 접근하는데 실패하면, 이것은 가압된 가스의 용기와 연결되는, 적절한 압력으로 체크 밸브 설정을 통해 “탑핑 오프(topping off)” 작동될 수 있다.
도 6의 개략적 도면에서, 상기 냉각 스테이션 및 상기 진공 버퍼 용기 사이의 연결은 얇은 라인이지만, 실제 커넥터는 3 초 미만에서 상기 2 챔버들 사이의 압력을 동일하게 하는데 필요한 상기 가스 흐름을 제공하기 위해 충분한 단면적을 가질 것이다. 예를 들면, 이러한 커넥터는 전기적으로 크게 동등하게 크거나 기압식으로 작동되는 게이트 밸브인 밸브 #1로, 30-mm 직경 튜빙(tubing) 또는 심지어 100-mm 직경 튜빙으로부터 형성될 수 있다. 비슷한 실제 규모들은 밸브 #2 및 밸브 #3으로 연결된 커넥션에 적용된다.
V. 프로세스 틸팅( PROCESS TILTING )
이온 빔 입사각(Ion beam angle-of-incidence)은 “스퍼터” 제거를 위해 이온 빔 식각(ion beam etching; IBE) 및 반응 이온 빔 식각(reactive ion beam etching; RIBE) 프로세스에 중요한 영향을 가지며, 그것은 지금 설명될 것이다.
표면이 입사 이온에 의해 맞을 때, 그 이온의 에너지는 일부 또는 전체 손실이 있다. 상기 손실 에너지의 일부는 진동으로서 흡수되고, 열이 되지만, 그것의 한 부분은 배출되는 원자들에 이동되거나, 또는 상기 표면으로부터, ˝분산된다˝. 임팩팅 이온(impacting ion)들로 분산된 표면 원자들의 비율은 “수율”로 불리고, 일반적으로 상기 임팩팅 이온 및 상기 표면 사이에 형성된 상기 각도의 강한 함수(function)이다. ˝법선(normal)˝ 입사에서, 상기 이온이 상기 표면에 대해 90 도에서 공격할 때, 상기 수율은 1의 값을 가지고 있을 수 있지만, 법선 입사각의 60도를 벗어나는 이 수는 1.8만큼 높을 수 있다. 동일한 에너지 이온에 대한 수율에서 80% 증가는, IBE 사용자에게 분명한 중요성을 가진다. 반응 이온 빔 식각(RIBE)의 경우에서, 상기 입사각의 중요성 또한 크다; 상기 이온 빔에서 표면 원자들 및 화학적 종류들 사이의 반응률이 동시에 일어나고 있는 상기 스퍼터링에 강하게 연결된다.
식각 응용들에서, 표면은 두 개의 적층된 조성물들의 패턴을 가질 수 있다; 하나는 제거되기 위한 것이고, 하나는 남기 위한 것이다. 이런 경우에 “선택비(selectivity)”가 요구된다. IBE 프로세스는 그것이 다른 것에서보다 더 적극적으로 상기 표면의 한 조성물에서 작용하면 선택비를 가진다. 그것은 일부 물질들이 다른 물질들보다 매우 높은 스퍼터 수율을 가지고, 또한 일부 물질들이 그들의 표면 변화들에서 상기 이온 빔의 입사각처럼 수율에서 더 강한 변화를 가지고 있다는 것은 본 발명에서 실시된 당업자들에게 잘 알려진다. 한 조성물에 대한 “높은 선택비”는 그것이 아마 100의 인자에 의해, 다른 것보다 훨씬 더 빨리 제거될 것이라는 것을 의미한다. 예를 들면, 특히 입사각의 기능으로서, 마스킹 층으로서 사용된 포토레지스트 및 하드 디스크 상에 저장 층으로서 사용된 Co 합금들 사이의 큰 선택비 차이가 있다. 이러한 선택비는 또한 트렌치 충진 층들을 평편화시킴에 있어서 이롭게 하기 위해 사용될 수 있는 특성이다; 따라서, 가파른 각도에서 상기 식각률은 두 배가 될 수 있어서, 상기 이온 빔은 표면 기능 저하보다 더 빨리 높은지점들을 제거하며, 이로써 필름 프로파일을 평편화시킨다. 각도들의 조합은 바람직한 선택비, 식각된 프로파일, 최종적 표면 거칠기를 달성하고, 재-증착의 문제를 감소시키기 위해서 이온 빔 식각에서 사용될 수 있다.
자성 저장 디스크와 같은, 라운드 기판 상에 임의의 포인트에서, 기록 트랙 또는 불연속적 비트와 같은, 구조가 존재할 수 있으며, 그것은 상기 표면의 외부에 올려진 구조다. 이러한 구조가 IBE 프로세스를 사용하여 생성되며, 특히 그것이 입사각 선택적 IBE 또는 RIBE 프로세스를 통하여 그 주위로부터 물질을 제거함으로써 만들고, 그리고 나서 여러 중요한 이슈들이 다뤄져야만 한다. 첫째로, 이러한 구조(그리고 그것의 측벽들) 상에서 균일하게 작용하는 입사각 영향들을 갖기 위하여, 상기 원하는 입사각을 보존하는 동안에 그것의 상부(top) 및 측면들을 가진 접촉으로 상기 입사 이온을 가져옴으로써, 상기 이온 빔은 상기 구조의 상기 보통 상태의 주위를 어떻게든 회전하여야 한다. 이 첫번째 이슈는 상기 이온 빔에서 상기 기판을 틸트시키고 회전시킴으로써 다루지만, 그것은 두번째 문제를 일으킨다: 상기 이온 빔의 밀도 및 에너지는 그것이 상기 구조의 모든 측면들에 작용한 것처럼 등방성(isotropic)이어야 한다. 상기 틸트된 기판이 다른 것보다 더 상기 이온 소스로부터 한쪽 측면을 항상 가지고 있는 것처럼, 임의의 빔 스티어링 (그리드들에 대한 상기 표면 법선과 관련하여 광선의 평균 각도) 및 발산(divergence) (상기 스티어링 각에 대한 광선의 각 확산)이 상기 구조의 측벽들의 이방성 처리로 이어질 수 있다. 완전히 발산한 빔에서, 상기 표면을 타격하는 상기 이온들의 밀도는 대략 상기 이온 소스로부터의 거리의 제곱에 비례하여 떨어져 나갈 것이다. 이것은, 예를 들면, 상기 구조의 측벽들의 평탄하지 않은 형성으로서 나타날 수 있고, "임계 크기(critical dimension)들" 또는 CD의 받아들일 수 없는 시프팅(shifting)의 결과가 될 수 있다. 이것은 또한 특히 인-보드(in-board) 측면 상에서 결과적 측벽이 상기 구조의 아웃-보드(out-board) 측면 상에서 보다 더 가파르거나 더 수직인 상기 기판의 엣지에 위치한 구조들에 대한 비대칭의 프로파일로 이어질 수 있다. 큰 각도 확산들은 또한 상기 트렌치의 상기 하부에서 상기 빔 밀도가 상기 트렌치의 측벽들에 의해 시준되지 않은 빔의 섀도잉(shadowing) 때문에 상기 트렌치의 상단 표면 상에서 상기 빔 밀도보다 현저하게 낮아지기 때문에 좁은 고종횡비 트렌치를 식각하는 것을 어렵게 할 수 있다. 이것은 다양한 비율들에서 식각하는 다양한 폭의 트렌치의 결과를 초래한다. 게다가, 상기 트렌치의 하부(bottom)가 평평하지 않을지도 모른다. 패턴화된 디스크들은 특히 상기 디스크의 서보(servo) 영역에서 다양한 종횡비의 크기들, 형상들 및 구조들을 가지고 있을 것으로 예상하고, 따라서 우수한 측벽 각도 조절을 가지는 동일한 비율에서 모든 구조들을 식각하기 위한 능력은 중요하다.
입사각 선택비가 요구되었을 때, 이 두번째 이슈는 임의의 IBE 또는 RIBE 프로세스를 불연속적 트랙들 또는 비트 패턴들과 같은 3-D 구조들을 정의하는 것에 대해 부적당하게 할 것이다. 상기 이온 빔이 가상적으로 “시준된(collimated)”는 경우에, 그러므로 임의의 중요한 발산을 제거함으로써, 그러한 프로세스 작업을 할 것이다. 전형적인 시스템은 미국 특허 제 6,759,807 호에서 나타나고, 원래 개발되었고, 박막 헤드들을 만드는 생산에 유입시키고, 여기에 참조로서 구체화된다. 본 발명에 적용된, 틸트된 회전 IBE 및 RIBE 기술은 대형 기판 또는 기판 캐리어 상에 전체 포인트들에서 등방성 오프-법선(off-normal) 프로세스를 전달할 수 있다.
상기에 언급되고 본 발명에서 인용된 것과 같은, 진보된 이온 빔 그리드 기술은 심지어 상기 이온 빔 소스로부터의 거리들, 또는 "스로우 길이(throw length)들"의 범위에서, 대형 기판 위에서 균일한 시준된 빔을 제공한다.
그러므로, 라운드 기판은, 그것이 상기 시준된 이온 빔 내에 완전히 위치하도록 위치한다: i. ) 상기 이온 소스의 상기 축과 관련된 각도 θ에 의해 틸트된 축을 가질 수 있고, ii.) 특히 이온 충격(ion bombardment)의 동일한 밀도, 상기 이온 소스를 향한 상기 표면 상에 모든 포인트들에서 수신될 수 있고, iii.) 그것의 틸트된 축에 대하여 회전되고 그것의 표면 상에 각각의 포인트는 법선 θ 및 방위 각도Φ로부터 고정된 각도에서 상기 이온 빔을 수신할 것이다. 이 활용은 종래의 선행 기술 사용으로부터 현저하게 다르다.
상기 본 발명의 일 실시예 있어서, 균일하고 매우 시준된 빔을 가지는 큰 직경 이온 소스를 이용함으로써, 큰 회전 기판 또는 기판 홀더가, 중요한 프로세스 이방성이 도입되는 것 없이 틸트될 수 있다 (도 7). 기판의 일 측면을 처리하는 것은 유일하고 신규한 방법일지라도, 이 신규 특징은 또한 양측들이 도 9에 도시된 것과 같이, 동시에, 상기 동일한 입사각 프로세싱을 수용하도록, 상기 회전 기판 또는 기판 홀더의 상기 다른 측에 이온 빔 소스를 위치시킴으로써, 본 발명에서 양측 프로세싱으로 확장된다.
도 8에서 보여진 것처럼, 상기 다른 이온 빔은 상기 회전 기판 또는 기판 홀더에서 이온 빔 밀도를 표시하기 위해 쉐이딩(shading)을 사용하여, 비교를 위해 표현된다. 상기 이온 빔은 그것이 도 8에 있는 것보다 도 7에서 상기 기판에 덜 밀집한다. 이러한 도면들은 이러한 다양한 소스들의 이온 밀도들을 정확하게 그리지 않지만 대략적인 원리를 도시하는 것으로 의미된다.
도 9에서, 대향하는 대면적 시준된 이온 빔들의 사용은 본 발명의 일 실시예에 따라서 두-측 프로세싱을 설명하기 위해 도시된다.
물리 기상 증착(physical vapor deposition; PVD)을 위해, 틸팅은 패턴화된 표면들에 증착된 상기 필름들의 표면 프로파일의 테일러링(tailoring)을 허용한다. 상기 PVD 소스와 관련하여 상기 기판을 틸팅하는 것은 상기 타켓이 상기 기판 표면과 같이, 상기 PVD 소스에 대하여 수직이기 때문에, 상기 트렌치의 하부에 증착을 증가시키는 상기 기판 표면과 평행하는 배열 동안 상기 트렌치 구조의 상부 코너 상에서 물질의 우선적 증착을 결과한다. 스텝 커버리지(step coverage) 위의 이러한 제어는 하기에 기술된 마스크 개선 프로세스를 위해 유용하다.
원자층 증착 또는 응축상 증착(condensed phase deposition)은 상기 MDC에서 또한 수행될 수 있다. 이러한 프로세스의 유형을 위한 통상의 프로세스 소스는 도 30에 도시된다. 각각의 프로세스 소스는 원으로 배열된 샤워헤드(showerhead) 형상의 다수의 웨지(wedge) 형태로 구성된다. 상기 MDC의 양측에 있는 두 프로세스 소스들은 외부 표면이 상기 MDC의 고정 차폐로 밀봉 (또는 거의 밀봉)되도록 상기 MDC의 가까이에 가져온다. ALD을 위해, Al2O3 트리메틸 알루미늄(trimethyl aluminum; TMA) 및 물은 상기 TMA 및 물 존(zone)들 사이에 주입된 비활성 가스로 교대 샤워헤드 존들을 통하여 주입된다. 게다가, 비활성적 가스는 상기 프로세스 소스의 중심과 상기 MDC의 주변을 통하여 주입된다. 상기 TMA 및 H2O은 상기 비활성적 퍼지(purge)가 상기 TMA 및 상기 H2O 존들 모두를 통하여 펌핑되는 동안 상응하는 포트(pot)들에 부착된 전용 진공 펌프들을 통하여 펌핑된다. 이러한 양상으로, 상기 TMA 및 H2O은 상기 디스크 표면 상에 화학적으로 흡착된 상기 반응물들을 제외한 서로로부터 물리적으로 단절된다(isolated). 램프 조립(미도시)이 상기 MDC에서 상기 디스크들을 원하는 온도로 가열시킨다. 하나 이상의 램프 조립은 상기 비활성적 퍼지 존들에 위치된다.
VI . MDC 틸팅( MDC TILTING )
틸트된 소스들의 마운팅 이상의 프로세스 입사각 장점들의 추가적 확장에서, 각각의 유니버셜 모듈은 프로세싱 동안 이동(travel)의 선형 경로로부터의 각각 방향으로 최고 약 70 도까지 상기 MDC를 틸트시키기 위한 능력을 가진다. 이러한 능력은 상기에 기재된 바와 같이, 식각을 위한, 그리고 선택적으로 상기 트렌치 및 갭 충진 층을 매끄럽게 하고 평편화하기 위한 물질 선택비를 향상시킴에 있어서 큰 장점이다. 각각의 프로세스 틸팅 또는 MDC 틸팅 또는 그들의 조합이 사용될 수 있는 것이 이해된다. MDC 틸팅은 상기에 기술된 어떤 상기 프로세싱하는 소스들과 함께 사용될 수 있다.
VII . 전이/셔틀 샤프트( TRANSFER / SHUTTLE SHAFT )
상기 기술된 본 발명의 실시예는 또한 MDC들 상에 프로세스된 디스크들이 상기 시스템의 후부에서 상기 언로딩 스테이션 전단부까지 빠르게 이송하도록 허용된 언더캐리지(undercarriage) 진공 이송 샤프트를 이용한다. 이것은 그것이 최종 공정 스테이션을 종료한 후 상기 MDC를 받아들이는 후단부 스테이션 승강기(elevator)에 의해 달성된다. 상기 이송 축은 상기 유니버셜 모듈들과 비슷한 세그멘트(segment)들로 구성되지만, 프로세싱 특징 없이 그리고 그것들 사이에서 게이트 밸브들을 위해 어떠한 필요없이 구성된다. 정상 작동에서, 상기 프로세싱 단계는 상기 시스템의 후단을 향하여 시간당 최고 140 MDC들까지 프로세스할 수 있고, 이것은 하나의 MDC가 약 25 초 이상마다 마지막 프로세싱 공정 스테이션으로부터 나타난다는 것을 의미한다. 상기 25 초 이내에, 프로세스된 디스크들로 로드된 MDC가 상기 전단부 스테이션으로 셔틀(shuttled)되고 (3 초), 윈도우는 그것의 디스크들을 언로딩되게 하고 (15 초), 상기 MDC는 상기 후단부 스테이션으로 셔틀되고 (3 초), 그 스테이션에 인접한 MDC 세정 모듈로 이송되며 (3 초), 그로 인해 프로세스 처리량 또는 시스템 가용성을 중단하는 것 없이 이 유지 보수 작업에 적합할 수 있다. 상기 이송 경로는 특정한 레이아웃에 의존하는 상기 프로세스 모듈들 아래, 위에 또는 옆에 위치될 수 있다. 또 다른 옵션은 한 방향에서 상기 상부 레벨의 프로세스 스테이션들 사이의 상기 MDC 이송하도록 상기 수직 방향에서 상기 모듈들을 적층(stacking)하기 위한 것이고, 그리고 나서 그것이 상기 첫번째 프로세스 스테이션과 같이 상기 동일한 측면 상에 마지막 프로세스 스테이션으로 나가도록 상기 역 방향에서 상기 하부 레벨의 프로세스 스테이션들 사이에서 가로지른다.
VIII . MDC 세정 및 저장( MDC CLEANING AND STORAGE )
본 발명의 여러 구상된 실시예에서, MDC 세정 모듈은 비어있는 MDC들을 수용하고, 프로세스 빌드-업(build-up) 및 그것들로부터 다른 잔유물들을 세정한다. 이것은 빌드업 및 잔유물들의 성질에 따라, 화학적 플라즈마 처리, 유체들 또는 탄산수소나트륨 분말과 같은 부드러운 연마제들로 제트 세정(jet cleaning), 스퍼터 식각 또는 이온 빔 식각과 같은 물리적 프로세스들, 또는 다른 기술들을 이용하여 달성될 수 있다. 디스크 프로세싱을 위해, 빌드업 및 이물질의 완전한 제거를 보증하기 위해 화학적 및 물리적 프로세스들의 조합을 사용하는 것이 공통적이다. 이러한 프로세스는 또한 상기 세정의 효율성 및 완전성을 향상시키기 위해 상기 MDC의 회전 및 틸팅을 포함할 수 있다. 처리량을 증가시키거나 상호적으로 호환할 수 없는 세정 프로세스들을 수행하기 위해, 하나 이상의 세정 스테이션 작동이, 일련으로 또는 병렬적으로 이루어질 수 있다. 상기 세정 스테이션에서, 소스들은 불필요한 미립자 오염의 소스가 될 수 있었던 모든 표면들의 완전한 세정을 달성하기 위해 상기 MDC에 대한 다른 위치들과 각도들에서 마운트될 수 있다.
“박스” 시스템 구조 배열에서, 상기 로딩 및 언로딩 스테이션들은 통과 스로우(pass-through), 또는 “브릿지”, 또는 MDC 세정 스테이션으로 부착된 것에 의해 분리될 수 있다. 그렇지 안흥면, 상기 세정 모듈은 아래 기술되는, 상기 시스템의 상기 후단부, 또는 상기 인터섹션(intersection) 모듈에 의해 가능한 브랜치 위치에서 떨어져 위치될 수 있다.
MDC 세정 스테이션의 일 실시예는, 실제 세정 프로세스를 축적(accumulate)하기 위한 하나의 레벨과 세정 및 세정 전 모두, MDC들의 어큐뮬레이터(accumulator)로서 역할을 하기 위한 또 다른 레벨을 가지는 두 레벨들을 가진다. 프로세스 빌드업 및 잔유물들이 상기 시스템을 통해 여러 통과(pass)들 후에 단지 수용할 수 없는 레벨들로 축적되기 때문에, 상기 MDC 세정 프로세스는 디스크 패턴 이송 프로세스만큼 빠를 필요가 없다. 예를 들면, 상기 MDC가 상기 시스템을 통해 10회 통과 후에 단지 세정을 요구하기 위해 프로세스 빌드업 및 잔유물을 충분히 축적하면, 그리고 나서 그것의 10 번째 통과 후에 그것은 후단부 스테이션으로 돌아가서 언로딩되고 셔틀되고, 상기 세정 스테이션으로 직접 이송되거나, 또는 세정을 기다리기 위한 상기 어큐물레이터로 이송될 것이다. 상호 보완적인 어큐뮬레이터는 상기 전단부 스테이션을 접합하여 위치될 수 있고, 그것에서 세정을 위한 라인으로부터 벗어난 다른 것들처럼 로딩하기 위해 준비한 깨끗한 MDC들을 저장한다. 이러한 방법으로, 본 발명은 종래 기술 시스템에 흔한 방해 없이 작동될 수 있으며, 디스크 캐리어들의 제거 및 세정을 위해 정기적으로 정지된다. 상기 디스크 캐리어들을 위한 세정 스케줄은 각각의 캐리어가 상기 시스템의 처리량에 영향을 미치는 것 없이 세정될 수 있도록 시차를 둘 수 있다.
MDC 세정 모듈 대신에, 어큐뮬레이터 모듈은 그들이 서비싱(servicing)하는 것을 요구한 것처럼 MDC들을 수집하기 위해 사용될 수 있다. 이러한 어큐뮬레이터는 캐러셀 락(carousel rack), 또는 카세트-스타일 락(cassette-style rack)으로 형성될 수 있다. 상기 두 기판 로딩 및 언로딩 스테이션들 상에 위치한 카세트 로드 잠금들이 i) 깨끗한 MDC들의 새로운 로드들 가져오기 및 ii) 세정을 요구하는 MDC들의 카세트 로드들을 보내기 위해 정기적으로 사용될 것이다.
MDC 트래킹(tracking)은, 바코드 또는 RFID와 같은 방법에 의해, MDC가 주어진 횟수들을 이용하고 세정 및/또는 서비스할 때가 됐을 때 상기 시스템에 알려줄 수 있다. MDC는, 기판들이 언로딩 되자마자, 상기 기판 로드 잠금에 인접한 그 자체의 로드 잠금의 카세트 안으로 스스로 위치될 것이다. 거의 가득 찰 때, 상기 시스템은 곧 있을 언로드 동작을 오퍼레이터에게 알린다.
상기 MDC들을 위한 카세트 로드 잠금(들)이, 언로드측 상의 다른것들과 비슷하게 존재하는 상기 기판 로딩 측 상에서, 세정된 MDC들의 가득 찬 카세트들은 상기 시스템으로 로딩된다.
그렇지 않으면, 단일 MDC 카세트 로드 잠금은 세정을 위해 MDC들을 제거함으로써, 그리고 상기 시스템으로 깨끗한 MDC들을 넣음으로써 사용될 수 있다. 이러한 경우에, 상기 언로드 스테이션 로봇은 필요에 따라 상기 로드 스테이션 로봇으로 새로운 MDC들을 이송할 것이다.
상기 패턴 전사 프로세스의 본질은 네트 제로(net zero) 근처(전체 증착량이 전체 제거량과 거의 같은) 정도이거나, 또는 순 제거가 일반적 정도일 것이라는 것이다. 그럼에도 불구하고, 상기 MDC들의 기판 홀딩 플렉셔(flexure)들 및 픽스쳐(fixture)들의 작은 영역들은 프로세스 잔유물들을 축적할 수 있거나, 섀도우잉 때문에 부식될 수 있고, 결국 서비스 받거나 대체될 필요가 있을 것이다. 각각의 경우에서, 상기 플렉셔들 및 픽스쳐들은 로봇식으로 가변성 카트리지(cartridge)의 부분일 수 있는 스크류-인(screw-in) 또는 스냅 인(snap-in) 부분들이다. 마운트된 플렉셔들 및 다른 기판 홀딩 픽스쳐들의 세트를 포함하는 카트리지는 시스템에서 로봇에 의해 정기적으로 대체될 수 있고, 따라서 외부 서비스들 사이에서 사용을 확장한다.
IX . 수직 적층( VERTICAL STACKING )
본 발명의 일 실시예는 또한 상기 프로세스 모듈들로 선택적 수직 확장들을 사용한다. 이러한 수직 확장들은 한 유니버셜 프로세싱 모듈을 또 다른 상부(top)에 적층함으로써 형성된다. 이러한 구성에서, MDC 상에 상기 디스크들은 그것이 더 낮은 유니버셜 챔버의 하부에서 마운트된 승강기에 의해 리프트될 때 상부 유니버셜 챔버에서 프로세싱을 수신할 수 있다. 이러한 적층 능력을 이용함으로써, 시스템 풋프린트가 현저하게 단축될 수 있거나, 여분 처리 능력이, 단일 고-유지 프로세스에 인접한 채로 유지되고, 지지될 수 있다(Utilizing this stacking capability, system footprint may be significantly shortened, or redundant processing capability may be kept adjacent to, and in support of, a single high-maintenance process). 추가적으로 또는 선택적으로, 두 개의 더 긴 프로세스들은 그들이 다음 모듈에서 비교적 짧은 지속 프로세스에서 선행하면 하나의 적층된 모듈에서 달성될 수 있다. 이러한 모든 이용들은 리코딩 디스크 제조업자를 위한 프로세싱 경제성들을 위해 매우 유익한 영향들을 가질 수 있다.
본 발명의 실시예에 있어서, 유니버셜 프로세스 모듈들은 또한 초기 중간 프로세싱 트랙 아래 및/또는 위에 있는, 분리된 병렬 프로세싱 트랙들로서, 마운트될 수 있다. 이것은 각각의 모듈 세그먼트의 구조 멤버들로서 상기 프로세싱 모듈들을 사용함으로써 설계에 수용된다. 마지막 스테이션 승강기들은 각각의 트랙 레벨을 위해 MDC들을 올리거나 낮춘다.
평행(parallel) 및 연속(serial) 프로세싱의 조합이 수행된 다른 구조 또한 고려될 수 있다. 그러한 구조에서, 예를 들어, 심지어 하나 이상의 상기 모듈들이 수리 또는 서비싱을 위해 오프-라인으로 이용되는 동안 상기 시스템이 작동하여 지속할 수 있도록 더 빈번하게 서비싱을 요구하는 모듈들을 위해 중복 조립될 수 있다.
프로세스 모듈들뿐만 아니라, 몇몇 모듈들은 인-라인 검사 또는 측정(metrology)을 위해 활용될 수 있다. 이러한 모듈들로부터 수집된 정보는 심각한 결함 또는 스크랩 이벤트(scrap event)들이 탐지되면 프로세싱을 중단하기 위해 사용될 수 있거나, 상기 정보가 관리 프로세스 제어 루프의 일부로서 동일한 툴 또는 다른 툴들에서 다른 프로세스 모듈들로 페드-포워드(fed-forward) 또는 페드-백(fed-back)할 수 있다.
X. 인터섹션 챔버들( INTERSECTION CHAMBERS )
본 발명의 몇몇 실시예들의 인라인 구성은 또한 프로세싱, 샘플링, MDC 세정, 또는 프로세스 측정과 같은, 다양한 이유들을 위해 사이드라인(sideline)들 안으로 MDC들을 다시 보내기 위해 적용될 수 있다. 이것에 사용된 상기 인터섹션 챔버는 그것의 수직 축에 대하여 상기 MDC의 전체 360° 회전을 허용하기에 충분히 넓고, 임의의 첫번째 부착 포인트로부터 0°, 90°, 180° 및 270°에 상응하는 4 포지션들에서 유니버설 모듈들에 부착되거나 샤프트 섹션들을 이송할 수 있다. 상기 인터섹션 모듈은 도 10에서 도시된다. 이러한 인터섹션 모듈은 임의의 들어오는(incoming) MDC의 이동의 상기 방향과 일직선으로 정렬된 트랙의 섹션을 포함하고, 그리고 나서 임의의 선택된 나가는(outgoing) 방향을 가진 상기 MDC와 정렬시키기 위해 그것의 센터에 대하여 회전시킨다. 이러한 4-측 인터섹션 모듈은, 예를 들어, 높은 유지보수 프로세스가 수행된 포지션에 위치될 수 있다. 그러한 프로세스는 그것을 전에 수행하거나 후에 하는 것보다 유지보수를 더 요구할 수 있고, 상기 전체의 시스템이 유지보수가 수행되는 동안 정지(shut down)되도록 정상적으로 요구할 것이다. 상기 인터섹션 모듈을 사용하는 것은, 동일한(identical) 높은 유지보수 프로세스가 상기 시스템의 주요 라인의 90°에서 서로 반대편에 마운트되고, 모든 다른 MDC에 대신하여 사용될 수도 있고, 또는, 다른 하나가 유지보수를 필요로 하는 때까지 재도입되는 포인트 프로세싱 지점, 즉 하나가 유지보수가 필요한 때까지, 사용되는 그들 중 하나에 사용될 수도 있다(Using the intersection module, identical high-maintenance processes may be mounted opposite each other at 90° to the main line of the system, and alternately used on every other MDC, or, only one of them used until that one required maintenance, at which point processing would be re-routed to the other until it needed maintenance, and so on). 이러한 방법으로, 상기 전체의 시스템의 생산성은 상기 불필요한 모듈이 유지보수를 위해 오프-라인으로 이용하게 되는 동안 유지될 수 있다. 그렇지 않으면, 상기 인터섹션 모듈은 다음 프로세스가 수행되기 전에 프로세스 유지보수의 결정적 체크들을 만들 수 있는 다양한 측정 스테이션들을 마운트하기 위해 사용될 수 있다. 그러한 측정 스테이션은, 예를 들어, 상기 프로세싱 라인에서 그 지점 바로 전에 증착된 절연층의 두께를 측정하기 위해 엘립소메트리(ellipsometry)를 사용할 수 있다. 또는, 그것이 중간-라인 로드 잠금의 역할을 할 수 있으며, 프로세스 엔지니어가 다른 MDC들의 플로우를 중단하는 것 없이, 실험실에서 분석을 위한 상기 프로세싱 시스템으로부터 샘플을 추출할 수 있다. 또는, 인터섹션 모듈은 본 발명의 상기 인라인 시스템이 일직선으로 배열된 구성과 다른 것으로 설계되게 하면서, 코너를 돌리기 위해 간단하게 이용될 수 있다; 예를 들어 “L” 또는 “U”, 또는 심지어 직사각형 또는 바닥 공간 제약을 수용하기 위한 “박스” 구성.
요약
본 명세서는 하드 디스크 드라이브에 사용된 하드 디스크 위의 자성 기록 층들 위로 패턴들을 이송시키기 위한 고-유연성 인라인 프로세싱 시스템을 기술한다. 상기 시스템은, 회전하는 MDC들로 명칭된 라운드 플레이트-같은 홀더들에서 다수의 디스크들을 잡음으로써, 그들이 한 프로세스 스테이션에서 다른 프로세스 스테이션으로 이송되는 것처럼, 수직 방향(orientation)에서 상기 디스크들 양측을 동시에 처리하여, 두개 정도의 작은 수의 프로세스 소스가 한번에 10 개의 65 mm 디스크들만큼 많이 처리할 수 있고, 법선 70°로부터의 각도들에서 작업할 수 있어서, 시간 절약과 요구되는 프로세스 소스들의 개수 및 사이즈 감소를 결과할 수 있다. 상기 시스템은 각각의 세그멘트로 프레임 및 3개의 모듈화된 트랙 위치들을 포함하면서, 선형 배치에서 함께 묶이는 세그멘트들로 포함한다: 중앙, 하부, 및 상부. 단부 세그먼트들 포함은 트랙들 사이에서 올리고 낮출 수 있고, 최소한 하나의 단부 세그먼트는 입구 및 출구 카세트 로드 잠금들, 로딩 및 언로딩 로봇들, 및 MDC 세정 프로세스들을 가지고 있을 수 있다. 상기 시스템은 이러한 유형의 종래 기술 시스템에서 관례적으로 겪은 다운 시간을 감소시킴으로써, MDC 디스크 캐리어들의 세정을 자동화한다. 상기 프로세스 소스는 입사각 및 거리 파라미터들을 최적화하기 위해 각각의 유니버설 모듈에서 수많은 방법으로 마운트될 수 있다. 각각의 프로세스 모듈은 추가의 각도, 또는 “틸트”가, 프로세싱 최적화를 위해 부과될 수 있도록, 회전할 수 있는 트랙 세그먼트를 포함한다.
본 발명의 상기 그리고 다른 목적들과 장점들은 이하 첨부한 도면들 및 그것의 설명으로부터 명백하게 될 것이다.
제 1 실시예
본 발명의 일 실시예에 있어서, 자성 메모리 저장 디스크들과 같은 기판들은, 상대적으로 작은 바닥 면적을 요구하는 선형 시스템을 통하여 높은 속도에서, 패턴 전사, 갭 충진, 평탄화, 및 오버코트 증착의 프로세스 단계들을 통하여, 동시에 양측 상의 수직 방향(orientation)에서 프로세스된다. 이러한 프로세싱 시퀀스는 도 11의 “1. 매체를 위한 식각”이라고 분류된 요약된 처리방법에서 도면으로 설명된다. 도 11은 또한 두 가지 다른 처리방법을 가지고 있다. 세가지 모두에 대해, 상기 도면의 좌측에 따른 분류들은 도면으로 그려진 층들에 해당된다.
“매체를 위한 식각”을 가진 지속적 선형 프로세싱 시스템의 실시예 프로세스 시퀀스를 도 12에 나타내었다.
이러한 실시예는 8개 프로세스 스테이션들의 선형 배치를 식각, 냉각, 식각, 애시(ash) (도면에 나타낸 바와 같이, 그것은 제 2 식각에 포함된다), 갭 충진, 평탄화 A, 평탄화 B, 및 오버코트로 구성된다. 식각 프로세스 스테이션 하에서 이송/셔틀 샤프트의 한 세그먼트, 및 이것들은 MDC들 상에서 완료된 디스크들을 위해 그리고 상기 MDC 세정 스테이션으로부터 셔틀되는 비어있는 MDC들을 위해 연속적으로 되돌아오는 경로를 형성하기 위해 선형으로 연결된다.
전단부 스테이션 (좌측 상에)은 로딩 및 언로딩을 위해 형성된다. 그것은 다중-카세트 진공 로드 잠금 안으로 디스크들의 카세트들을 수용하고, 진공 하에서 MDC들 위에 상기 카세트들로부터 디스크들을 로드하고, 이송/셔틀 레벨로부터 되돌아오는 MDC들을 승강하고, 카세트들 안으로 MDC들로부터 완료된 디스크들을 언로드하고, 출구 진공 로드 잠금을 통하여 상기 생산 라인으로 상기 완료된 카세트들을 통과시킨다.
후단부 스테이션 (우측 상에)은 MDC 카트 승강을 포함하고, 도 13에 도시된 바와 같이, 그것의 후단부에 MDC 세정 스테이션 및 어큐뮬레이터를 부착시켰다.
작동( Operation )
도 11 및 도 12는 도 11 및 도 12와 공통된 단계들을 갖는 도 15a 내지 도 15i, 및 도 16a 내지 도 16j에 개략적 확대에 의해 보충된다. 도 15a 내지 도 15i, 및 16a 내지 도 16j는 참조로서 하기에 인용될 것이다.
전단부( FRONT END )
본 발명의 이러한 실시예에 있어서, 프로세스될 준비가 되어 있는 디스크들로 가득찬 카세트들은 전단부 스테이션 상에 입구 로드 잠금 안으로 위치된다. 상기 전단부 스테이션은 일 측에 입구 로드 잠금을 가지고, 다른 측에 출구 로드 잠금을 가진다. 상기 기술과 익숙한 숙련자들게 친숙할 것이고, 상기 카세트 로드 잠금 및 그것의 작동을 이해할 것이다.
상기 로드된 디스크들(도 15a) 은 마스킹 물질, 예를 들어, 포토레지스트를 이미 가지고 있고, 그들의 양측들에 적용된다. 상기 마스킹 물질은 이미 종래의 리소그래피 기술들에 의해 또는 나노-임프린트에 의해 개시된 패턴을 가진다. 그리고 나서 각각의 로드 잠금의 내부 도어 밸브들은 상기 MDC 로딩/언로딩 챔버로 오픈되며, 그것은 진공으로 유지된다. 상기 카세트는 상기 입구 로드 잠금으로부터 제거되고 상기 로딩 작동을 위해 위치된다. 상기 카세트로부터의 디스크들은 진공 로봇에 의해 비어있는 MDC들 위에 로드된다. 상기 빈 카세트는 상기 언로딩 로봇에 의해 프로세스된 디스크들로 로드되고, 상기 출구 로드 잠금 안으로 위치된다. 진공으로 상기 카세트들을 이동시키는 것이 비현실적이라면, 대기 로봇은 상기 카세트에서 하나 이상의 버퍼 카세트들 또는 디스크 트레이들을 포함하는 상기 로드-잠금으로 단독으로 또는 배치(batch)로 디스크들을 이송시킬 수 있다. 상기 로드 잠금이 아래로 펌핑된 후, 상기 진공 로봇은 상기 MDC들 위에 상기 디스크들을 로드할 것이다. 이러한 방법으로, 상기 로드-잠금의 청결이 유지된다.
식각 1( ETCH 1)
디스크들로 로드되자 마자, 각각의 MDC는 제 1 프로세스 모듈로 게이트 밸브를 통하여, 상기 챔버 밖으로부터 자성적으로 운전되는 그것의 카트를 탄다. 상기 카트들은 각각의 프로세스 모듈의 층에서 자성 레일의 세그멘트들을 가로지른다. 각각의 프로세스 모듈에서, 제거가능한 차폐(shield)들은 직접적으로 프로세싱에 노출(expose)될 영역을 최소로 하면서, 상기 MDC의 상기 카트 및 엣지들을 보호하기 위해 배치된다. 상기 제 1 프로세스 모듈에서, 아르곤 및 산소 이온들을 포함하는 법선 입사 저-에너지 (150 eV 내지 400 eV) 이온 빔들은 상기 트렌치 내에 임의의 잔유 레지스트를 제거하기 위해 사용될 뿐만 아니라, 또한 “디스컴(descum)” 이라 명명했던, 패턴화된 마스킹 층을 얇게 하기 위해 사용된다 (도 15b). 이러한 단계의 지속 기간은 상기 자성 기록 층 또는 상기 패턴의 밑에 마스크를 노광함으로써, 일반적으로 10 nm 내지 30 nm의 패턴화된 마스킹 층의 얇은 톱니 모양 부분들을 완전히 제거하기에 적당하다. 이 단계에서 아르곤 및 산소의 혼합물의 사용(RIBE)은 도 14에 도시된 바와 같이, 상기 프로세스에 의해 노광된 상기 자성층에 대한 것보다, 보통 포토레지스트 혼합물인, 상기 마스킹층에 대해 수십 배의 더 높은 선택적 식각률의 결과를 가져온다. 상기 법선 입사각 이온 빔이 톱니 모양의 패턴을 가지는 표면을 타격하기 때문에, 상기 이온들은 법선 각도(90 도)에서 어디든지 타격하지 않을 것이다. 예를 들면, 그들은 그레이징 각(grazing angle)에서 상기 패턴의 상기 측벽들은 타격할 것이고, 당업자들은 상기 식각률에 대한 입사각 의존성이 있다면, 패시팅(faceting)이 발생할 것인지 안다. 많은 이온 빔 식각 프로세스들을 위해, 상기 식각률은 패시트(facet)들의 형성을 가속하는 45° - 60°의 입사각들에서 실제로 최대이다. 그러므로 이러한 프로세스 단계에 대한 상기 입사각 의존성을 최소로 하는 프로세스 조건들을 선택하는 것이 중요하다. 상기 식각률이 법선 입사각에 대해 가장 높은 프로세스 조건이고 이러한 프로세스 단계를 위해 바람직하다. 본 발명의 일 실시예에 있어서, 2:1의 O2:Ar 비율 또는 낮은빔 에너지들(< 250 V)의 사용은 높은 마스크 층 식각률이 법선 입사각에서 가장 높고 상기 기판은 상기 빔으로부터 떨어져 틸트된 것처럼 감소하는 결과를 야기한다. 이것은 우수한 패턴 전사 정확도의 결과를 야기한다. 이 단계가 완료된 것처럼, 이온 질량 분석(ion mass spectroscopy) 또는 광 방출은 상기 기상(gas-phase) 배기구들에서 Co 합금 성분들을 탐지하고, 이 단계는 자동적으로 멈춘다. 트랙들 또는 불연속 비트들을 기록하기 위해 의도된 영역을 보호하도록 커버링하는 상기 마스킹층 물질의 충분히 두꺼운 일부들은 남아 있다. N2O, NO2, NO, SO2, CO2, 기타 등등과 같은 가스들을 포함하는 다른 산소 또한 사용될 수 있다. 폴리머 물질들이 단원자 불소(atomic fluorine)에 의해 또한 식각되기 때문에, CF4, CHF3, NF3, 기타 등등과 같은 가스를 포함하는 플루오르 가스들을 포함하는 불활성 또는 산소와 결합하여 사용될 수 있다. 상기 불활성 가스 (Ar)는 상기 식각률 및 상기 레지스트 프로파일의 각도 의존을 맞추기 위해 Ne, Kr, Xe와 같은 또 다른 불활성 가스에 의해 대체될 수 있다. 이러한 단계는 또한 상기 하드 마스크층 (도 15c) 또는 상기 측벽 스페이서 (도 16e)를 제거하기 위해 사용될 수 있으며, 그것은 탄소이다. 이 단계는 최고 패턴 이송 정확도(fidelity)를 위해 0° - 10°의 기판 틸트에서 정상적으로 수행된다. 감소하는 패시팅은 수직 식각 때문에 트렌치 폭에 있는 상당한 증가의 결과가 되고, 또한 상기 마스킹 층의 베이스에 있는 풋(foot)의 형성을 유도하는 동안에 틸트 또한 크다.
상기 프로세스의 이 포인트에서, 상기 이온 소스들은 상기 패턴에서 지금 노광된, 상기 자성 층들이 남아있는 상기 마스킹 층에 대한 우수한 선택비로 식각될 수 있도록 순수한 아르곤 및 150 eV 내지 700 eV의 그들 이온 에너지로 그들의 아르곤-에서-산소 비율을 조절한다(도 15d). Ne, Kr 또는 Xe와 같은 다른 불활성 가스들은 또한 상기 선택비를 조정(modify)하기 위해 사용될 수 있다. NH3, CO, CO2, C2H4, 기타 등등과 같은 반응성 가스들은 상기 선택비를 증가시키거나 상기 식각률의 각도 의존성을 감소시키는데 사용될 수 있다. 더 낮은 에너지들은 식각률의 동반 손실로 상기 패시팅을 형성하는 정도를 감소시킨다. 매우 낮은 에너지들에서, 상기 빔은 그것의 시준(collimation)을 손실시킬 수 있고, 빔 중성화 조건들에 민감해질 수 있다. 매우 낮은 빔 에너지들에서의 극단적인 경우에서, 패시팅은 상기 빔이 상기 마스크의 표면에 쌓아 올린 전하들에 의해 방향을 바꾸게 된 것처럼 언더컷(undercut)에 의해 대체될 수 있다. 이 단계를 위해 다시 한번, 0° - 10°의 범위의 기판 틸트들은 상기 측벽의 수직 상태를 유지하는 것이 바람직하다. 이 단계는 상기 트랙들 또는 불연속적 비트들 사이에서 자성 물질의 상당량의 제거의 결과로 생기고, 그러므로 상기 패턴은 상기 자성 층으로 전사되기 시작한다(도 15e 및 도 16f). 이 단계는 또한 상기 디스크들을 현저하게 가열시키며, 따라서 그것은 상기 마스킹 층을 과열시키기를 회피하기 위해, 단지 이 모듈에서 부분적으로 완료된다. 상기 기록 층 안으로 지금 부분적으로 식각된 상기 패턴과, 회전 스핀들(rotating spindle)은 상기 MDC로부터 분리(de-couple)하고, 상기 챔버 게이트 밸브들을 열고, 상기 MDC를 다음 MDC가 상기 제 1 프로세스 모듈에 위치한 것처럼 제 2 프로세스 모듈로 이동한다. 상기 저장 층 식각은 마스크 경화 프로세스 (도 16b)에 의해 선행될 수 있으며, 상기 레지스트는 상기 하드 마스크 또는 상기 저장 층의 후속 식각 동안에 상기 레지스트 마스크의 식각 내성을 개선한 상기 레지스트를 경화하기 위해 30 도의 기판 틸트에서 짧은 시간 (3초 - 10초)동안 적당한 빔 에너지 (400 V - 700 V)로 노광된다.
상기 제 2 프로세스 모듈에서, 상기 디스크들은 냉각된다. 이 모듈에서, 상기 회전 스핀들은 약 20 초만에 100℃에서 0℃까지로 상기 디스크들을 냉각시킬 수 있고, -50℃로 상기 디스크 온도를 낮출 수 있는 상보성(complimentary) 열전 척들의 세트로 정렬된 고정 위치로 상기 MDC를 인덱스한다. 상기 열전 척들은 또한 상기 냉각 프로세스의 균일성 및 속도를 향상시키면서, 상기 디스크들로부터 떨어진 열을 더 효과적으로 이동시키기 위한 가스 플로우를 포함할 수 있다. 일단 오퍼레이터-선택된 온도에 도달되면, 상기 가스 흐름은 차단되고, 상기 냉각 척 어레이는 상기 디스크들로부터 분리하고, 상기 회전 스핀들은 상기 MDC로부터 분리하고, 상기 챔버는 프로그램화된 설정 포인트 진공 압력으로 돌아오고, 상기 게이트 밸브들은 열리고, 상기 MDC는 다음 MDC가 상기 제 2 프로세스 모듈에 위치한 것처럼 제 3 프로세스 모듈로 이동한다.
선택 가능한 냉각 방법은 급속 냉각을 위한 뜨거운 디스크 표면 위에 휘발성 액체 또는 CO2 스노우의 미스트를 블로우(blow)시키는 것이다. 각각의 디스크를 위해, 유체의 약 0.5 리터 - 1 리터(대기압에서 기상 동등 부피)는 20 초 이하 이내에 상기 디스크들을 냉각시키도록 요구된다. 상기 챔버에 연결된 러프 펌프(rough pump)는 계속해서 상기 냉각 유체를 가진 상기 디스크의 투여 동입에 상기 챔버를 배기하고, 반면에 터보분자 펌프(turbomolecular pump)는, 상기 MDC가 다음 챔버로 이동되기 전에, 고진공으로 상기 챔버를 빠르게 펌프-다운하기 위해서 투입에 이어 잔여 가스를 제거한다.
식각 2( ETCH 2)
제 3 프로세스 모듈에서, 제 1 프로세스 모듈에서와 같은 유사한 이온 빔 소스들은 상기 트랙들 또는 불연속적 비트들 사이의 상기 자성 물질의 잔여물을 제거하기 위해 다시 사용되었고, 그러므로 상기 패턴은 상기 자성 층으로 지금 완전히 전사되었다. 이것은 시간 설정된 프로세스일 수 있거나, Verity Instruments 로부터의 광학 엔드-포인트(end-point) 모니터 또는 Hiden으로부터의 SIMS 엔드-포인트 모니터와 같이 엔드-포인트 모니터는 상기 저장 층이 그것의 전체에서 제거되고 밑에있는(underlying) 시드층(seed layer)이 노출될 때 상기 식각을 정지시키는데 사용될 수 있다. 이온 빔 식각은 매우 반복할 수 있기 때문에, 엔드-포인트 모니터링은 상기 식각률을 설정하기 위해 정기적으로 사용될 수 있고, 이러한 최근에 결정된 식각률은 후속 MDC들을 위한 상기 식각 시간을 규정하기 위해 사용될 수 있다.
잔여 마스킹층들의 제거 및 상기 자성 기록 층으로 상기 패턴의 식각은 일반적으로 남아있는 마스킹 층들 위에 있는 금속들의 일부 재-증착 (예를 들어 도 15e)의 결과가 된다. 5% - 20% O2를 가진 Ar/O2의 혼합물은 이 물질을 제거하기 위해 법선으로부터 10° 내지 40°의 각도에 적용될 때, 최적으로 일치시키고, 따라서 상기 MDC는 상기 이온 소스들 및 20° 내지 40° 오프 법선에 대한 상기 디스크들 사이의 각도를 형성하기 위한 그것의 트랙 섹션에서 지금 회전된다(piveted). 상기 이온 빔은, 150 eV 및 700 eV 사이의 에너지 및 상기 기술된 Ar/O2 함량으로, 상기 패턴들로부터 상기 재-증착을 제거한다. 상기 틸트 각은 모든 표면들로부터 네트 제거 비율이 있도록 상기 빔은 상기 패턴 (즉, 측벽 및 하부)의 모든 표면들에 도달하도록 선택된다. 상기 마스크의 상기 측벽 각도, 상기 트렌치 패턴의 종횡비, 및 상기 저장 층 식각 동안 사용된 상기 기판 틸트에 따라, 분리된 재-증착 제거는 필요하지 않을 수 있다.
상기 마스킹 층은 가열 및 이온 충격(ion bombardment)의 결과로서 탄화된 ˝스킨(skin)˝을 일반적으로 형성했고, 이것은 또 하나의 최적화된 2:1 또는 더 큰 O2/Ar 비율, 20°의 각도에서 적용되고, 150 eV - 400 eV의 빔 에너지에서 제거된다. 이러한 단계들은 상기 마스킹 층들의 상당한 부분이 상기 자성 층의 측벽을 식각하는 것 없이 제거되도록 수행된다. 상기 측벽의 상기 식각을 최소로 하기 위하여, 50°- 70°의 더 큰 틸트는 더 작은 각도(0° - 20°)가 상기 마스크의 잔여부를 제거하기 위해 이동하기 전에 상기 마스크의 대부분을 제거하기 위해 사용될 수 있다.
상기 이온 빔은 하드 마스크, 또는 재증착된 물질과 그들의 탄화된 스킨의 지금 세정된 상기 남아있는 마스킹 층들을 ˝에싱(ashing)˝하기 위한 적합한 가스들을 활성화할 수 있는 원격 플라즈마 소스들로 설비화된 개별 프로세스 모듈을 포함하는 상기 모든 마스킹층들을 제거하기 위해 사용될 수 있다. 상기 원격 플라즈마 소스들은 상기 디스크들 위에 균일하게 분산된 O2, N2O, H2, 또는 NF3와 같은 하나 이상의 반응성 가스들과 결합한 Ar 또는 N2와 같은 불활성 가스를 일반적으로 포함하는 가스 혼합물들을 사용하였다. 상기 에싱은 갭-충진 프로세스 모듈로의 이송 전에 임의의 마스크 층 잔여물을 세정을 완료하기 위해, 0° - 20° 의 기판 틸트에서 5% - 20%의 Ar/O2 비율을 이용한 선택적 저 에너지 RIBE 프로세스로 결합될 수 있다.
갭 충진( GAP FILL )
제 4 프로세스 모듈에서, 갭 충진 층은 상기 패턴화된 자성 필름 (도 15g 또는 도 16h) 위에 증착된다. 이 층은 알루미늄 산화물, 실리콘, 이산화 실리콘, α-탄소, CN, 또는 ALD, CVD, PVD, 이온화된 PVD, HDP-CVD, 기타 등등과 같이 잘 알려진 증착 기술들을 이용하여 증착될 수 있는 비슷한 적절한 물질들을 가지고 있을 수 있다. 이 층을 선택하기 위한 기준 중 하나는 가스가 제거되지 않은 조밀한 물질을 가진 상기 트랙들 사이의 상기 트렌치들을 완전히 충진하기 위한 그것의 능력이고, DLC 오버코트와 호환 가능하다. 이상적인 상기 표면 포스트 갭-충진은 평탄화에 대한 필요성을 감소시키기 위해 평면이어야 한다. 또 다른 기준은 상기 MDC 세정 스테이션들로 이용 가능한 세정 기술을 이용한 상기 MDC들로부터 제거를 위한 그것의 순종성(amenability)이다.
스핀 코팅, 평면 임프린트(planar imprint) 또는 전착(electro-deposition)과 같은 습식 프로세싱 단계들이 이용될 수 있다. 평면 임프린트는 평평한 나노 임프린트 리소그래피의 확장이고, 패턴화된 템플릿보다 오히려 패턴이 없는 템플릿은 갭-충진 다음으로 평면 가까이 표면에 남아있는 동안 상기 매체에서 작은 구조들의 충진을 달성하기 위해 사용된다. 진공 프로세싱 툴 안으로 그러한 프로세스들의 집적화는 문제가 된다. 그러나 건식-유사 프로세스들 및 서브-대기압에서 수행될 수 있는 응축상 프로세싱과 같은 이러한 습식 프로세싱 단계들의 변경(variant)들은 여기에서 기술된 상기 툴 구조 안으로 집적화(integration)로 처리할 수 있다.
갭 충진 증착 후에, 상기 결과로 생긴 층은 상기 트랙들 또는 비트들 및 그들 주위에 식각된 패턴 모두의 코팅 때문에, 비-평면이다. 평면성의 부족은 상기 디스크 상에서 상기 데이터 트랙 영역들과 비교하여 더 넓은 특징들을 가지는 서보(servo) 영역에서 특히 심각할 수 있다. 상기 층은 후속적 단계들에서, 상기 트랙들 또는 비트들이 몹시 함입된(recessed) 상기 갭들을 충진하는 상기 물질을 남김 없이 상당히 제거될 수 있도록 커버할 수 있는 상기 갭 충진 물질을 충분히 두껍게 만든다. 갭 충진 후에, 상기에 기술된 이송 단계들은 반복되고, 상기 MDC는 다음 MDC가 자리잡은 것처럼 제 5 프로세스 모듈로 이동한다.
평탄화 A 및 B( PLANARIZATION A and B)
제 5 평탄화 프로세스 (도 15h 또는 도 16i) 모듈에서, 여러 옵션들은 상기 갭 충진 층을 평탄화하기 위해 사용될 수 있다. 본 발명의 일 실시예에 있어서, 이온 빔들은 상기 갭 충진 층의 상기 표면을 매끄럽게 하고 평탄화하기 위해 사용된다. 이 단계는 상기 자성 트랙들 또는 비트들을 정확하게 판독하고 입력되기 위해 상기 표면에 충분히 가깝게 가져오지만, 임의의 중요한 정도로 상기 트랙들 또는 불연속적 비트들의 상부에서 상기 자성 기록 층을 식각하는 것은 바람직하지 않고, 따라서 광학 엔드-포인트 또는 SIMS와 같은, ˝엔드 포인트(end point)˝ 탐지 방법이 필요하다.
높은 비율에서 높은 포인트들과 현저하게 낮은 비율에서 오목한 곳들을 선택적으로 식각하기 위해, 빔 입사의 각도는 가파르다: 도 17에 표시된 것처럼, 수직 입사로부터의 45도 내지 65도. 이 각도의 최적화를 맞추기 위해, 상기 큰 포맷 이온 밀(ion mill)들은 고정된 틸트에 마운트되고, 상기 카트 및 MDC 조립체들은 제 3 프로세스 모듈에서 수행된 것처럼, 프로그램가능하 부가 각도까지 회전된다. PVD 증착된 Al2O3 또는 SiO2 갭 충진 층의 경우에, 아르곤 및 CHF3가스의 혼합물은 도 18에 도시된 것과 같이, 상기 식각률, 상기 입사각 민감도 및 선택비를 증가시키기 위해 사용된다. Si 기반 갭-충진을 위해, CF4, O2 및 Ar의 혼합물이 적절하고, 반면에 탄소 기반의 갭-충진을 위해 Ar 및 O2 의 혼합물 함유 가스가 적절하다. 상기 표면 포스트-평탄화(post-planarization)의 마지막 표면 완료는 5 A 이하여야 한다. 이것은 상기 평탄화 프로세스 동안 발전할 수 있는 표면 거칠기를 우선적으로 제거하는 높은 에너지, 낮은 선택비 식각 단계들을 포함하는 다-단계 프로세스들의 사용으로 달성된다. 제 6 프로세스 모듈은 동등하게 설비될 수 있고, 상기 평탄화의 프로세스가 평활화(smooting)를 촉진하고 엔드 포인트 탐지를 더 정확하게 하기 위해 더 느린 비율에서 계속된다.
상기 트랙들 또는 비트들 사이의 공동(cavity)들의 리세스(recess) 깊이는 5 nm 미만이고 가급적이면 2 nm 미만 포스트 평탄화여야 한다. 평탄화의 적당한 레벨이 달성되지 않으면, 갭-충진 및 평탄화의 시퀀스는 원하는 평탄도가 달성될 때까지 반복된다. 수많은 갭-충진 및 평탄화 사이클들을 증가시키는 것은 시간 소모가 크고 비용이 많이 들고 그러므로 사이클 (이상적으로 1 회 사이클)들의 가장 작은 수를 요구하는 상기 갭-충진 및 평탄화 방법들이 선호된다.
오버코트( OVERCOAT )
다음 프로세스 모듈은 일반적으로 다이아몬드-유사 탄소, 또는 DLC의 2 nm 3 nm, 상기 디스크들에, 박형 오버코트 층(도 15i 또는 도 16j)을 적용하기 위해 이온 빔 (예를 들어, 직접 증착법, 필터 캐소드 아크, 기타 등등), PVD 또는 CVD 기술(예를 들어, PE-CVD, 열-선CVD, 기타 등등)을 사용한다. 상기 DLC 층은 판독 및 기록 헤드와의 충돌에서 상기 자성 물질을 보호하고 최종적 윤활 층으로 결합하는데 도움이 된다. 이것은 일반적으로 단단하고, 조밀하고, 상기 자성 물질에 인접한 핀-홀 자유 C;H 층과 전기적으로 전도성 있고, 루브(lube)와 호환적인 a-CNx의 외층(outer layer)으로 구성되는 이중층이다.
상기 오버코트가 상기 MDC의 상기 디스크들에 적용된 후에, 그것은 본 발명의 이 실시예의 엔드 스테이션에 들어가고, 상기 트랙 섹션은 승강기에 마운트된다. 이 엔드 스테이션은, 상기 프로세스 모듈 레벨 다운에서부터 상기 시스템의 후단부에서 전단부까지의 연속적 진공 이송 챔버를 형성하는 일련의 연결된 챔버들을 통하여 상기 시스템의 길이 아래에서 구동하는 리턴 트랙(return track) 으로 상기 MDC 및 그것의 카트를 데려다 준다.
상기 MDC가 상기 전단부 스테이션에 도달할 때, 그것은 또 다른 카트 승강기에 의해 수용되고, 상기 로드/언로드 스테이션까지 오르며, 거기서 진공 로봇이 그들의 카세트 안으로 상기 프로세스된 디스크들을 언로딩시키고, 상기 프로세스된 카세트를 상기 출구 로드 잠금 안으로 로드시킨다. 상기 출구 로드 잠금 도어를 닫고, 상기 로드 잠금은 진공에서 대기압까지 벤트(vent) 업하고, 상기 카세트는 오퍼레이터에 의해 상기 시스템으로부터 언로딩된다.
증착 및/또는 제거 프로세스 노출(exposure)을 가진 시스템들을 통하여 반복적으로 순환된 임의의 기판 캐리어들은 결국 상기 캐리어의 사용을 악화시킬 증착들 및/또는 잔유물들을 축적할 것은 본 기술과 관련한 당업자에게 알려져 있다. 또한, 이 빌드업에 의해 초래된 손상이 주위의 공장 대기에 대한 주기적 노출에 의해 가속되며, 거기서 수분 흡수 및 산화가 빨리 진행될 수 있는, 층들 사이의 접착성을 저하시키고 그들 내에 상승된 내부 응력의 원인이 되는 것은 일반적으로 알려져 있다. 상기 MDC가 대기로 노출될 때 상기 필름 안에 있는 미세한-공동들(micro-cavities)에 트랩된 가스들은 상기 MDC가 나중에 아래로 펌핑될 때 상기 증착된 필름이 팝-오프(pop-off)되는 원인이 될 수 있다. 이러한 문제들은 그러한 주기적 노출을 회피함으로써 지연시킨다. 상술한 바와 같이, 상기 MDC들은 상기 공장 대기에 대한 노출 없이 재-사용을 통하여 순환한다. 상기 툴 내에서, 주기적 자동화된 MDC 세정은 그들이 상기 시스템 밖에서 서비스되고 아마 수리되어야 할 때 틈틈이 이러한 캐리어의 가용 수명을 연장시킨다.
제 1 추가적 실시예
또 다른 실시예에 있어서, 상기에 기술된 상기 프로세스 시퀀스는 도 11에서 “1. 매체를 위한 식각”이라고 불린 요약된 접근 방법에서 그래프로 도시된 접근 방법으로 적용 가능하다. 그러나, 식각, 증착 및 평탄화의 단계들은 예를 들어, 도 11의 하부 영역에 있는 다소 다른 순서에서 여러 대체 가능한 프로세싱 시퀀스가 있다. 프로세스들의 개수 및 시퀀스를 제외한, 이러한 실시예들의 상기 작동은 상기에서 기술된, 적절한 실시예의 작동과 본질적으로 동일한 방법으로 진행된다.
제 2 추가적 실시예
또 다른 실시예에 있어서 하드 마스크는 상기 임프린트 마스크가 상기 저장 층 식각 동안 살아나기 위해 충분한 식각 내성을 가지고 있지 않을지도 모르기 때문에 상기 저장 층을 패턴화하기 위해 사용될 수 있다. 이중-층 하드 마스크들을 포함하는 하드 마스크 접근 방법은 도 15a 내지 도 15i에 설명된다. 상기 도면들에 있는 캡션(caption)들은 사용된 일정한 화학적 성분들 및 화합물들을 언급한다. 그러나 그것들과 본 명세서의 실시예들에서 임의로 언급되는 것들은 제한적이지 않다. 상기 디스크는 상기 임프린트된 포토레지스트 아래서 이미 하부 하드 마스크 및 상부 하드 마스크로 로드된다. 상기 상부(top) 하드 마스크 층은 그것이 하부(bottom) 하드 마스크 층의 식각 동안에 상대적으로 온전한 채로 남아 있는 동안 상기 레지스트의 너무 많은 것을 부식시키는 것 없이 쉽게 식각될 수 있도록 선택되어야 하고, 반면에 상기 하부(bottom) 하드 마스크 층은 상기 저장 층 식각을 살아남기 위한 충분한 식각 내성을 가져야 한다. 상기 상단 하드 마스크는 Ar을 이용하여 식각될 수 있는 Cr, NiFe, 또는 Ar/CF4/O2를 이용하여 식각될 수 있는 Ti 또는 Ta, 또는 Ar/CHF3에 의해 식각될 수 있는 Al2O3 또는 SiO2들 중에서 선택될 수 있다. 통상의 두께는 3 nm - 5 nm이다. 전체의 저장 층 식각 전체에 걸쳐, 상기 하드 마스크의 상기 하부(bottom) 측벽을 수직 근처로 유지하는 것은 상기 저장 층 식각 동안 수직 측벽들 근처에 그것을 제공할 수 있다. 이것은 상기 하드 마스크 층의 두께 및 그것의 식각 내성이 상기 하드 마스크의 상기 상부(top) 코너에 예상대로 형성된 패시트(facet)가 상기 저장 층 식각의 단부에서 상기 하드 마스크의 상기 하부(bottom) 코너에 도달하지 않도록 있어야 한다는 것을 의미한다. 일반적으로 탄소, 일반적으로 20 nm - 30 nm 두께의 형성은, 그것이 RIBE 또는 ICP RIE를 이용하는 Ar/O2 식각을 통해 쉽게 패턴화되고, 동시에 상기 임프린트된 레지스트를 제거하기 때문에, 상기 하부(bottom) 하드 마스층을 위해 가장 적합하다. 여과된 캐소드 아크 탄소(filtered cathodic arc carbon) (다이아몬드 유사 탄소) 및 PVD 탄소와 같은 탄소의 몇몇 형태들은 도 22에 도시된 바와 같이, 우수한 식각 내성을 가지고, 적절한 하드 마스크 층들이다.
제 3 추가적 실시예
또 다른 실시예에 있어서, 하드 마스크를 사용하는 대신에, 도 16a 내지 도 16j에 나타낸, 마스크 개선 프로세스는 샤프 트랙 전이(sharp track transition)들을 달성하기 위해 사용될 수 있다. 샤프 트랙 전이들은 신호대 잡음비(signal to noise ratio; SNR)를 위해 필수적이다. 이것들은 저장 층 트렌치 측벽 각도(side wall angle; SWA)들 (SWA) > 75°, 매끄러운 저장 층 측벽들, 어떤 트랙 에지 손상, 및 저장 층 식각 및 마스크 제거 후에 좁은 트렌치(듀티 사이클 > 70%)를 가지는 넓은 랜드부(land)를 요구하지 않는다. 이러한 요구들을 충족시키기 위해, 수직 측벽들을 가지는 식각 내성 마스크 및 저 에너지 (< 250 V), 시준된 (< 4° 발산) 이온 빔을 사용한 이온 빔 식각이 활용된다.
마스크 개선 프로세스의 일 실시예는 도 16a 내지 도 16j에서 설명된다. 상기 도면들에 있는 캡션(caption)들은 사용된 일정한 화학적 성분들 및 화합물들을언급한다. 그러나 그것들과 본 명세서의 실시예들에서 임의로 언급되는 것들은 제한적이지 않다. 그것은 넓게 하기를 포함한다: 레지스트 층의 형성 (도 16a), 잔류 레지스트 제거 (도 16b), 레지스트 경화 & 라인 폭 트리밍 (도 16c), 탄소 스페이서 층 증착 (도 16d), 스페이서 식각/디스컴 (도 16e), 저장 층 식각 (도 16f), 재-증착 제거 (옵션), 및 마스크 애시/스트립 (도 16g). 상기에 기술된 프로세스는 이러한 단계들의 각각을 구현시키기 위해 사용될 수 있고, 구체적인 조건들 및 프로세싱 접급 방법은 패턴에 다소 의존한다. 상기 스페이서 증착 모듈은 상기 갭 충진 모듈과 동일한 필수적인 하드웨어를 가지고 있을 것이다. 상기 스페이서는 상기 레지스트의 잔류 층이 제거되기 전 또는 잔류 레지스트 제거 후에 증착될 수 있다. 활용된다.
이러한 시퀀스의 다수 변경들은 가능하고 탄소와 다른 스페이서 물질들이 사용될 수 있다. 상기 마스크 개선 시스템 프로세스는 그것이 주로 상기 하드 마스크 공정을 대체하도록 의도될지라도, 임프린트 마스크 또는 하드 마스크와 함께 사용될 수 있다. 상기 향상된 마스크 프로세스를 이용하여, 가까운 수직 측벽들 및 낮은 측별 거칠기를 가진 서브 20 nm 넓은 특징들의 패턴화는 가능하고, BPM에 명백한 확장성(extendibility)을 증명하는 것이다. 실시예에 의해서, 도 16c의 레지스트 경화 시간에, 그것은 약 10% 까지 모든 방향으로 수축한다. 도 16d에서, 상기 탄소 스페이서 두께는 30 nm 트렌치 폭과 비교하여 일반적으로 10 nm이다. 상기 탄소 스페이서는 컨포멀(conformal)하지 않다. 상부(top) 상의 두께는 약 10 nm이고, 상부(top) 코터 위의 두께는 약 8 nm 및 하부(bottom) 두께는 약 2 nm이다. 탄소 스페이서 증착 후에, 상기 측벽은 이전보다 더 수직으로 보인다. 도 16f에서, 모든 상기 탄소는 4 nm까지 상기 상부(top) 상에서 그리고 약 1 nm (그것이 가까이 수직이기 때문에 측벽 상에서 식각률은 더 낮아짐)에 의한 까지 상기 측벽을 따라 탄소 두께를 감소시키는 100% 오버-식각(over-etch) (실질적인 4 nm 제거)으로 상기 트렌치의 하부(bottom)에서 제거된다.
제 4 추가적 실시예
도 31b 및 도 31c에 나타낸 또 다른 실시예에 있어서, 상기 갭-충진 물질은 이중층 (예를 들어, 5 nm 탄소에 이은 45 nm SiO2된(5 nm carbon followed by 45 nm SiO2)이다. 도 31a는 PVD 탄소 (약 50 nm 두께, 블랙라인) 및 PVD SiO2 (블랙라인 위에 약 50 nm 두께)의 이중층에 의해 충진된 자성 적층에서 트렌치를 보여준다. 상기 평탄화 식각 동안에, 45 nm SiO2가 제거되고, 이로써, 상기 자성층과 거의 동일하지만, 일반적으로 도 31b에 도시된 것처럼 상기 주위 탄소 층 아래에 5 nm SiO2가 함임된다. 그리고 나서 상기 자성 층의 상부(top) 상에 노출된 상기 탄소는 상기 SiO2 및 상기 자성 층에 대한 높은 선택비로, 도 31c에 나타난 것처럼 제거된다. 상기 프로세스 후에 효과적 리세스 깊이는 거의 0으로 감소된다. 이러한 방법은 조절 가능한 리세스 깊이를 달성한다. 상기 PVD 탄소의 두께는 상기 탄소 제거 후에 선택되고, 상기 표면은 < 5 nm의 트렌치 홈 깊이를 가진 거의 평면이다. 도시된 구조에서, 상기 트렌치 깊이는 약 20 nm이고 상기 트렌치 폭은 일반적으로 상기 디스크의 서보 영역에서 발생하는 상기 패턴의 타입을 반사하기 위해 약 100 nm이다.
제 5 추가적 실시예
또 하나의 실시예에 있어서, 이온 임플란트는 상기 자성 층의 자성 성질들을 방해하기 위해 사용될 수 있고, 그러므로 물리적인 것보다 ˝자성 트렌치˝를 생성함으로써, 상기 유니버설 모듈은 이것을 달성하기 위해 필요한 하드웨어를 받아들인다. 상기 트랙들 사이의 상기 저장 층의 제거를 통하여 상기 트랙들을 물리적으로 단절시키는 대신에 인접 트랙들 또는 비트들 사이의 영역은 자성이 없어질 수 있다. 이것은 상기 물질의 자성을 없애기 위해 예를 들어 O2 + 또는 다른 종류들을 임플란트시킴으로써 행해질 수 있다. 도 26은 10 keV - 20 keV의 에너지에서 O2 +로 그것을 임플란트시킴으로써 상기 저장 층의 자성을 없애는 것이 가능하다고 증명하고 상기 투여량은 충분히 높다고 규정했다. 1017/cm2을 초과한 투여량은 상기 물질을 완전하게 자성을 없앨 가능성이 있다. 이 투여량 및 에너지는 플라즈마 침지 이온 임플란트를 통하여 또는 높은 전류 O2 + 빔을 이온 소스로부터 추출함으로써 그리고 원하는 임플란트 에너지를 달성하기 위해 상기 그리드들 또는 상기 디스크들을 바이어싱(biasing)함으로써 달성할 수 있다. 임플란트와 관계가 있는 것 중 하나는 수직 스트래글(straggle)하며 그러므로 플래쉬 어닐링 (예를 들어, 레이저 또는 플래시 UV를 통하여)에 초점이 맞춰진 것과 관련하여 듀얼 에너지 또는 듀얼 이온 임플란트들은 상기 수직 스트래글을 제한하기 위해 필요할 것이다.
상기에 기술된 실시예들에서, 프로세싱은 상기 시스템의 상기 앞에서 상기 뒤로 진행되고, 상기 더 낮은 이송/셔틀 샤프트를 따라 되돌아간다. 각각의 경우에서, 실시예들은 선택적 상부 트랙/프로세스 라인 위치들을 이용하여 제조함으로써 단축화될 수 있다. MDC들은 상기 중앙 또는 상단 트랙을 따라 뒤에서 앞으로 진행하며, 그들의 프로세싱의 부분을 완료하고, 그 다음에 그들의 프로세싱은 그들이 상기 로드/언로드 스테이션을 향하여 돌아간 것처럼 지속될 상기 다른 프로세싱 트랙으로 올려지거나 낮아진다. 이것은 상기 MDC 세정 스테이션 및 임의의 어큐뮬레이터들로부터 MDC들을 얻기 위해 상기 낮은 이송/셔틀 샤프트를 자유롭게 할 것이다. 그러한 배열의 개략 단면도는 도 19에 나타난다.
본 발명의 실시예들의 또 하나의 구성에서, 인터섹션 모듈들은 도 20에 도시된 바와 같이, ˝박스˝ 배치 안으로 상기 시스템을 가져오기 위해 사용된다. 이러한 경우에서, 이송/셔틀 샤프트 세그먼트들로 구성된 ˝가교(bridging)˝ 챔버들은 가로질러 상기 MDC들을 리턴 인라인 프로세싱 경로로 이끈다.
단지 프로세스 시퀀스들의 제한된 다양성 및 수량이 논의될지라도, 본 발명은 향후 패턴 전사를 위해 계획된 임의의 진보된 프로세스를 가상적으로 수행하기 위해 매우 적절하다. 이러한 진보는 상기 트랙들의 크기로서 분명히 요구되고 불연속적 비트들은 더 감소된다. 예를 들면, 상기 마스크 개선 프로세스는 결정적 트렌치 및 인터-비트 패턴 규모들을 보호하기 위한 서브-100 nm공간에서 이로울지도 모른다. 이온 빔 식각이 대부분의 상기 식각 단계들을 설명하기 위해 사용될지라도, 반응성 이온 식각, 고밀도 플라즈마 식각, 원격 플라즈마 식각, 원자층 식각, 증기(vapor) 식각, 및/또는 습식 화학 식각과 같은 식각의 다른 형태들의 적절한 것으로 대체될 수 있다. 증착 단계들에 대해서도 마찬가지로, 다양한 증착 기술들이 적절할 것이다.
중요하게, 본 발명은 넓은 범위의 입사각을 사용하는 다중 디스크들의 균일하고 대칭적인 충격을 가능하게 한다. 다중-디스크 캐리어들 위에서 큰 포맷 이온 빔들의 사용은 분당 및 유닛 바닥 영역당 프로세스된 상기 디스크들의 수를 매우 향상시킨다. 이송의 3 레벨들을 도입함으로써, 셔틀 샤프트로서 그들의 하나를 사용함으로써, 본 발명은 부담스럽고 비싼 간접비(overgead) 이슈를 완화하면서, 필수적 디스크 캐리어 세정의 사용을 가능하게 한다.
다양한 실시예들의 설명에 의해 본 발명이 설명되고, 이러한 실시예들이 매우 상세하게 설명되었지만, 그것은, 제한 또는 그 상세에 덧붙여진 청구 범위를 제한하기 위한 것이 아니다. 추가적 장점들 및 변경들은 당업계의 숙련된 자들에게 쉽게 나타날 것이다. 그러므로 그것의 광범위한 측면들의 본 발명은 세부 사항들, 대표적인 장치들과 방법, 및 예시적인 실시예는 도시되고 기술된 것으로 제한되지 않는다. 따라서, 변경은 출원인의 일반적 발명의 개념의 사상 또는 범위를 벗어나지 않으면서 그러한 상세들로부터 만들어질 수 있다.
2: 기판 이송 시스템
4: 프로세스 모듈들
6: 프로세싱 유닛
8: 전단부
10: 로딩 스테이션
12: 디스크 캐리어
14: 디스크들
16: 언로딩 스테이션
18: 회전 모듈들

Claims (23)

  1. 복수의 기판을 잡아주는 회전형 다이얼 캐리어를 포함하는 다중 디스크 캐리어; 및
    각각 다중-디스크 캐리어를 수용하는 크기의 복수의 프로세싱 모듈이고, 상기 모듈 중 적어도 하나는, 상기 프로세싱 모듈 내에 위치하는 다중 디스크 캐리어 내의 복수의 기판에, 동시에 표면 처리 공정을 적용하기 위한 프로세싱 소스(source)를 포함하는 복수의 프로세싱 모듈;
    을 포함하는 복수의 기판의 프로세싱 장치.
  2. 제1항에 있어서,
    상기 회전형 다이얼 캐리어는, 적어도 3개의 상기 기판을 잡아주는 것인, 복수의 기판의 프로세싱 장치.
  3. 제1항에 있어서,
    상기 회전형 다이얼 캐리어는, 적어도 6개의 상기 기판을 잡아주는 것인, 복수의 기판의 프로세싱 장치.
  4. 제1항에 있어서,
    상기 회전형 다이얼 캐리어는, 적어도 10개의 상기 기판을 잡아주는 것인, 복수의 기판의 프로세싱 장치.
  5. 제1항에 있어서,
    복수의 상기 프로세싱 모듈은, 상기 프로세싱 모듈 내에 위치하는 다중-디스크 캐리어의 다이얼 캐리어 내의 복수의 기판에, 동시에 표면 처리 공정을 적용하기 위한 프로세싱 소스를 포함하는 것인, 복수의 기판의 프로세싱 장치.
  6. 제1항에 있어서,
    상기 프로세싱 모듈 중 하나는, 상기 프로세싱 모듈 내에 위치하는 다중-디스크 캐리어 내의 기판들보다 적은 수에, 표면 처리 공정을 적용하기 위한 프로세싱 소스를 포함하는 것인, 복수의 기판의 프로세싱 장치.
  7. 제6항에 있어서,
    상기 다이얼 캐리어는, 정형화된 방식에서(in an indexed fashion) 회전하여, 상기 다이얼 캐리어 내의 복수의 기판에, 상기 프로세싱 소스를 순차적으로 적용하는 것인, 복수의 기판의 프로세싱 장치.
  8. 제1항에 있어서,
    상기 프로세싱 모듈은, 상기 다중-디스크 캐리어 내를 통과하는 기판의 순차적인 공정을 위하여 순서대로 배열된 것인, 복수의 기판의 프로세싱 장치.
  9. 제8항에 있어서,
    상기 프로세싱 모듈은 선형 배치되어 조립된 것인, 복수의 기판의 프로세싱 장치.
  10. 제8항에 있어서,
    상기 다중-디스크 캐리어가, 트랙을 따라서 차(car)에서 이전되는, 상기 트랙을 더 포함하는 것인, 복수의 기판의 프로세싱 장치.
  11. 제8항에 있어서,
    상기 프로세싱 모듈은, 두(two) 수직 레벨에 배열되고, 다중-디스크 캐리어를 상기 두 수직 레벨 사이를 이동시키기 위한 승강 스테이션(elevator stations)을 더 포함하는 것인, 복수의 기판의 프로세싱 장치.
  12. 제1항에 있어서,
    상기 다중-디스크 캐리어는, 일반적으로 수직 방향(vertical orientation)에서 상기 기판을 잡는 것인, 복수의 기판의 프로세싱 장치.
  13. 제1항에 있어서,
    하나의 상기 프로세싱 모듈은, 상기 다이얼 캐리어를 회전시키기 위해서, 상기 다중-디스크 캐리어에 맞물릴 수 있는 엑츄에이터를 포함하는 것인, 복수의 기판의 프로세싱 장치.
  14. 제1항에 있어서,
    하나의 상기 프로세싱 모듈은, 법선 방향을 갖는 소스(source)와, 상기 법선 방향에 대한 각도로 상기 다이얼 캐리어를 기울이는 상기 다중-디스크 캐리어 MDC에 맞물릴 수 있는 엑츄에이터를 포함하는 것인, 복수의 기판의 프로세싱 장치.
  15. 제1항에 있어서,
    적어도 하나의 상기 프로세싱 모듈 내의 환경이, 로드 잠금(load lock)에 의하여 외기로부터 단절된(isolated) 것인, 복수의 기판의 프로세싱 장치.
  16. 제1항에 있어서,
    상기 프로세싱 모듈로 다중-디스크 캐리어를 운반하거나 상기 프로세싱 모듈로부터 다중-디스크 캐리어를 받기 위한 로봇식 기판 조정기(handler)를 더 포함하는 것인, 복수의 기판의 프로세싱 장치.
  17. 기판 상에 패턴화된 포토레지스트 물질을 증착하는 단계;
    상기 포토레지스트 물질을 경화시키는 단계;
    상기 패턴화된 포토레지스트 상(above)에 탄소 스페이서 층을 증착하는 단계;
    원하는 패턴 구조를 제조하기 위하여 상기 기판을 동시에 하는 식각 공정을 이용하여 상기 탄소 스페이서 층을 제거하는 단계; 및
    상기 경화된 포토레지스트의 제거하는 단계를 포함하는, 패턴 구조를 제조하는 마스크 개선 공정.
  18. 제17항에 있어서,
    상기 탄소 스페이서 층의 증착 단계는, 상기 포토레지스트 물질에 인접한 기판의 일부분보다, 상기 포토레지스트 물질 상에 상기 스페이서 층의 더 큰 축적(accumulation)을 형성하는 것인, 패턴 구조를 제조하는 마스크 개선 공정.
  19. 자성 스택(magnetic stack) 상(above)의 매체 내에 수직으로 정의된 트렌치(trench)의 패턴을 식각하는 단계;
    상기 트렌치를 완전히 채우기 위해서 기상(vapor phase) 증착 공정을 이용하여 상기 수직으로 정의된 트렌치 충진재 층을 증착하는 단계; 및
    상기 트렌치를 채우기 위해서 상기 충진재 층을 평탄화하는 단계;
    를 포함하는, 자성 디스크 매체의 제조공정.
  20. 제19항에 있어서,
    상기 충진재 층의 증착 단계는, 물리적 기상 증착을 포함하는 것인, 자성 디스크 매체의 제조공정.
  21. 제19항에 있어서,
    상기 충진재 층의 증착 단계는, 응축상(凝縮相, condensed phase) 증착을 포함하는 것인, 자성 디스크 매체의 제조공정.
  22. 제19항에 있어서,
    상기 충진재 층은, 산화 알루미늄, 실리콘, 실리콘 이산화물, 실리콘 질화물, α-탄소 및 탄소 질화물로 이루어진 군에서 선택되는 물질을 포함하는 것인, 자성 디스크 매체의 제조공정.
  23. 트렌치 및 상기 트렌치 간의 랜드부(land)를 덮는, 자성 스택 상(above)의 선택된 두께의 탄소 이중층을 증착하는 단계;
    상기 트렌치 및 상기 랜드부 상(above) 표면을 형성하기 위해서, 상기 트렌치를 채우고 상기 랜드부를 덮도록, 상기 탄소층 상(above)에 실리콘 함유 충진재 층을 증착하는 단계;
    상기 트렌치 내의 상기 충진재 층을 남김으로써, 상기 선택된 두께와 거의 같은 거리의 상기 탄소 이중층의 상부 표면 아래에 함입된, 상기 랜드부 상의 상기 충진재 층을 제거하기 위한 실리콘 함유 충진재 층에 대하여 고선택성 공정에서, 상기 충진재 층을 선택적으로 식각하는 단계; 및
    상기 랜드부 상에 노출된 탄소를 제거하고 상기 탄소층 및 실리콘 함유 층으로 채워진 상기 트렌치를 남기기 위해서, 상기 탄소층에 대하여 고선택성 공정에서, 상기 탄소층을 선택적으로 식각하는 단계;
    를 포함하는 자성 스택 상(above)의 트렌치(trench)를 포함하는 자성 매체 표면의 평탄화 방법.
KR1020127007584A 2009-08-26 2010-08-26 자성 기록 매체 상에 패턴을 제조하기 위한 시스템 KR20120063494A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US23714109P 2009-08-26 2009-08-26
US61/237,141 2009-08-26

Publications (1)

Publication Number Publication Date
KR20120063494A true KR20120063494A (ko) 2012-06-15

Family

ID=43649590

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127007584A KR20120063494A (ko) 2009-08-26 2010-08-26 자성 기록 매체 상에 패턴을 제조하기 위한 시스템

Country Status (6)

Country Link
US (1) US20120223048A1 (ko)
EP (1) EP2471065A4 (ko)
JP (1) JP2013503414A (ko)
KR (1) KR20120063494A (ko)
CN (1) CN102598130A (ko)
WO (1) WO2011028597A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101479251B1 (ko) * 2014-08-07 2015-01-05 (주) 씨앤아이테크놀로지 반도체 패키지의 전자파 차폐를 위한 스퍼터링 장치 및 이를 포함한 인라인 스퍼터링 증착 시스템

Families Citing this family (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5060517B2 (ja) * 2009-06-24 2012-10-31 東京エレクトロン株式会社 インプリントシステム
JP2011009362A (ja) * 2009-06-24 2011-01-13 Tokyo Electron Ltd インプリントシステム、インプリント方法、プログラム及びコンピュータ記憶媒体
US10808319B1 (en) * 2010-02-26 2020-10-20 Quantum Innovations, Inc. System and method for vapor deposition of substrates with circular substrate frame that rotates in a planetary motion and curved lens support arms
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
CN104995328A (zh) * 2013-01-08 2015-10-21 Hzo股份有限公司 用于将保护涂层选择性地施加到电子部件的设备和系统及其相关方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
TWI683382B (zh) * 2013-03-15 2020-01-21 應用材料股份有限公司 具有光學測量的旋轉氣體分配組件
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9190091B2 (en) 2013-08-02 2015-11-17 HGST Netherlands, B.V. Composition and method for planarized bit-patterned magnetic media
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
SG11201603358PA (en) * 2014-03-04 2016-09-29 Canon Anelva Corp Vacuum process apparatus and vacuum process method
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) * 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US10003014B2 (en) * 2014-06-20 2018-06-19 International Business Machines Corporation Method of forming an on-pitch self-aligned hard mask for contact to a tunnel junction using ion beam etching
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9767989B2 (en) * 2014-11-11 2017-09-19 Seagate Technology Llc Methods of forming features
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10570010B1 (en) * 2016-06-17 2020-02-25 National Technology & Engineering Solutions Of Sandia, Llc Fabrication of multilayered carbon MEMS devices
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
CN106893993B (zh) * 2017-03-08 2019-01-25 深圳先进技术研究院 溅射镀膜设备及其镀膜腔室
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10020183B1 (en) * 2017-06-29 2018-07-10 Lam Research Corporation Edge roughness reduction
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11127606B1 (en) 2018-07-26 2021-09-21 Seagate Technology Llc Cooling station with integrated isolation valves
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI810362B (zh) * 2019-09-09 2023-08-01 聯華電子股份有限公司 形成可變電阻式記憶體單元的方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3294670A (en) * 1963-10-07 1966-12-27 Western Electric Co Apparatus for processing materials in a controlled atmosphere
US4790921A (en) * 1984-10-12 1988-12-13 Hewlett-Packard Company Planetary substrate carrier method and apparatus
JPS61106768A (ja) * 1984-10-31 1986-05-24 Anelva Corp 基体処理装置
CA1300357C (en) * 1986-04-04 1992-05-12 Materials Research Corporation Method and apparatus for handling and processing wafer-like materials
US4851095A (en) * 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US5618388A (en) * 1988-02-08 1997-04-08 Optical Coating Laboratory, Inc. Geometries and configurations for magnetron sputtering apparatus
JPH051378A (ja) * 1991-03-25 1993-01-08 Shin Meiwa Ind Co Ltd インライン成膜装置における基板ホルダの搬送装置
US5215420A (en) 1991-09-20 1993-06-01 Intevac, Inc. Substrate handling and processing system
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
JPH0853752A (ja) * 1994-08-10 1996-02-27 Idemitsu Material Kk 真空成膜装置およびその減圧方法
JP3732250B2 (ja) * 1995-03-30 2006-01-05 キヤノンアネルバ株式会社 インライン式成膜装置
JPH11110756A (ja) * 1997-10-02 1999-04-23 Showa Denko Kk 磁気記録媒体の製造方法
US6238582B1 (en) * 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
WO2002095795A2 (de) * 2001-05-18 2002-11-28 Mattson Thermal Products Gmbh Vorrichtung zur aufnahme von scheibenförmigen objekten
TWI367192B (en) * 2003-11-13 2012-07-01 Applied Materials Inc Calibration of high speed loader to substrate transport system
WO2007103887A2 (en) * 2006-03-05 2007-09-13 Blueshift Technologies, Inc. Semiconductor manufacturing process modules
US20080023685A1 (en) * 2006-07-28 2008-01-31 Wolodymyr Czubatyj Memory device and method of making same
JP2008159097A (ja) * 2006-12-20 2008-07-10 Hitachi Ltd 基板ホルダ及び基板のエッチング方法及び磁気記録媒体の製造方法
US7745231B2 (en) * 2007-04-17 2010-06-29 Micron Technology, Inc. Resistive memory cell fabrication methods and devices
JPWO2009066390A1 (ja) * 2007-11-22 2011-03-31 キヤノンアネルバ株式会社 スパッタ装置およびスパッタ方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101479251B1 (ko) * 2014-08-07 2015-01-05 (주) 씨앤아이테크놀로지 반도체 패키지의 전자파 차폐를 위한 스퍼터링 장치 및 이를 포함한 인라인 스퍼터링 증착 시스템

Also Published As

Publication number Publication date
EP2471065A4 (en) 2013-01-30
WO2011028597A1 (en) 2011-03-10
JP2013503414A (ja) 2013-01-31
EP2471065A1 (en) 2012-07-04
CN102598130A (zh) 2012-07-18
US20120223048A1 (en) 2012-09-06

Similar Documents

Publication Publication Date Title
KR20120063494A (ko) 자성 기록 매체 상에 패턴을 제조하기 위한 시스템
JP5429185B2 (ja) 基板を両面スパッタエッチングするシステム及び方法
TWI567848B (zh) Hdd圖案佈植系統
US6176932B1 (en) Thin film deposition apparatus
JP5566669B2 (ja) インライン式成膜装置及び磁気記録媒体の製造方法
JP2010027175A (ja) 炭素膜の形成方法、磁気記録媒体の製造方法、及び炭素膜の形成装置
US20080149590A1 (en) Substrate-Holder, Etching Method of the Substrate, and the Fabrication Method of a Magnetic Recording Media
JPH086176B2 (ja) 磁性層をスパッタ付着するためのターゲット
JP2007026506A (ja) 磁気ヘッドスライダの製造方法及び磁気ヘッドスライダ
JP2005100496A (ja) 磁気記録媒体の製造方法及び磁気記録媒体
US20110059235A1 (en) Method for producing magnetic recording medium and producing apparatus thereof
JP4794514B2 (ja) 磁気記録媒体の製造方法および製造装置
JP5681624B2 (ja) 炭素膜の形成方法、磁気記録媒体の製造方法及び炭素膜の形成装置
US20200354826A1 (en) Method to produce high density diamond like carbon thin films
JP2010225238A (ja) 炭素膜の形成方法、磁気記録媒体の製造方法及び炭素膜の形成装置
JP4820783B2 (ja) 磁気記録媒体の製造方法および製造装置
JP2009295260A (ja) 磁気記録媒体の製造方法、磁気記録媒体、磁気記録再生装置及び成膜装置
CN101236746B (zh) 防止磁头体之隧道磁电阻阻抗降低的方法及磁头制造方法
JP2009110595A (ja) 磁気記録媒体の製造方法
JP2010198659A (ja) 処理装置、インライン式成膜装置、磁気記録媒体の製造方法
JP2011023087A (ja) インライン式成膜装置及び磁気記録媒体の製造方法
JP2010088970A (ja) 処理装置、磁気記録媒体の製造方法、磁気記録媒体及び磁気記録再生装置
JP2010205323A (ja) 炭素膜の形成方法及び磁気記録媒体の製造方法
JP2011065714A (ja) 炭素膜の形成方法、磁気記録媒体の製造方法、及び炭素膜の形成装置
JP2011023086A (ja) 磁気記録媒体の製造方法及び製造装置

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid